OR3T20-6S240I [AGERE]

3C and 3T Field-Programmable Gate Arrays; 3C和3T现场可编程门阵列
OR3T20-6S240I
型号: OR3T20-6S240I
厂家: AGERE SYSTEMS    AGERE SYSTEMS
描述:

3C and 3T Field-Programmable Gate Arrays
3C和3T现场可编程门阵列

现场可编程门阵列 栅
文件: 总210页 (文件大小:4391K)
中文:  中文翻译
下载:  下载PDF数据表文档文件
Data Sheet  
June 1999  
ORCA® Series 3C and 3T  
Field-Programmable Gate Arrays  
logic cell (PLC), with over 50% speed improvement typi-  
cal.  
Features  
Abundant hierarchical routing resources based on rout-  
ing two data nibbles and two control lines per set provide  
for faster place and route implementations and less rout-  
ing delay.  
High-performance, cost-effective, 0.35 µm (OR3C) and  
0.3 µm (OR3T) 4-level metal technology, (4- or 5-input  
look-up table delay of 1.1 ns with -7 speed grade in  
0.3 µm).  
TTL or CMOS input levels programmable per pin for the  
Same basic architecture as lower-voltage, advanced  
process technology Series 3 architectures. (See ORCA  
Series 3L FPGA documentation.)  
OR3Cxx (5.0 V) devices.  
Individually programmable drive capability:  
12 mA sink/6 mA source or 6 mA sink/3 mA source.  
Up to 186,000 usable gates.  
Built-in boundary scan (IEEE 1149.1 JTAG) and  
Up to 452 user I/Os. (OR3Txxx I/Os are 5 V tolerant to  
allow interconnection to both 3.3 V and 5 V devices,  
selectable on a per-pin basis.)  
TS_ALL testability function to 3-state all I/O pins.  
Enhanced system clock routing for low skew, high-speed  
clocks originating on-chip or at any I/O.  
Pin selectable I/O clamping diodes provide 5 V or 3.3 V  
PCI compliance and 5 V tolerance on OR3Txxx devices.  
Up to four ExpressCLK inputs allow extremely fast clock-  
ing of signals on- and off-chip plus access to internal  
general clock routing.  
Twin-quad programmable function unit (PFU) architec-  
ture with eight 16-bit look-up tables (LUTs) per PFU,  
organized in two nibbles for use in nibble- or byte-wide  
functions. Allows for mixed arithmetic and logic functions  
in a single PFU.  
StopCLK feature to glitchlessly stop/start ExpressCLKs  
independently by user command.  
Programmable I/O (PIO) has:  
Nine user registers per PFU, one following each LUT,  
plus one extra. All have programmable clock enable and  
local set/reset, plus a global set/reset that can be dis-  
abled per PFU.  
— Fast-capture input latch and input flip-flop (FF) latch  
for reduced input setup time and zero hold time.  
— Capability to (de)multiplex I/O signals.  
— Fast access to SLIC for decodes and PAL-like  
functions.  
Flexible input structure (FINS) of the PFUs provides a  
routability enhancement for LUTs with shared inputs and  
the logic flexibility of LUTs with independent inputs.  
— Output FF and two-signal function generator to  
reduce CLK to output propagation delay.  
— Fast open-drain dive capability  
Fast-carry logic and routing to adjacent PFUs for nibble-,  
byte-wide, or longer arithmetic functions, with the option  
to register the PFU carry-out.  
— Capability to register 3-state enable signal.  
Baseline FPGA family used in Series 3+ FPSCs (field  
programmable system chips) which combine FPGA logic  
and standard cell logic on one device.  
Softwired LUTs (SWL) allow fast cascading of up to  
three levels of LUT logic in a single PFU for up to 40%  
speed improvement.  
* PAL is a trademark of Advanced Micro Devices, Inc.  
IEEE is a registered trademark of The Institute of Electrical and  
Electronics Engineers, Inc.  
Supplemental logic and interconnect cell (SLIC) provides  
3-statable buffers, up to 10-bit decoder, and PAL*-like  
AND-OR with optional INVERT in each programmable  
Table 1. ORCA Series 3 (3C and 3T) FPGAs  
System  
Process  
Device  
LUTs  
Registers Max User RAM User I/Os Array Size  
Gates‡  
36K  
Technology  
0.3 µm/4 LM  
0.3 µm/4 LM  
0.3 µm/4 LM  
0.3 µm/4 LM  
0.3 µm/4 LM  
OR3T20  
OR3T30  
1152  
1568  
2592  
3872  
6272  
1872  
2436  
3780  
5412  
8400  
18K  
25K  
42K  
62K  
100K  
196  
228  
292  
356  
452  
12 x 12  
14 x 14  
18 x 18  
22 x 22  
28 x 28  
48K  
OR3C/3T55  
OR3C/3T80  
OR3T125  
80K  
116K  
186K  
The system gate counts range from a logic-only gate count to a gate count assuming 30% of the PFUs/SLICs being used as RAMs.  
The logic-only gate count includes each PFU/SLIC (counted as 108 gates per PFU/SLIC), including 12 gates per LUT/FF pair (eight per  
PFU), and 12 gates per SLIC/FF pair (one per PFU). Each of the four PIOs per PIC is counted as 16 gates (two FFs, fast-capture latch,  
output logic, CLK drivers, and I/O buffers). PFUs used as RAM are counted at four gates per bit, with each PFU capable of implementing  
a 32 x 4 RAM (or 512 gates) per PFU.  
 
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
Contents  
Table of Contents  
Page Contents  
Page  
Features ......................................................................1  
System-Level Features................................................6  
Description...................................................................7  
FPGA Overview ........................................................7  
PLC Logic ..................................................................7  
PIC Logic ...................................................................8  
System Features .......................................................8  
Routing ......................................................................8  
Configuration .............................................................8  
ORCA Foundry Development System ......................9  
Architecture .................................................................9  
Programmable Logic Cells ........................................11  
Programmable Function Unit ..................................11  
Look-Up Table Operating Modes ............................13  
Supplemental Logic and Interconnect Cell (SLIC) ..21  
PLC Latches/Flip-Flops ...........................................25  
PLC Routing Resources ..........................................27  
PLC Architectural Description .................................34  
Programmable Input/Output Cells .............................36  
5 V Tolerant I/O .......................................................37  
PCI Compliant I/O ...................................................37  
Inputs ......................................................................38  
Outputs ....................................................................41  
PIC Routing Resources ...........................................44  
PIC Architectural Description ..................................45  
High-Level Routing Resources..................................47  
Interquad Routing ....................................................47  
Programmable Corner Cell Routing ........................48  
PIC Interquad (MID) Routing ...................................49  
Clock Distribution Network ........................................50  
PFU Clock Sources .................................................50  
Clock Distribution in the PLC Array .........................51  
Clock Sources to the PLC Array .............................52  
Clocks in the PICs ...................................................52  
ExpressCLK Inputs .................................................53  
Selecting Clock Input Pins ......................................53  
Special Function Blocks ............................................54  
Single Function Blocks ............................................54  
Boundary Scan ........................................................57  
Microprocessor Interface (MPI) .................................64  
PowerPC System ....................................................65  
i960 System ............................................................66  
MPI Interface to FPGA ............................................67  
MPI Setup and Control ............................................68  
Programmable Clock Manager (PCM) ......................72  
PCM Registers ........................................................73  
Delay-Locked Loop (DLL) Mode .............................75  
Phase-Locked Loop (PLL) Mode ............................76  
PCM/FPGA Internal Interface .................................79  
PCM Operation .......................................................79  
PCM Detailed Programming ...................................80  
PCM Applications ....................................................83  
PCM Cautions ........................................................ 84  
FPGA States of Operation........................................ 85  
Initialization ............................................................. 85  
Configuration .......................................................... 86  
Start-Up .................................................................. 87  
Reconfiguration ...................................................... 88  
Partial Reconfiguration ........................................... 88  
Other Configuration Options ................................... 88  
Configuration Data Format ...................................... 89  
Using ORCA Foundry to Generate  
Configuration RAM Data ....................................... 89  
Configuration Data Frame ...................................... 89  
Bit Stream Error Checking ...................................... 91  
FPGA Configuration Modes...................................... 92  
Master Parallel Mode ............................................. 92  
Master Serial Mode ................................................ 93  
Asynchronous Peripheral Mode ............................. 94  
Microprocessor Interface (MPI) Mode .................... 94  
Slave Serial Mode .................................................. 97  
Slave Parallel Mode ............................................... 97  
Daisy-Chaining ....................................................... 98  
Daisy-Chaining with Boundary Scan ...................... 99  
Absolute Maximum Ratings.................................... 100  
Recommended Operating Conditions .................. 100  
Electrical Characteristics ........................................ 101  
Timing Characteristics............................................ 103  
Description ........................................................... 103  
PFU Timing ......................................................... 104  
PLC Timing ........................................................... 111  
SLIC Timing .......................................................... 111  
PIO Timing ........................................................... 112  
Special Function Blocks Timing ........................... 115  
Clock Timing ......................................................... 123  
Configuration Timing ............................................ 133  
Readback Timing ................................................. 142  
Input/Output Buffer Measurement Conditions ........ 143  
Output Buffer Characteristics ................................. 144  
OR3Cxx ................................................................ 144  
OR3Txxx .............................................................. 145  
Estimating Power Dissipation................................. 146  
OR3Cxx ................................................................ 146  
OR3Txxx (Preliminary Information) ...................... 147  
Pin Information ....................................................... 149  
Pin Descriptions ................................................... 149  
Package Compatibility .......................................... 153  
Compatibility with OR2C/TxxA Series .................. 154  
Package Thermal Characteristics........................... 194  
ΘJA ....................................................................... 194  
ψ
JC ...................................................................... 194  
ΘJC ...................................................................... 194  
ΘJB ...................................................................... 194  
FPGA Maximum Junction Temperature ............... 195  
Lucent Technologies Inc.  
2
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
Page  
Table of Contents  
Page Contents  
Contents  
Package Coplanarity ...............................................196  
Package Parasitics..................................................196  
Package Outline Diagrams......................................197  
Terms and Definitions ...........................................197  
208-Pin SQFP .......................................................198  
208-Pin SQFP2 .....................................................199  
240-Pin SQFP .......................................................200  
240-Pin SQFP2 .....................................................201  
256-Pin PBGA .......................................................202  
352-Pin PBGA .......................................................203  
432-Pin EBGA .......................................................204  
600-Pin EBGA .......................................................205  
Ordering Information................................................206  
Index........................................................................207  
Table 32. Configuration Frame Format and  
Contents ..................................................................90  
Table 33. Configuration Frame Size .........................91  
Table 34. Configuration Modes ................................92  
Table 35. Absolute Maximum Ratings ....................100  
Table 36. Recommended Operating Conditions ....100  
Table 37. Electrical Characteristics ........................101  
Table 38. Derating for Commercial Devices  
(OR3Cxx) ..............................................................103  
Table 39. Derating for Industrial Devices (OR3Cxx) 103  
Table 40. Derating for Commercial/Industrial  
Devices (OR3Txxx) ...............................................103  
Table 41. Combinatorial PFU Timing  
Characteristics .......................................................104  
Table 42. Sequential PFU Timing Characteristics ..106  
Table 43. Ripple Mode PFU Timing  
Tables  
Table 1. ORCA Series 3 (3C and 3T) FPGAs ............2  
Table 2. ORCA Series 3 System Performance ..........6  
Table 3. Look-Up Table Operating Modes ...............13  
Table 4. Control Input Functionality ..........................14  
Table 5. Ripple Mode Equality Comparator  
Functions and Outputs ............................................18  
Table 6. SLIC Modes ................................................21  
Table 7. Configuration RAM Controlled  
Characteristics .......................................................107  
Table 44. Synchronous Memory Write  
Characteristics .......................................................109  
Table 45. Synchronous Memory Read  
Characteristics .......................................................110  
Table 46. PFU Output MUX and Direct Routing  
Timing Characteristics ...........................................111  
Table 47. Supplemental Logic and Interconnect  
Cell (SLIC) Timing Characteristics ........................111  
Table 48. Programmable I/O (PIO) Timing  
Characteristics .......................................................112  
Table 49. Microprocessor Interface (MPI) Timing  
Characteristics .......................................................115  
Table 50. Programmable Clock Manager (PCM)  
Timing Characteristics (Preliminary Information) ..121  
Table 51. Boundary-Scan Timing Characteristics ..122  
Table 52. ExpressCLK (ECLK) and Fast Clock  
(FCLK) Timing Characteristics ..............................123  
Table 53. General-Purpose Clock Timing  
Characteristics (Internally Generated Clock) .........124  
Table 54. OR3Cxx ExpressCLK to Output Delay  
(Pin-to-Pin) ............................................................125  
Table 55. OR3Cxx Fast Clock (FCLK) to Output  
Delay (Pin-to-Pin) ..................................................126  
Table 56. OR3Cxx General System Clock (SCLK)  
to Output Delay (Pin-to-Pin) ..................................127  
Table 57. OR3C/Txxx Input to ExpressCLK (ECLK)  
Fast-Capture Setup/Hold Time (Pin-to-Pin) ..........128  
Table 58. OR3C/Txxx Input to Fast Clock  
Setup/Hold Time (Pin-to-Pin) ................................130  
Table 59. OR3C/Txxx Input to General System  
Clock (SCLK) Setup/Hold Time (Pin-to-Pin) ..........132  
Table 60. General Configuration Mode Timing  
Characteristics .......................................................133  
Latch/Flip-Flop Operation ........................................25  
Table 8. Inter-PLC Routing Resources .....................31  
Table 9. PIO Options ................................................37  
Table 10. PIO Logic Options ....................................43  
Table 11. PIO Register Control Signals ....................43  
Table 12. Readback Options ....................................54  
Table 13. Boundary-Scan Instructions .....................58  
Table 14. Boundary-Scan ID Code ...........................59  
Table 15. TAP Controller Input/Outputs ...................61  
Table 16. PowerPC/MPI Configuration .....................65  
Table 17. i960/MPI Configuration .............................66  
Table 18. MPI Internal Interface Signals ..................67  
Table 19. MPI Setup and Control Registers .............68  
Table 20. MPI Setup and Control Registers  
Description ...............................................................68  
Table 21. MPI Control Register 2 .............................69  
Table 22. Status Register .........................................70  
Table 23. Device ID Code ........................................71  
Table 24. Series 3 Family and Device ID Values .....71  
Table 25. ORCA Series 3 Device ID Descriptions ....71  
Table 26. PCM Registers .........................................73  
Table 27. DLL Mode Delay/1x Duty Cycle  
Programming Values ...............................................75  
Table 28. DLL Mode Delay/2x Duty Cycle  
Programming Values ...............................................76  
Table 29. PCM Oscillator Frequency Range 3Txxx .78  
Table 30. PCM Oscillator Frequency Range 3Cxx ...78  
Table 31. PCM Control Registers .............................80  
Table 61. Master Serial Configuration Mode Timing  
3
Lucent Technologies Inc.  
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
Contents  
Table of Contents  
Page Contents  
Page  
Characteristics ......................................................136  
Table 62. Master Parallel Configuration Mode Timing  
Characteristics ......................................................137  
Table 63. Asynchronous Peripheral Configuration Mode  
Timing Characteristics ...........................................138  
Table 64. Slave Serial Configuration Mode Timing  
Characteristics ......................................................139  
Table 65. Slave Parallel Configuration Mode  
Timing Characteristics ...........................................140  
Table 66. Readback Timing Characteristics ...........142  
Table 67. Pin Descriptions ......................................149  
Table 68. ORCA I/Os Summary .............................153  
Table 69. Series 3 ExpressCLK Pins .....................154  
Table 70. OR3T20, OR3T30, OR3C/T55,  
Figure 14. Buffer-Decoder-Buffer Mode ...................23  
Figure 15. Buffer-Decoder-Decoder Mode ...............24  
Figure 16. Decoder Mode .........................................24  
Figure 17. Latch/FF Set/Reset Configurations .........26  
Figure 18. Configurable Interconnect Point ..............27  
Figure 19. Single PLC View of Inter-PLC Route  
Segments ................................................................28  
Figure 20. Multiple PLC View of Inter-PLC Routing .32  
Figure 21. PLC Architecture .....................................35  
Figure 22. OR3C/Txxx Programmable Input/Output  
(PIO) Image from ORCA Foundry ...........................36  
Figure 23. Fast-Capture Latch and Timing ...............39  
Figure 24. PIO Input Demultiplexing .........................40  
Figure 25. Output Multiplexing (OUT1OUT2 Mode) .42  
Figure 26. Output Multiplexing  
OR3C/T80, and OR3T125 208-Pin  
SQFP/SQFP2 Pinout ............................................155  
Table 71. OR3T20, OR3T30, OR3C/T55,  
OR3C/T80, and OR3T125 240-Pin  
SQFP/SQFP2 Pinout ............................................161  
Table 72. OR3T20, OR3T30, and OR3C/T55  
256-Pin PBGA Pinout ............................................168  
Table 73. OR3T20, OR3T30, OR3C/T55,  
(OUT2OUTREG Mode) ...........................................42  
Figure 27. PIC Architecture ......................................46  
Figure 28. Interquad Routing ....................................47  
Figure 29. hIQ Block Detail .......................................48  
Figure 30. Top (TMID) Routing .................................49  
Figure 31. PFU Clock Sources .................................50  
Figure 32. ORCA Series 3 System Clock  
OR3C/T80, and OR3T125 352-Pin PBGA Pinout .172  
Table 74. OR3C/T80 and OR3T125 432-Pin  
EBGA Pinout .........................................................182  
Table 75. OR3T125 600-Pin EBGA Pinout ............187  
Table 76. Plastic Package Thermal  
Distribution Overview ..............................................51  
Figure 33. PIC System Clock Spine Generation ......52  
Figure 34. ExpressCLK and Fast Clock Distribution 53  
Figure 35. Top CLKCNTRL Function Block ..............56  
Figure 36. Printed-Circuit Board with Boundary-  
Characteristics for the ORCA Series .....................195  
Table 77. Package Coplanarity ..............................196  
Table 78. Package Parasitics .................................196  
Table 79. Voltage Options ......................................206  
Table 80. Temperature Options .............................206  
Table 81. Package Options ....................................206  
Table 82. ORCA Series 3 Package Matrix .............206  
Table 83. Speed Grade Options .............................206  
Scan Circuitry ..........................................................57  
Figure 37. Boundary-Scan Interface .........................58  
Figure 38. ORCA Series Boundary-Scan Circuitry  
Functional Diagram .................................................60  
Figure 39. TAP Controller State Transition Diagram 61  
Figure 40. Boundary-Scan Cell ................................62  
Figure 41. Instruction Register Scan Timing  
Diagram ...................................................................63  
Figure 42. MPI Block Diagram ..................................64  
Figure 43. PowerPC/MPI ..........................................65  
Figure 44. i960/MPI ..................................................66  
Figure 45. PCM Block Diagram ................................72  
Figure 46. PCM Functional Block Diagram ..............74  
Figure 47. ExpressCLK Delay Minimization Using  
the PCM ..................................................................76  
Figure 48. Clock Phase Adjustment Using the PCM 83  
Figure 49. FPGA States of Operation .......................85  
Figure 50. Initialization/Configuration/Start-Up  
Figures  
Figure 1. OR3C/T55 Array ........................................10  
Figure 2. PFU Ports ..................................................11  
Figure 3. Simplified PFU Diagram ............................12  
Figure 4. Simplified F4 and F5 Logic Modes ............14  
Figure 5. Softwired LUT Topology Examples ...........15  
Figure 6. Ripple Mode ..............................................16  
Figure 7. Counter Submode .....................................17  
Figure 8. Multiplier Submode ....................................18  
Figure 9. Memory Mode ...........................................19  
Figure 10. Memory Mode Expansion Example—  
Waveforms ..............................................................86  
Figure 51. Start-Up Waveforms ................................88  
Figure 52. Serial Configuration Data Format—  
128 x 8 RAM ...........................................................20  
Figure 11. SLIC All Modes Diagram .........................22  
Figure 12. Buffer Mode .............................................22  
Figure 13. Buffer-Buffer-Decoder Mode ...................23  
Autoincrement Mode ...............................................90  
Figure 53. Serial Configuration Data Format—  
Lucent Technologies Inc.  
4
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
Page  
Table of Contents  
Page Contents  
Contents  
Explicit Mode ...........................................................90  
Figure 54. Master Parallel Configuration Schematic 92  
Figure 55. Master Serial Configuration Schematic ...93  
Figure 56. Asynchronous Peripheral Configuration ..94  
Figure 57. PowerPC/MPI Configuration Schematic ..95  
Figure 58. i960/MPI Configuration Schematic ..........95  
Figure 59. Configuration Through MPI .....................95  
Figure 60. Readback Through MPI ..........................96  
Figure 61. Slave Serial Configuration Schematic .....97  
Figure 62. Slave Parallel Configuration Schematic ..97  
Figure 63. Daisy-Chain Configuration Schematic .....98  
Figure 64. Combinatorial PFU Timing ....................105  
Figure 65. Synchronous Memory Write  
Characteristics ......................................................109  
Figure 66. Synchronous Memory Read Cycle ........110  
Figure 67. MPI PowerPC User Space Read Timing 117  
Figure 68. MPI PowerPC User Space Write Timing 117  
Figure 69. MPI PowerPC Internal Read Timing .....118  
Figure 70. MPI PowerPC Internal Write Timing ......118  
Figure 71. MPI i960 User Space Read Timing .......119  
Figure 72. MPI i960 User Space Write Timing .......119  
Figure 73. MPI i960 Internal Read Timing ..............120  
Figure 74. MPI i960 Internal Write Timing ..............120  
Figure 75. Boundary-Scan Timing Diagram ...........122  
Figure 76. ExpressCLK to Output Delay ................125  
Figure 77. Fast Clock to Output Delay ...................126  
Figure 78. System Clock to Output Delay ..............127  
Figure 79. Input to ExpressCLK Setup/Hold Time ..129  
Figure 80. Input to Fast Clock Setup/Hold Time .....131  
Figure 81. Input to System Clock Setup/Hold Time 132  
Figure 82. General Configuration Mode Timing  
Diagram .................................................................135  
Figure 83. Master Serial Configuration Mode  
Timing Diagram .....................................................136  
Figure 84. Master Parallel Configuration Mode  
Timing Diagram .....................................................137  
Figure 85. Asynchronous Peripheral Configuration  
Mode Timing Diagram ...........................................138  
Figure 86. Slave Serial Configuration Mode  
Timing Diagram .....................................................139  
Figure 87. Slave Parallel Configuration Mode  
Timing Diagram .....................................................140  
Figure 88. Readback Timing Diagram ....................142  
Figure 89. ac Test Loads ........................................143  
Figure 90. Output Buffer Delays .............................143  
Figure 91. Input Buffer Delays ................................143  
Figure 92. Sinklim (TJ = 25 °C, VDD = 5.0 V) ..........144  
Figure 93. Slewlim (TJ = 25 °C, VDD = 5.0 V) .........144  
Figure 94. Fast (TJ °C, VDD = 5.0 V) ......................144  
Figure 95. Sinklim (TJ = 125 °C, VDD = 4.5 V) ........144  
Figure 96. Slewlim (TJ = 125 °C, VDD = 4.5 V) .......144  
Figure 97. Fast (TJ = 125 °C, VDD = 4.5 V) ............144  
Figure 98. Sinklim (TJ = 25 °C, VDD = 3.3 V) ..........145  
Figure 99. Slewlim (TJ = 25 °C, VDD = 3.3 V) .........145  
Figure 100. Fast (TJ = 25 °C, VDD = 3.3 V) ............145  
Figure 101. Sinklim (TJ = 125 °C, VDD = 3.0 V) ......145  
Figure 102. Slewlim (TJ = 125 °C, VDD = 3.0 V) .....145  
Figure 103. Fast (TJ = 125 °C, VDD = 3.0 V) ..........145  
Figure 104. Package Parasitics ..............................196  
Lucent Technologies Inc.  
5
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
System-Level Features  
phase and duty cycle for input clock rates from  
5 MHz to 120 MHz. The PCM may be combined with  
FPGA logic to create complex functions, such as dig-  
ital phase-locked loops (DPLL), frequency counters,  
and frequency synthesizers or clock doublers. Two  
PCMs are provided per device.  
System-level features reduce glue logic requirements  
and make a system on a chip possible. These features  
in the ORCA Series 3 include:  
Full PCI local bus compliance.  
True, internal, 3-state, bidirectional buses with simple  
Dual-use microprocessor interface (MPI) can be  
used for configuration, readback, device control, and  
device status, as well as for a general-purpose inter-  
face to the FPGA. Glueless interface to i960* and  
PowerPCprocessors with user-configurable  
address space provided.  
control provided by the SLIC.  
32 x 4 RAM per PFU, configurable as single- or dual-  
port at >176 MHz. Create large, fast RAM/ROM  
blocks (128 x 8 in only eight PFUs) using the SLIC  
decoders as bank drivers.  
Parallel readback of configuration data capability with  
* i960 is a registered trademark of Intel Corporation.  
PowerPC is a registered trademark of International Business  
Machines Corporation.  
the built-in microprocessor interface.  
Programmable clock manager (PCM) adjusts clock  
Table 2. ORCA Series 3 System Performance  
Parameter  
Speed  
# PFUs  
Unit  
-4  
78  
78  
-5  
-6  
-7  
168  
168  
16-bit Loadable Up/Down Counter  
16-bit Accumulator  
2
2
102  
102  
131  
131  
MHz  
MHz  
8 x 8 Parallel Multiplier:  
Multiplier Mode, Unpipelined1  
ROM Mode, Unpipelined2  
Multiplier Mode, Pipelined3  
32 x 16 RAM (synchronous):  
Single-port, 3-state Bus4  
Dual-port5  
11.5  
8
15  
19  
51  
76  
25  
66  
104  
30  
80  
127  
38  
102  
166  
MHz  
MHz  
MHz  
4
4
97  
127  
127  
166  
151  
203  
192  
253  
MHz  
MHz  
128 x 8 RAM (synchronous):  
Single-port, 3-state Bus4  
Dual-port5  
8
8
88  
88  
116  
116  
139  
139  
176  
176  
MHz  
MHz  
8-bit Address Decode (internal):  
Using Softwired LUTs  
Using SLICs6  
0.25  
0
4.87  
2.35  
3.66  
1.82  
2.58  
1.23  
2.03  
0.99  
ns  
ns  
32-bit Address Decode (internal):  
Using Softwired LUTs  
Using SLICs7  
2
0
2
16.06 12.07 9.01  
6.91 5.41 4.21  
16.06 12.07 9.01  
7.03  
3.37  
7.03  
ns  
ns  
ns  
36-bit Parity Check (internal)  
1. Implemented using 8 x 1 multiplier mode (unpipelined), register-to-register, two 8-bit inputs, one 16-bit output.  
2. Implemented using two 32 x 12 ROMs and one 12-bit adder, one 8-bit input, one fixed operand, one 16-bit output.  
3. Implemented using 8 x 1 multiplier mode (fully pipelined), two 8-bit inputs, one 16-bit output (7 of 15 PFUs contain only pipelining registers).  
4. Implemented using 32 x 4 RAM mode with read data on 3-state buffer to bidirectional read/write bus.  
5. Implemented using 32 x 4 dual-port RAM mode.  
6. Implemented in one partially occupied SLIC with decoded output set up to CE in same PLC.  
7. Implemented in five partially occupied SLICs.  
6
Lucent Technologies Inc.  
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
PLC Logic  
Description  
Each PFU within a PLC contains eight 4-input (16-bit)  
look-up tables (LUTs), eight latches/flip-flops (FFs),  
and one additional flip-flop that may be used indepen-  
dently or with arithmetic functions.  
FPGA Overview  
The ORCA Series 3 FPGAs are a new generation of  
SRAM-based FPGAs built on the successful OR2C/  
TxxA FPGA Series from Lucent Technologies Micro-  
electronics Group, with enhancements and innovations  
geared toward today’s high-speed designs and tomor-  
row’s systems on a single chip. Designed from the start  
to be synthesis friendly and to reduce place and route  
times while maintaining the complete routability of the  
ORCA 2C/2T devices, Series 3 more than doubles the  
logic available in each logic block and incorporates sys-  
tem-level features that can further reduce logic require-  
ments and increase system speed. ORCA Series 3  
devices contain many new patented enhancements  
and are offered in a variety of packages, speed grades,  
and temperature ranges.  
The PFU is organized in a twin-quad fashion: two sets  
of four LUTs and FFs that can be controlled indepen-  
dently. LUTs may also be combined for use in arith-  
metic functions using fast-carry chain logic in either  
4-bit or 8-bit modes. The carry-out of either mode may  
be registered in the ninth FF for pipelining. Each PFU  
may also be configured as a synchronous 32 x 4 sin-  
gle- or dual-port RAM or ROM. The FFs (or latches)  
may obtain input from LUT outputs or directly from  
invertible PFU inputs, or they can be tied high or tied  
low. The FFs also have programmable clock polarity,  
clock enables, and local set/reset.  
The SLIC is connected to PLC routing resources and to  
the outputs of the PFU. It contains 3-state, bidirectional  
buffers and logic to perform up to a 10-bit AND function  
for decoding, or an AND-OR with optional INVERT  
(AOI) to perform PAL-like functions. The 3-state drivers  
in the SLIC and their direct connections to the PFU out-  
puts make fast, true 3-state buses possible within the  
FPGA, reducing required routing and allowing for real-  
world system performance.  
The ORCA Series 3 FPGAs consist of three basic ele-  
ments: programmable logic cells (PLCs), programma-  
ble input/output cells (PICs), and system-level features.  
An array of PLCs is surrounded by PICs. Each PLC  
contains a programmable function unit (PFU), a sup-  
plemental logic and interconnect cell (SLIC), local rout-  
ing resources, and configuration RAM. Most of the  
FPGA logic is performed in the PFU, but decoders,  
PAL-like functions, and 3-state buffering can be per-  
formed in the SLIC. The PICs provide device inputs  
and outputs and can be used to register signals and to  
perform input demultiplexing, output multiplexing, and  
other functions on two output signals. Some of the sys-  
tem-level functions include the new microprocessor  
interface (MPI) and the programmable clock manager  
(PCM).  
Lucent Technologies Inc.  
7
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
innovative programmable clock manager. These func-  
tional blocks allow for easy glueless system interfacing  
and the capability to adjust to varying conditions in  
today’s high-speed systems.  
Description (continued)  
PIC Logic  
Series 3 PIC addresses the demand for ever-increas-  
ing system clock speeds. Each PIC contains four pro-  
grammable inputs/outputs (PIOs) and routing  
Routing  
resources. On the input side, each PIO contains a fast-  
capture latch that is clocked by an ExpressCLK. This  
latch is followed by a latch/FF that is clocked by a sys-  
tem clock from the internal general clock routing. The  
combination provides for very low setup requirements  
and zero hold times for signals coming on-chip. It may  
also be used to demultiplex an input signal, such as a  
multiplexed address/data signal, and register the sig-  
nals without explicitly building a demultiplexer. Two  
input signals are available to the PLC array from each  
PIO, and the ORCA 2C/2T capability to use any input  
pin as a clock or other global input is maintained.  
The abundant routing resources of the ORCA Series 3  
FPGAs are organized to route signals individually or as  
buses with related control signals. Clocks are routed on  
a low-skew, high-speed distribution network and may  
be sourced from PLC logic, externally from any I/O  
pad, or from the very fast ExpressCLK pins. Express-  
CLKs may be glitchlessly and independently enabled  
and disabled with a programmable control signal using  
the new StopCLK feature. The improved PIC routing  
resources are now similar to the patented intra-PLC  
routing resources and provide great flexibility in moving  
signals to and from the PIOs. This flexibility translates  
into an improved capability to route designs at the  
required speeds when the I/O signals have been locked  
to specific pins.  
On the output side of each PIO, two outputs from the  
PLC array can be routed to each output flip-flop, and  
logic can be associated with each I/O pad. The output  
logic associated with each pad allows for multiplexing  
of output signals and other functions of two output sig-  
nals.  
Configuration  
The output FF in combination with output signal multi-  
plexing, is particularly useful for registering address  
signals to be multiplexed with data, allowing a full clock  
cycle for the data to propagate to the output. The I/O  
buffer associated with each pad is very similar to the  
ORCA 2C/2T Series buffer with a new, fast, open-drain  
option for ease of use on system buses.  
The FPGA’s functionality is determined by internal  
configuration RAM. The FPGA’s internal initialization/  
configuration circuitry loads the configuration data at  
powerup or under system control. The RAM is loaded  
by using one of several configuration modes. The con-  
figuration data resides externally in an EEPROM or any  
other storage media. Serial EEPROMs provide a sim-  
ple, low pin count method for configuring FPGAs. A  
new, easy method for configuring the devices is  
through the microprocessor interface.  
System Features  
Series 3 also provides system-level functionality by  
means of its dual-use microprocessor interface and its  
8
Lucent Technologies Inc.  
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
The OR3C/T55 array in Figure 1 has PLCs arranged in  
an array of 18 rows and 18 columns. The location of a  
PLC is indicated by its row and column so that a PLC in  
the second row and the third column is R2C3. PICs are  
located on all four sides of the FPGA between the  
PLCs and the device edge. PICs are indicated using  
PT and PB to designate PICs on the top and bottom  
sides of the array, respectively, and PL and PR to des-  
ignate PICs along the left and right sides of the array,  
respectively. The position of a PIC on an edge of the  
array is indicated by a number, counting from left to  
right for PT and PB and top to bottom for PL and PR  
PICs.  
Description (continued)  
ORCA Foundry Development System  
The ORCA Foundry Development System is used to  
process a design from a netlist to a configured FPGA.  
This system is used to map a design onto the ORCA  
architecture and then place and route it using ORCA  
Foundry’s timing-driven tools. The development system  
also includes interfaces to, and libraries for, other popu-  
lar CAE tools for design entry, synthesis, simulation,  
and timing analysis.  
The ORCA Foundry Development System interfaces to  
front-end design entry tools and provides the tools to  
produce a configured FPGA. In the design flow, the  
user defines the functionality of the FPGA at two points  
in the design flow: at design entry and at the bit stream  
generation stage.  
Each PIC contains routing resources and four program-  
mable I/Os (PIOs). Each PIO contains the necessary  
I/O buffers to interface to bond pads. PIOs in Series 3  
FPGAs also contain input and output FFs, fast open-  
drain capability on output buffers, special output logic  
functions, and signal multiplexing/demultiplexing capa-  
bilities.  
Following design entry, the development system’s map,  
place, and route tools translate the netlist into a routed  
FPGA. A static timing analysis tool is provided to deter-  
mine device speed and a back-annotated netlist can be  
created to allow simulation. Timing and simulation out-  
put files from ORCA Foundry are also compatible with  
many third-party analysis tools. Its bit stream generator  
is then used to generate the configuration data which is  
loaded into the FPGA’s internal configuration RAM.  
When using the bit stream generator, the user selects  
options that affect the functionality of the FPGA. Com-  
bined with the front-end tools, ORCA Foundry pro-  
duces configuration data that implements the various  
logic and routing options discussed in this data sheet.  
PLCs comprise a programmable function unit (PFU), a  
supplemental logic and interconnect cell (SLIC), and  
routing resources. The PFU is the main logic element  
of the PLC, containing elements for both combinatorial  
and sequential logic. Combinatorial logic is done in  
look-up tables (LUTs) located in the PFU. The PFU can  
be used in different modes to meet different logic  
requirements. The LUT’s twin-quad architecture pro-  
vides a configurable medium-/large-grain architecture  
that can be used to implement from one to eight inde-  
pendent combinatorial logic functions or a large num-  
ber of complex logic functions using multiple LUTs. The  
flexibility of the LUT to handle wide input functions, as  
well as multiple smaller input functions, maximizes the  
gate count per PFU while increasing system speed.  
Architecture  
The LUTs can be programmed to operate in one of  
three modes: combinatorial, ripple, or memory. In com-  
binatorial mode, the LUTs can realize any 4- or 5-input  
logic function and many multilevel logic functions using  
ORCA’s softwired LUT (SWL) connections. In ripple  
mode, the high-speed carry logic is used for arithmetic  
functions, comparator functions, or enhanced data path  
functions. In memory mode, the LUTs can be used as a  
32 x 4 synchronous read/write or read-only memory, in  
either single- or dual-port mode.  
The ORCA Series 3 FPGA comprises three basic ele-  
ments: PLCs, PICs, and system-level functions. Figure  
1 shows an array of programmable logic cells (PLCs)  
surrounded by programmable input/output cells (PICs).  
Also shown are the interquad routing blocks (hIQ, vIQ)  
present in Series 3. System-level functions (located in  
the corners of the array) and the routing resources and  
configuration RAM are not shown in Figure 1.  
Lucent Technologies Inc.  
9
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
Architecture (continued)  
PT1  
PT2  
PT3  
PT4  
PT5  
PT6  
PT7  
PT8  
PT9  
TMID PT10  
R1C10  
PT11 PT12  
PT13  
PT14  
PT15 PT16  
PT17  
PT18  
R1C11 R1C12 R1C13 R1C14 R1C15 R1C16 R1C17 R1C18  
R1C1 R1C2 R1C3 R1C4 R1C5 R1C6 R1C7 R1C8 R1C9  
R2C1 R2C2 R2C3 R2C4 R2C5 R2C6 R2C7 R2C8 R2C9  
R2C10 R2C11 R2C12 R2C13 R2C14 R2C15 R2C16 R2C17 R2C18  
vIQ  
R3C11 R3C12 R3C13 R3C14 R3C15 R13C16 R3C17 R3C18  
R4C11 R4C12 R4C13 R4C14 R4C15 R4C16 R4C17 R4C18  
R5C11 R5C12 R5C13 R5C14 R5C15 R5C16 R5C17 R5C18  
R3C1 R3C2 R3C3 R3C4 R3C5 R3C6 R3C7 R3C8 R3C9  
R4C1 R4C2 R4C3 R4C4 R4C5 R4C6 R4C7 R4C8 R4C9  
R5C1 R5C2 R5C3 R5C4 R5C5 R5C6 R5C7 R5C8 R5C9  
R6C1 R6C2 R6C3 R6C4 R6C5 R6C6 R6C7 R6C8 R6C9  
R7C1 R7C2 R7C3 R7C4 R7C5 R7C6 R7C7 R7C8 R7C9  
R8C1 R8C2 R8C3 R8C4 R8C5 R8C6 R8C7 R8C8 R8C9  
R3C10  
R4C10  
R5C10  
R6C10 R6C11 R6C12 R6C13 R6C14 R6C15 R6C16 R6C17 R6C18  
R7C10 R7C11 R7C12 R7C13 R7C14 R7C15 R7C16 R7C17 R7C18  
R8C10  
R9C10  
R8C11 R8C12 R8C13 R8C14 R8C15 R8C16 R8C17 R8C18  
R9C11 R9C12 R9C13 R9C14 R9C15 R9C16 R9C17 R9C18  
R9C1 R9C2 R9C3 R9C4 R9C5 R9C6 R9C7 R9C8 R9C9  
hIQ  
R10C1 R10C2 R10C3 R10C4 R10C5 R10C6 R10C7 R10C8 R10C9  
R10C10 R10C11 R10C12 R10C13 R10C14 R10C15 R10C16 R10C17 R10C18  
R11C1 R11C2 R11C3 R11C4 R11C5 R11C6 R11C7 R11C8 R11C9  
R12C1 R12C2 R12C3 R12C4 R12C5 R12C6 R12C7 R12C8 R12C9  
R13C1 R13C2 R13C3 R13C4 R13C5 R13C6 R13C7 R13C8 R13C9  
R14C1 R14C2 R14C3 R14C4 R14C5 R14C6 R14C7 R14C8 R14C9  
R11C10 R11C11R11C12 R11C13 R11C14 R11C15 R11C16 R11C17 R11C18  
R12C10 R12C11R12C12 R12C13 R12C14 R12C15 R12C16 R12C17 R12C18  
R13C10 R13C11R13C12 R13C13 R13C14 R13C15 R13C16 R13C17 R13C18  
R14C10 R14C11R14C12 R14C13 R14C14 R14C15 R14C16 R14C17 R14C18  
R15C1 R15C2 R15C3 R15C4 R15C5 R15C6 R15C7 R15C8 R15C9  
R15C10 R15C11R15C12 R15C13 R15C14 R15C15 R15C16 R15C17 R15C18  
R16C1 R16C2 R16C3 R16C4 R16C5 R16C6 R16C7 R16C8 R16C9  
R17C1 R17C2 R17C3 R17C4 R17C5 R17C6 R17C7 R17C8 R17C9  
R16C10 R16C11R16C12 R16C13 R16C14 R16C15 R16C16 R16C17 R16C18  
R17C10 R17C11R17C12 R17C13 R17C14 R17C15 R17C16 R17C17 R17C18  
R18C1 R18C2 R18C3 R18C4 R18C5 R18C6 R18C7 R18C8 R18C9  
R18C10 R18C11R18C12 R18C13 R18C14 R18C15 R18C16 R18C17 R18C18  
PB1  
PB2  
PB3  
PB4  
PB5  
PB6  
PB7  
PB8  
PB9  
PB10  
BMID PB11  
PB12 PB13  
PB14  
PB15  
PB16 PB17 PB18  
5-4489(F)  
Figure 1. OR3C/T55 Array  
10  
Lucent Technologies Inc.  
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
Programmable Logic Cells  
F5D  
The programmable logic cell (PLC) consists of a pro-  
grammable function unit (PFU), a supplemental logic  
and interconnect cell (SLIC), and routing resources. All  
PLCs in the array are functionally identical with only  
minor differences in routing connectivity for improved  
routability. The PFU, which contains eight 4-input LUTs,  
eight latches/FFs, and one FF for logic implementation,  
is discussed in the next section, followed by discus-  
sions of the SLIC and PLC routing resources.  
K7_0  
K7_1  
K7_2  
K7_3  
K6_0  
K6_1  
K6_2  
K6_3  
K5_0  
K5_1  
K5_2  
K5_3  
K4_0  
K4_1  
K4_2  
K4_3  
Programmable Function Unit  
Q7  
Q6  
Q5  
Q4  
Q3  
Q2  
Q1  
The PFUs are used for logic. Each PFU has 50 external  
inputs and 18 outputs and can operate in several  
modes. The functionality of the inputs and outputs  
depends on the operating mode.  
F5C  
DIN7  
DIN6  
DIN5  
DIN4  
DIN3  
DIN2  
DIN1  
DIN0  
Q0  
The PFU uses 36 data input lines for the LUTs, eight  
data input lines for the latches/FFs, five control inputs  
(ASWE, CLK, CE, LSR, SEL), and a carry input (CIN)  
for fast arithmetic functions and general-purpose data  
input for the ninth FF. There are eight combinatorial data  
outputs (one from each LUT), eight latched/registered  
outputs (one from each latch/FF), a carry-out (COUT),  
and a registered carry-out (REGCOUT) that comes from  
the ninth FF. The carry-out signals are used principally  
for fast arithmetic functions.  
PROGRAMMABLE  
FUNCTION UNIT  
(PFU)  
COUT  
REGCOUT  
CIN  
F5B  
F7  
F6  
F5  
F4  
F3  
F2  
F1  
F0  
K3_0  
K3_1  
K3_2  
K3_3  
K2_0  
K2_1  
K2_2  
K2_3  
Figure 2 and Figure 3 show high-level and detailed  
views of the ports in the PFU, respectively. The eight  
sets of LUT inputs are labeled as K0 through K7 with  
each of the four inputs to each LUT having a suffix of  
_x, where x is a number from 0 to 3. There are four F5  
inputs labeled A through D. These inputs are used for a  
fifth LUT input for 5-input LUTs or as a selector for multi-  
plexing two 4-input LUTs. The eight direct data inputs to  
the latches/FFs are labeled as DIN[7:0]. Registered LUT  
outputs are shown as Q[7:0], and combinatorial LUT  
outputs are labeled as F[7:0].  
K1_0  
K1_1  
K1_2  
K1_3  
K0_0  
K0_1  
K0_2  
K0_3  
F5A  
LSR CLK  
CE  
SEL ASWE  
The PFU implements combinatorial logic in the LUTs  
and sequential logic in the latches/FFs. The LUTs are  
static random access memory (SRAM) and can be used  
for read/write or read-only memory.  
5-5752(F)  
Figure 2. PFU Ports  
Each latch/FF can accept data from its associated LUT.  
Alternatively, the latches/FFs can accept direct data  
from DIN[7:0], eliminating the LUT delay if no combina-  
torial function is needed. Additionally, the CIN input can  
be used as a direct data source for the ninth FF. The  
LUT outputs can bypass the latches/FFs, which reduces  
the delay out of the PFU. It is possible to use the LUTs  
and latches/FFs more or less independently, allowing,  
for instance, a comparator function in the LUTs simulta-  
neously with a shift register in the FFs.  
The PFU can be configured to operate in four modes:  
logic mode, half-logic mode, ripple mode, and memory  
(RAM/ROM) mode. In addition, ripple mode has four  
submodes and RAM mode can be used in either a  
single- or dual-port memory fashion. These submodes  
of operation are discussed in the following sections.  
Lucent Technologies Inc.  
11  
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
Programmable Logic Cells (continued)  
F7  
F5D  
REG7  
Q7  
0
D0  
DIN7  
0
K7_0  
K7  
A
B
D1  
DSEL  
CE  
K7_1  
K7_2  
CK  
S/R  
C
D
F6  
K7_3  
K6_0  
REG6  
Q6  
DIN6  
0
K6  
D0  
D1  
A
B
C
K6_1  
K6_2  
DSEL  
CE  
1
0
CK  
S/R  
K6_3  
D
F5MODE67  
F5  
K5  
REG5  
Q5  
K5_0  
K5_1  
K5_2  
K5_3  
DIN5  
0
A
B
C
D
D0  
D1  
DSEL  
CE  
CK  
S/R  
K4  
K4_0  
K4_1  
K4_2  
K4_3  
A
B
C
D
1
0
F4  
REG4  
Q4  
DIN4  
0
D0  
F5C  
D1  
DSEL  
CE  
F5MODE45  
0
CK  
S/R  
CLK  
SEL  
0
0
0
CIN  
CE  
COUT  
1
1
1
FF8  
REGCOUT  
D
CE  
CK  
ASWE  
S/R  
1
0
LSR  
0
0
0
F3  
F5B  
REG3  
Q3  
0
D0  
DIN3  
0
K3_0  
K3  
A
B
D1  
DSEL  
CE  
K3_1  
K3_2  
CK  
S/R  
C
D
F2  
K3_3  
K2_0  
REG2  
DIN2  
0
Q2  
K2  
D0  
D1  
A
B
C
K2_1  
K2_2  
DSEL  
CE  
1
0
CK  
S/R  
K2_3  
D
F5MODE23  
F1  
K1  
REG1  
K1_0  
K1_1  
K1_2  
K1_3  
DIN1  
0
Q1  
A
B
C
D
D0  
D1  
DSEL  
CE  
CK  
S/R  
K0  
K0_0  
K0_1  
K0_2  
K0_3  
A
B
C
D
1
0
F0  
REG0  
DIN0  
0
Q0  
D0  
F5A  
D1  
DSEL  
CE  
F5MODE01  
0
CK  
S/R  
5-5743(F)  
Note: All multiplexers without select inputs are configuration selector multiplexers.  
Figure 3. Simplified PFU Diagram  
12  
Lucent Technologies Inc.  
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
Programmable Logic Cells (continued)  
Look-Up Table Operating Modes  
The operating mode affects the functionality of the PFU input and output ports and internal PFU routing. For exam-  
ple, in some operating modes, the DIN[7:0] inputs are direct data inputs to the PFU latches/FFs. In memory mode,  
the same DIN[7:0] inputs are used as a 4-bit write data input bus and a 4-bit write address input bus into LUT  
memory.  
Table 3 lists the basic operating modes of the LUT. Figure 4—Figure 10 show block diagrams of the LUT operating  
modes. The accompanying descriptions demonstrate each mode’s use for generating logic.  
Table 3. Look-Up Table Operating Modes  
Mode  
Function  
Logic  
4- and 5-input LUTs; softwired LUTs; latches/FFs with direct input or LUT input; CIN as direct input to  
ninth FF or as pass through to COUT.  
Half Logic/ Upper four LUTs and latches/FFs in logic mode; lower four LUTs and latches/FFs in ripple mode; CIN  
HalfRipple and ninth FF for logic or ripple functions.  
Ripple  
All LUTs combined to perform ripple-through data functions. Eight LUT registers available for direct-in  
use or to register ripple output. Ninth FF dedicated to ripple out, if used. The submodes of ripple mode  
are adder/subtractor, counter, multiplier, and comparator.  
Memory All LUTs and latches/FFs used to create a 32 x 4 synchronous dual-port RAM. Can be used as single-  
port or as ROM.  
PFU Control Inputs  
Each PFU has five routable control inputs and an active-low, asynchronous global set/reset (GSRN) signal that  
affects all latches and FFs in the device. The five control inputs are CLK, LSR, CE, ASWE, and SEL, and their  
functionality for each logic mode of the PFU (discussed subsequently) is shown in Table 4. The clock signal to the  
PFU is CLK, CE stands for clock enable, which is its primary function. LSR is the local set/reset signal that can be  
configured as synchronous or asynchronous. The selection of set or reset is made for each latch/FF and is not a  
function of the signal itself. ASWE stands for add/subtract/write enable, which are its functions, along with being an  
optional clock enable, and SEL is used to dynamically select between direct PFU input and LUT output data as the  
input to the latches/FFs.  
All of the control signals can be disabled and/or inverted via the configuration logic. A disabled clock enable indi-  
cates that the clock is always enabled. A disabled LSR indicates that the latch/FF never sets/resets (except from  
GSRN). A disabled SEL input indicates that DIN[7:0] PFU inputs are routed to the latches/FFs. For logic and ripple  
modes of the PFU, the LSR, CE, and ASWE (as a clock enable) inputs can be disabled individually for each nibble  
(latch/FF[3:0], latch/FF[7:4]) and for the ninth FF.  
Lucent Technologies Inc.  
13  
 
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
Programmable Logic Cells (continued)  
Table 4. Control Input Functionality  
Mode  
CLK  
CLK to all latches/ LSR to all latches/  
FFs FFs, enabled per nib- selectable per nibble selectable per nibble input and direct input  
LSR  
CE  
ASWE  
SEL  
Logic  
CE to all latches/FFs, CE to all latches/FFs, Select between LUT  
ble and for ninth FF  
and for ninth FF  
and for ninth FF  
for eight latches/FFs  
Half Logic/ CLK to all latches/ LSR to all latches/FF, CE to all latches/FFs, Ripple logic control  
Select between LUT  
input and direct input  
for eight latches/FFs  
Half Ripple FFs  
Ripple  
enabled per nibble  
and for ninth FF  
selectable per nibble input  
and for ninth FF  
CLK to all latches/ LSR to all latches/  
FFs  
CE to all latches/FFs, Ripple logic control  
Select between LUT  
input and direct input  
for eight latches/FFs  
FFs, enabled per nib- selectable per nibble input  
ble and for ninth FF  
and for ninth FF  
Memory CLK to RAM  
(RAM)  
Port enable 2  
Port enable 1  
Not used  
Write enable  
Not used  
Not used  
Memory Optional for sync. Not used  
Not used  
(ROM)  
outputs  
Logic Mode  
F5D  
The PFU diagram of Figure 3 represents the logic  
mode of operation. In logic mode, the eight LUTs are  
used individually or in flexible groups to implement user  
logic functions. The latches/FFs may be used in con-  
junction with the LUTs or separately with the direct  
PFU data inputs. There are three basic submodes of  
LUT operation in PFU logic mode: F4 mode, F5 mode,  
and softwired LUT (SWL) mode. Combinations of these  
submodes are possible in each PFU.  
F7  
F6  
F5  
F4  
F3  
F2  
F1  
K7  
K6  
K5  
K4  
K3  
K2  
K1  
K7  
F6  
K6  
K7/K6  
K5/K4  
K3/K2  
F6  
F4  
F2  
F0  
K
5
F4 mode, shown simplified in Figure 4, illustrates the  
uses of the basic 4-input LUTs in the PFU. The output  
of an F4 LUT can be passed out of the PFU, captured  
at the LUTs associated latch/FF, or multiplexed with the  
adjacent F4 LUT output using one of the F5[A:D] inputs  
to the PFU. Only adjacent LUT pairs (K0 and K1, K2  
and K3, K4 and K5, K6 and K7) can be multiplexed, and  
the output always goes to the even-numbered output of  
the pair.  
F4  
K4  
F5C  
F5B  
K3  
The F5 submode of the LUT operation, shown simpli-  
fied in Figure 4, indicates the use of 5-input LUTs to  
implement logic. 5-input LUTs are created from two  
4-input LUTs and a multiplexer. The F5 LUT is the  
same as the multiplexing of two F4 LUTs described  
previously with the constraint that the inputs to the F4  
LUTs be the same. The F5[A:D] input is then used as  
the fifth LUT input. The equations for the two F4 LUTs  
will differ by the assumed value for the F5[A:D] input,  
one F4 LUT assuming that the F5[A:D] input is zero,  
and the other assuming it is a one. The selection of the  
appropriate F4 LUT output in the F5 MUX by the  
F5[A:D] signal creates a 5-input LUT. Any combination  
of F4 and F5 LUTs is allowed per PFU using the eight  
16-bit LUTs. Examples are eight F4 LUTs, four F5  
LUTs, and a combination of four F4 plus two F5 LUTs.  
K1/K0  
F2  
K2  
K1  
F5 MODE  
F0  
K0  
K0  
F0  
F5A  
F4 MODE  
MULTIPLEXED F4 MODE  
5-5970(F)  
Figure 4. Simplified F4 and F5 Logic Modes  
14  
Lucent Technologies Inc.  
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
Programmable Logic Cells (continued)  
Softwired LUT submode uses F4 and F5 LUTs and internal PFU feedback routing to generate complex logic func-  
tions up to three LUT-levels deep. Figure 3 shows multiplexers between the KZ[3:0] inputs to the PFU and the  
LUTs. These multiplexers can be independently configured to route certain LUT outputs to the input of other LUTs.  
In this manner, very complex logic functions, some of up to 21 inputs, can be implemented in a single PFU at  
greatly enhanced speeds.  
Figure 5 shows several softwired LUT topologies. In this figure, each circle represents either an F4 or F5 LUT. It is  
important to note that an LUT output that is fed back for softwired use is still available to be registered or output  
from the PFU. This means, for instance, that a logic equation that is needed by itself and as a term in a larger  
equation need only be generated once and PLC routing resources will not be required to use it in the larger equa-  
tion.  
F4  
F4  
F4  
F4  
F4  
F4  
F4  
F4  
F5  
F5  
F5  
F5  
FOUR 7-INPUT FUNCTIONS IN ONE PFU  
F5  
TWO 9-INPUT FUNCTIONS IN ONE PFU  
F4  
F4  
F4  
F4  
F5  
F5  
F5  
F5  
F5  
ONE 17-INPUT FUNCTION IN ONE PFU  
ONE 21-INPUT FUNCTION IN ONE PFU  
5-5753(F)  
F4  
F4  
F4  
F4  
3
F4  
F4  
F4  
F4  
TWO OF FOUR 10-INPUT FUNCTIONS IN ONE PFU  
KEY:  
ONE OF TWO 12-INPUT FUNCTIONS IN ONE PFU  
5-5754(F)  
F4 4-INPUT LUT  
F5 5-INPUT LUT  
Figure 5. Softwired LUT Topology Examples  
Lucent Technologies Inc.  
15  
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
with half-logic ripple connections shown as dashed  
lines.  
Programmable Logic Cells (continued)  
Half-Logic Mode  
The result output and ripple output are calculated by  
using generate/propagate circuitry. In ripple mode, the  
two operands are input into KZ[1] and KZ[0] of each  
LUT. The result bits, one per LUT, are F[7:0]/F[3:0] (see  
Figure 6). The ripple output from LUT K7/K3 can be  
routed on dedicated carry circuitry into any of four adja-  
cent PLCs, and it can be placed on the PFU COUT/  
FCOUT outputs. This allows the PLCs to be cascaded  
in the ripple mode so that nibble-wide ripple functions  
can be expanded easily to any length.  
Series 3 FPGAs are based upon a twin-quad architec-  
ture in the PFUs. The byte-wide nature (eight LUTs,  
eight latches/FFs) may just as easily be viewed as two  
nibbles (two sets of four LUTs, four latches/FFs). The  
two nibbles of the PFU are organized so that any nib-  
ble-wide feature (excluding some softwired LUT topolo-  
gies) can be swapped with any other nibble-wide  
feature in another PFU. This provides for very flexible  
use of logic and for extremely flexible routing. The half-  
logic mode of the PFU takes advantage of the twin-  
quad architecture and allows half of a PFU, K[7:4] and  
associated latches/FFs, to be used in logic mode while  
the other half of the PFU, K[3:0] and associated latches/  
FFs, is used in ripple mode. In half-logic mode, the  
ninth FF may be used as a general-purpose FF or as a  
register in the ripple mode carry chain.  
Result outputs and the carry-out may optionally be reg-  
istered within the PFU. The capability to register the  
ripple results, including the carry output, provides for  
improved counter performance and simplified pipelin-  
ing in arithmetic functions.  
Ripple Mode  
REGCOUT  
D
Q
C
C
The PFU LUTs can be combined to do byte-wide ripple  
functions with high-speed carry logic. Each LUT has a  
dedicated carry-out net to route the carry to/from any  
adjacent LUT. Using the internal carry circuits, fast  
arithmetic, counter, and comparison functions can be  
implemented in one PFU. Similarly, each PFU has  
carry-in (CIN, FCIN) and carry-out (COUT, FCOUT)  
ports for fast-carry routing between adjacent PFUs.  
FCOUT  
COUT  
F7  
K7[1]  
K7[0]  
D
D
D
D
D
D
D
D
K7  
K6  
K5  
K4  
K3  
K2  
K1  
K0  
Q7  
Q
Q
Q
Q
Q
Q
Q
Q
F6  
K6[1]  
K6[0]  
Q6  
The ripple mode is generally used in operations on two  
data buses. A single PFU can support an 8-bit ripple  
function. Data buses of 4 bits and less can use the  
nibble-wide ripple chain that is available in half-logic  
mode. This nibble-wide ripple chain is also useful for  
longer ripple chains where the length modulo 8 is four  
or less. For example, a 12-bit adder (12 modulo 8 = 4)  
can be implemented in one PFU in ripple mode (8 bits)  
and one PFU in half-logic mode (4 bits), freeing half of  
a PFU for general logic mode functions.  
F5  
K5[1]  
K5[0]  
Q5  
F4  
K4[1]  
K4[0]  
Q4  
F3  
K3[1]  
K3[0]  
Q3  
F2  
K2[1]  
K2[0]  
Q2  
Each LUT has two operands and a ripple (generally  
carry) input, and provides a result and ripple (generally  
carry) output. A single bit is rippled from the previous  
LUT and is used as input into the current LUT. For LUT  
K0, the ripple input is from the PFU CIN or FCIN port.  
The CIN/FCIN data can come from either the fast-carry  
routing (FCIN) or the PFU input (CIN), or it can be tied  
to logic 1 or logic 0.  
F1  
K1[1]  
K1[0]  
Q1  
F0  
K0[1]  
K0[0]  
Q0  
CIN/FCIN  
5-5755(F)  
In the following discussions, the notations LUT K7/K3  
and F[7:0]/F[3:0] are used to denote the LUT that pro-  
vides the carry-out and the data outputs for full PFU  
ripple operation (K7, F[7:0]) and half-logic ripple  
operation (K3, F[3:0]), respectively. The ripple mode  
diagram in Figure 6 shows full PFU ripple operation,  
Figure 6. Ripple Mode  
16  
Lucent Technologies Inc.  
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
Programmable Logic Cells (continued)  
REGCOUT  
D
Q
The ripple mode can be used in one of four submodes.  
The first of these is adder-subtractor submode. In  
this submode, each LUT generates three separate out-  
puts. One of the three outputs selects whether the  
carry-in is to be propagated to the carry-out of the cur-  
rent LUT or if the carry-out needs to be generated. If  
the carry-out needs to be generated, this is provided by  
the second LUT output. The result of this selection is  
placed on the carry-out signal, which is connected to  
the next LUT carry-in or the COUT/FCOUT signal, if it  
is the last LUT (K7/K3). Both of these outputs can be  
any equation created from KZ[1] and KZ[0], but in this  
case, they have been set to the propagate and gener-  
ate functions.  
C
C
FCOUT  
COUT  
F7  
K7[0]  
K6[0]  
K5[0]  
K4[0]  
K3[0]  
K2[0]  
K1[0]  
K0[0]  
D
D
D
D
D
D
D
D
K7  
K6  
K5  
K4  
K3  
K2  
K1  
K0  
Q
Q
Q
Q7  
F6  
Q6  
F5  
Q5  
F4  
Q
Q
Q
Q
Q4  
The third LUT output creates the result bit for each LUT  
output connected to F[7:0]/F[3:0]. If an adder/subtrac-  
tor is needed, the control signal to select addition or  
subtraction is input on ASWE, with a logic 0 indicating  
subtraction and a logic 1 indicating addition. The result  
bit is created in one-half of the LUT from a single bit  
from each input bus KZ[1:0], along with the ripple input  
bit.  
F3  
Q3  
F2  
Q2  
F1  
Q1  
The second submode is the counter submode (see  
Figure 7). The present count, which may be initialized  
via the PFU DIN inputs to the latches/FFs, is supplied  
to input KZ[0], and then output F[7:0]/F[3:0] will either  
be incremented by one for an up counter or decre-  
mented by one for a down counter. If an up/down  
counter is needed, the control signal to select the direc-  
tion (up or down) is input on ASWE with a logic 1 indi-  
cating an up counter and a logic 0 indicating a down  
counter. Generally, the latches/FFs in the same PFU  
are used to hold the present count value.  
F0  
Q
Q0  
CIN/FCIN  
5-5756(F)  
Figure 7. Counter Submode  
Lucent Technologies Inc.  
17  
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
Programmable Logic Cells (continued)  
D
Q
REGCOUT  
COUT  
C
C
In the third submode, multiplier submode, a single  
PFU can affect an 8 x 1 bit (4 x 1 for half-ripple mode)  
multiply and sum with a partial product (see Figure 8).  
The multiplier bit is input at ASWE, and the multiplicand  
bits are input at KZ[1], where K7[1] is the most signifi-  
cant bit (MSB). KZ[0] contains the partial product (or  
other input to be summed) from a previous stage. If  
ASWE is logical 1, the multiplicand is added to the par-  
tial product. If ASWE is logical 0, 0 is added to the par-  
tial product, which is the same as passing the partial  
product. CIN/FCIN can bring the carry-in from the less  
significant PFUs if the multiplicand is wider than 8 bits,  
and COUT/FCOUT holds any carry-out from the multi-  
plication, which may then be used as part of the prod-  
uct or routed to another PFU in multiplier mode for  
multiplicand width expansion.  
ASWE  
K7[1]  
F7  
1
0
0
0
0
0
0
0
0
0
D
D
D
D
D
D
D
D
+
+
+
+
+
+
+
+
Q
Q
Q
Q
Q
Q
Q
Q
Q7  
K7[0]  
K6[1]  
K7  
K6  
K5  
K4  
K3  
K2  
K1  
K0  
F6  
1
0
Q6  
K6[0]  
K5[1]  
1
0
F5  
Q5  
K5[0]  
K4[1]  
F4  
1
0
Q4  
K4[0]  
K3[1]  
1
0
F3  
Q3  
K3[0]  
K2[1]  
F2  
1
0
Ripple mode’s fourth submode features equality  
comparators. The functions that are explicitly available  
are A > B, A B, and A < B, where the value for A is  
input on KZ[0], and the value for B is input on KZ[1]. A  
value of 1 on the carry-out signals valid argument. For  
example, a carry-out equal to 1 in AB submode indi-  
cates that the value on KZ[0] is greater than or equal to  
the value on KZ[1]. Conversely, the functions A < B, A +  
B, and A > B are available using the same functions but  
with a 0 output expected. For example, A > B with a 0  
output indicates A < B. Table 5 shows each function  
and the output expected.  
Q2  
K2[0]  
K1[1]  
F1  
1
0
Q1  
K1[0]  
K0[1]  
F0  
1
0
Q0  
K0[0]  
5-5757(F)  
Key: C = configuration data.  
Figure 8. Multiplier Submode  
If larger than 8 bits, the carry-out signal can be cas-  
caded using fast-carry logic to the carry-in of any adja-  
cent PFU. The use of this submode could be shown  
using Figure 6, except that the CIN/FCIN input for the  
least significant PFU is controlled via configuration.  
Table 5. Ripple Mode Equality Comparator  
Functions and Outputs  
Equality  
Function  
ORCA Foundry  
Submode  
True, if  
Carry-Out Is:  
A > B  
A < B  
A B  
A < B  
A > B  
A = B  
A > B  
A < B  
A B  
A > B  
A < B  
A B  
1
1
1
0
0
0
18  
Lucent Technologies Inc.  
 
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
Programmable Logic Cells (continued)  
Memory Mode  
The Series 3 PFU can be used to implement a 32 x 4 (128-bit) synchronous, dual-port random access memory  
(RAM). A block diagram of a PFU in memory mode is shown in Figure 9. This RAM can also be configured to work  
as a single-port memory and because initial values can be loaded into the RAM during configuration, it can also be  
used as a read-only memory (ROM).  
F5[A:D]  
READ  
4
ADDRESS[4:0]  
KZ[3:0]  
5
WRITE  
CIN(WA4)  
D
D
D
D
D
D
D
D
D
Q
Q
Q
Q
Q
Q
Q
Q
Q
Q
ADDRESS[4:0]  
DIN7(WA3)  
DIN5(WA2)  
DIN3(WA1)  
DIN1(WA0)  
DIN6(WD3)  
DIN4(WD2)  
DIN2(WD1)  
DIN0(WD0)  
F6  
F4  
F2  
F0  
D
D
D
D
Q
Q
Q
Q
Q6  
Q4  
Q2  
Q0  
4
READ  
DATA[3:0]  
4
WRITE  
DATA[3:0]  
WRITE  
ENABLE  
ASWE(WREN)  
CE(WPE1)  
D
EN  
RAM CLOCK  
S/R  
LSR(WPE2)  
CLK  
5-5969(F)  
Figure 9. Memory Mode  
The PFU memory mode uses all LUTs and latches/FFs including the ninth FF in its implementation as shown in  
Figure 9. The read address is input at the KZ[3:0] and F5[A:D] inputs where KZ[0] is the LSB and F5[A:D] is the  
MSB, and the write address is input on CIN (MSB) and DIN[7, 5, 3, 1], with DIN[1] being the LSB. Write data is  
input on DIN[6, 4, 2, 0], where DIN[6] is the MSB, and read data is available combinatorially on F[6, 4, 2, 0] and  
registered on Q[6, 4, 2, 0] with F[6] and Q[6] being the MSB. The write enable signal is input at ASWE, and two  
write port enables are input on CE and LSR. The PFU CLK signal is used to synchronously write the data. The  
polarities of the clock, write enable, and port enables are all programmable. Write-port enables may be disabled if  
they are not to be used.  
Lucent Technologies Inc.  
19  
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
8-bit data path. Depth expansion is applied to achieve  
128 words deep using the 32-word deep PFU memo-  
ries. In addition to the PFU in each PLC, the SLIC  
(described in the next section) in each PLC is used for  
read address decodes and 3-state drivers. The 128 x 8  
RAM shown could be made to operate as a single-port  
RAM by tying (bit-for-bit) the read and write addresses.  
Programmable Logic Cells (continued)  
Data is written to the write data, write address, and  
write enable registers on the active edge of the clock,  
but data is not written into the RAM until the next clock  
edge one-half cycle later. The read port is actually  
asynchronous, providing the user with read data very  
quickly after setting the read address, but timing is also  
provided so that the read port may be treated as fully  
synchronous for write then read applications. If the  
read and write address lines are tied together (main-  
taining MSB to MSB, etc.), then the dual-port RAM  
operates as a synchronous single-port RAM. If the  
write enable is disabled, and an initial memory contents  
is provided at configuration time, the memory acts as a  
ROM (the write data and write address ports and write  
port enables are not used).  
To achieve depth expansion, one or two of the write  
address bits (generally the MSBs) are routed to the  
write port enables as in Figure 10. For 2 bits, the bits  
select which 32-word bank of RAM of the four available  
from a decode of two WPE inputs is to be written. Simi-  
larly, 2 bits of the read address are decoded in the  
SLIC and are used to control the 3-state buffers  
through which the read data passes. The write data  
bus is common, with separate nibbles for width expan-  
sion, across all PLCs, and the read data bus is com-  
mon (again, with separate nibbles) to all PLCs at the  
output of the 3-state buffers.  
Wider memories can be created by operating two or  
more memory mode PFUs in parallel, all with the same  
address and control signals, but each with a different  
nibble of data. To increase memory word depth above  
32, two or more PLCs can be used. Figure 10 shows a  
128 x 8 dual-port RAM that is implemented in eight  
PLCs. This figure demonstrates data path width expan-  
sion by placing two memories in parallel to achieve an  
Figure 10 also shows a new optional capability to pro-  
vide a read enable for RAMs/ROMs in Series 3 using  
the SLIC cell. The read enable will 3-state the read  
data bus when inactive, allowing the write data and  
read data buses to be tied together if desired.  
8
WD[7:0]  
4
4
4
4
PLC  
PFU  
PLC  
PLC  
PFU  
PLC  
PFU  
PFU  
WD[7:4]  
WD[3:0]  
WD[7:4]  
WD[3:0]  
5
5
5
5
5
5
5
5
WA  
RA  
WA  
RA  
WA  
RA  
WA  
RA  
WPE0  
WPE1  
WPE0  
WPE1  
WPE0  
WPE1  
WPE0  
WPE1  
WE  
WE  
WE  
WE  
RD[7:4]  
RD[3:0]  
RD[7:4]  
RD[3:0]  
SLIC  
SLIC  
SLIC  
SLIC  
4
4
4
4
8
RD[7:0]  
WE  
7
7
WA[6:0]  
RA[6:0]  
CLK  
RE  
5-5749(F)  
Figure 10. Memory Mode Expansion Example—128 x 8 RAM  
20  
Lucent Technologies Inc.  
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
of up to 10 bits. Each group of buffers can feed into an  
AND gate (4-input AND for the nibble groups and 2-  
input AND for the other two buffers). These AND gates  
then feed into a 3-input gate that can be configured as  
either an AND gate or an OR gate. The output of the 3-  
input gate is invertible and is output at the DEC output  
of the SLIC. Figure 16 shows the SLIC in full decoder  
mode.  
Programmable Logic Cells (continued)  
Supplemental Logic and Interconnect Cell  
(SLIC)  
Each PLC contains a supplemental logic and intercon-  
nect cell (SLIC) embedded within the PLC routing, out-  
side of the PFU. As its name indicates, the SLIC  
performs both logic and interconnect (routing) func-  
tions. Its main features are 3-statable, bidirectional buff-  
ers, and a PAL-like decoder capability. Figure 11 shows  
a diagram of a SLIC with all of its features shown. All  
modes of the SLIC are not available at one time.  
The functionality of the SLIC is parsed by the two  
nibble-wide groups and the 2-bit buffer group. Each of  
these groups may operate independently as BIDI buff-  
ers (with or without 3-state capability for the nibble-  
wide groups) or as a PAL/decoder.  
Each SLIC contains ten bidirectional (BIDI) buffers,  
each buffer capable of driving left and/or right out of the  
SLIC. These BIDI buffers are twin-quad in nature and  
are segregated into two groups of four (nibbles) and a  
third group of two for control. Each of these groups of  
BIDIs can drive from the left (BLI[9:0]) to the right  
(BRO[9:0]), the right (BRI[9:0]) to the left (BLO[9:0]), or  
from the central input (I[9:0]) to the left and/or right.  
This central input comes directly from the PFU outputs  
(O[9:0]). Each of the BIDIs in the nibble-wide groups  
also has a 3-state buffer capability, but not the third  
group.  
As discussed in the memory mode section, if the SLIC  
is placed into one of the modes where it contains both  
buffers and a decode or AOI function (e.g.,  
BUF_BUF_DEC mode), the DEC output can be gated  
with the 3-state input signal. This allows up to a 6-input  
decode (e.g., BUF_DEC_DEC mode) plus the 3-state  
input to control the enable/disable of up to four buffers  
per SLIC. Figure 12—Figure 16 show several configu-  
rations of the SLIC, while Table 6 shows all of the possi-  
ble modes.  
Table 6. SLIC Modes  
There is one 3-state control (TRI) for each SLIC, with  
the capability to invert or disable the 3-state control for  
each group of four BIDIs. Separate 3-state control for  
each nibble-wide group is achievable by using the  
SLIC’s decoder (DEC) output, driven by the group of  
two BIDIs, to control the 3-state of one BIDI nibble  
while using the TRI signal to control the 3-state of the  
other BIDI nibble. Figure 12 and Figure 13 show the  
SLIC in buffer mode with available 3-state control from  
the TRI and DEC signals. If the entire SLIC is acting in  
a buffer capacity, the DEC output may be used to gen-  
erate a constant logic 1 (VHI) or logic 0 (VLO) signal for  
general use.  
Mode  
#
BUF  
[3:0]  
BUF  
[7:4]  
BUF  
[9:8]  
Mode  
1
2
3
4
5
6
7
8
BUFFER  
Buffer  
Buffer  
Buffer  
Buffer  
BUF_BUF_DEC  
BUF_DEC_BUF  
Buffer Decoder  
Buffer Decoder Buffer  
BUF_DEC_DEC Buffer Decoder Decoder  
DEC_BUF_BUF Decoder Buffer Buffer  
DEC_BUF_DEC Decoder Buffer Decoder  
DEC_DEC_BUF Decoder Decoder Buffer  
DECODER  
Decoder Decoder Decoder  
The SLIC may also be used to generate PAL-like AND-  
OR with optional INVERT (AOI) functions or a decoder  
Lucent Technologies Inc.  
21  
 
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
Programmable Logic Cells (continued)  
BRI9  
I9  
BL09  
BR09  
BRI9  
BL09  
BR09  
BLI9  
I9  
BLI9  
BRI8  
I8  
BL08  
BR08  
BRI8  
BL08  
BR08  
I8  
BLI8  
BLI8  
BRI7  
I7  
BRI7  
BL07  
BR07  
BL07  
BR07  
I7  
BLI7  
BRI6  
BLI7  
BL06  
BR06  
BRI6  
I6  
BL06  
BR06  
I6  
BLI6  
BLI6  
BRI5  
BL05  
BR05  
I5  
BRI5  
I5  
BL05  
BR05  
BLI5  
DEC  
BLI5  
BRI4  
BL04  
BR04  
I4  
BLI4  
BRI4  
I4  
BL04  
BR04  
TRI  
BLI4  
0/1  
0/1  
0/1  
TRI  
DEC  
HIGH Z WHEN LOW  
0/1  
0/1  
1
0
DEC  
0/1  
HIGH Z WHEN LOW  
THIS CAN BE USED  
TO GENERATE  
A VHI OR VLO  
BRI3  
I3  
BL03  
BR03  
BLI3  
BRI2  
I2  
BL02  
BR02  
BRI3  
I3  
BL03  
BLI2  
BR03  
BLI3  
BRI1  
I1  
BL01  
BR01  
BRI2  
I2  
BL02  
BR02  
BLI1  
BLI2  
BRI0  
I0  
BL00  
BR00  
BRI1  
I1  
BLI0  
BL01  
BR01  
5-5744(F)  
BLI1  
Figure 11. SLIC All Modes Diagram  
BRI0  
I0  
BL00  
BR00  
BLI0  
5-5745(F)  
Figure 12. Buffer Mode  
22  
Lucent Technologies Inc.  
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
Programmable Logic Cells (continued)  
BRI9  
I9  
BL09  
BR09  
BRI9  
BLI9  
BLI9  
BRI8  
BRI8  
I8  
BL08  
BR08  
BLI8  
BLI8  
BRI7  
BLI7  
BRI6  
BLI6  
BRI5  
BLI5  
BRI4  
BLI4  
BRI7  
BL07  
BR07  
I7  
BLI7  
BRI6  
BL06  
BR06  
I6  
BLI6  
BRI5  
BL05  
BR05  
I5  
BLI5  
BRI4  
BL04  
BR04  
I4  
BLI4  
1
DEC  
DEC  
HIGH Z  
WHEN LOW  
TRI  
TRI  
1
1
1
1
HIGH Z  
WHEN LOW  
HIGH Z WHEN LOW  
1
BRI3  
I3  
BRI3  
BL03  
BR03  
BL03  
BR03  
I3  
BLI3  
BLI3  
BRI2  
BRI2  
I2  
BL02  
BR02  
BL02  
BR02  
I2  
BLI2  
BLI2  
BRI1  
BL01  
BR01  
BRI1  
I1  
BL01  
BR01  
I1  
BLI1  
BLI1  
BRI0  
BL00  
BR00  
BRI0  
I0  
BL00  
I0  
BLI0  
BR00  
BLI0  
5-5746(F)  
5-5747(F)  
Figure 13. Buffer-Buffer-Decoder Mode  
Figure 14. Buffer-Decoder-Buffer Mode  
Lucent Technologies Inc.  
23  
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
Programmable Logic Cells (continued)  
BRI9  
BRI9  
BLI9  
BRI8  
BLI9  
BRI8  
BLI8  
BLI8  
BRI7  
BLI7  
BRI6  
BLI6  
BRI5  
BLI5  
BRI4  
BLI4  
BRI7  
BLI7  
BRI6  
BLI6  
BRI5  
BLI5  
BRI4  
BLI4  
DEC  
DEC  
TRI  
1
HIGH Z WHEN LOW  
1
BRI3  
BL03  
BR03  
I3  
BRI3  
BLI3  
BRI2  
BLI2  
BRI1  
BLI1  
BRI0  
BLI0  
BLI3  
BRI2  
BL02  
BR02  
I2  
BLI2  
BRI1  
BL01  
BR01  
I1  
BLI1  
BRI0  
BL00  
BR00  
I0  
BLI0  
5-5750(F)  
5-5748(F)  
Figure 15. Buffer-Decoder-Decoder Mode  
Figure 16. Decoder Mode  
24  
Lucent Technologies Inc.  
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
The eight latches/FFs in a PFU share the clock (CLK)  
and options for clock enable (CE), local set/reset (LSR),  
and front-end data select (SEL) inputs. When CE is dis-  
abled, each latch/FF retains its previous value when  
clocked. The clock enable, LSR, and SEL inputs can be  
inverted to be active-low.  
Programmable Logic Cells (continued)  
PLC Latches/Flip-Flops  
The eight general-purpose latches/FFs in the PFU can  
be used in a variety of configurations. In some cases,  
the configuration options apply to all eight latches/FFs in  
the PFU and some apply to the latches/FFs on a nibble-  
wide basis where the ninth FF is considered indepen-  
dently. For other options, each latch/FF is independently  
programmable. In addition, the ninth FF can be used for  
a variety of functions.  
The set/reset operation of the latch/FF is controlled by  
two parameters: reset mode and set/reset value. When  
the global set/reset (GSRN) and local set/reset (LSR)  
signals are not asserted, the latch/FF operates normally.  
The reset mode is used to select a synchronous or  
asynchronous LSR operation. If synchronous, LSR has  
the option to be enabled only if clock enable (CE or  
ASWE) is active or for LSR to have priority over the  
clock enable input, thereby setting/resetting the FF inde-  
pendent of the state of the clock enable. The clock  
enable is supported on FFs, not latches. It is imple-  
mented by using a 2-input multiplexer on the FF input,  
with one input being the previous state of the FF and the  
other input being the new data applied to the FF. The  
select of this 2-input multiplexer is clock enable (CE or  
ASWE), which selects either the new data or the previ-  
ous state. When the clock enable is inactive, the FF out-  
put does not change when the clock edge arrives.  
Table 7 summarizes these latch/FF options. The  
latches/FFs can be configured as either positive- or  
negative-level sensitive latches, or positive or negative  
edge-triggered flip-flops (the ninth register can only be  
FF). All latches/FFs in a given PFU share the same  
clock, and the clock to these latches/FFs can be  
inverted. The input into each latch/FF is from either the  
corresponding LUT output (F[7:0]) or the direct data  
input (DIN[7:0]). The latch/FF input can also be tied to  
logic 1 or to logic 0, which is the default.  
Table 7. Configuration RAM Controlled Latch/  
Flip-Flop Operation  
Function  
Options  
Common to All Latches/FFs in PFU  
LSR Operation  
Clock Polarity  
Asynchronous or synchronous  
Noninverted or inverted  
Front-end Select* Direct(DIN[7:0])orfromLUT(F[7:0])  
LSR Priority  
Either LSR or CE has priority  
Latch or flip-flop  
Latch/FF Mode  
Enable GSRN  
GSRN enabled or has no effect on  
PFU latches/FFs  
Set Individually in Each Latch/FF in PFU  
Set/Reset Mode Set or reset  
By Group (Latch/FF[3:0], Latch/FF[7:4], and FF[8])  
Clock Enable  
LSR Control  
CE or ASWE or none  
LSR or none  
* Not available for FF[8].  
Lucent Technologies Inc.  
25  
 
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
The latches/FFs can be configured in three basic  
modes:  
Programmable Logic Cells (continued)  
The GSRN signal is only asynchronous, and it sets/  
resets all latches/FFs in the FPGA based upon the set/  
reset configuration bit for each latch/FF. The set/reset  
value determines whether GSRN and LSR are set or  
reset inputs. The set/reset value is independent for  
each latch/FF. A new option is available to disable the  
GSRN function per PFU after initial device configura-  
tion.  
1. Local synchronous set/reset: the input into the  
PFU’s LSR port is used to synchronously set or  
reset each latch/FF.  
2. Local asynchronous set/reset: the input into LSR  
asynchronously sets or resets each latch/FF.  
3. Latch/FF with front-end select, LSR either synchro-  
nous or asynchronous: the data select signal  
selects the input into the latches/FFs between the  
LUT output and direct data in.  
The latch/FF can be configured to have a data front-  
end select. Two data inputs are possible in the front-  
end select mode, with the SEL signal used to select  
which data input is used. The data input into each  
latch/FF is from the output of its associated LUT, F[7:0],  
or direct from DIN[7:0], bypassing the LUT. In the front-  
end data select mode, both signals are available to the  
latches/FFs.  
For all three modes, each latch/FF can be indepen-  
dently programmed as either set or reset. Figure 17  
provides the logic functionality of the front-end select,  
global set/reset, and local set/reset operations.  
The ninth PFU FF, which is generally associated with  
registering the carry-out signal in ripple mode func-  
tions, can be used as a general-purpose FF. It is only  
an FF and is not capable of being configured as a latch.  
Because the ninth FF is not associated with an LUT,  
there is no front-end data select. The data input to the  
ninth FF is limited to the CIN input, logic 1, logic 0, or  
the carry-out in ripple and half-logic modes.  
If either or both of these inputs is unused or is unavail-  
able, the latch/FF data input can be tied to a logic 0 or  
logic 1 instead (the default is logic 0).  
CE/ASWE  
CE  
SEL  
CE/ASWE  
CE/ASWE  
F
DIN  
LOGIC 1  
LOGIC 0  
F
DIN  
LOGIC 1  
CE  
F
DIN  
LOGIC 1  
CE  
D
Q
D
Q
Q
D
DIN  
LOGIC 0  
LOGIC 0  
s_set  
LSR  
s_reset  
CLK  
GSRN  
LSR  
GSRN  
LSR  
CLK  
SET RESET  
CLK  
SET RESET  
SET RESET  
GSRN  
CD  
CD  
CD  
Key: C = configuration data.  
Figure 17. Latch/FF Set/Reset Configurations  
26  
Lucent Technologies Inc.  
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
Programmable Logic Cells (continued)  
PLC Routing Resources  
INDEPENDENT CIP  
B
Generally, the ORCA Foundry Development System is  
used to automatically route interconnections. Interac-  
tive routing with the ORCA Foundry design editor  
(EPIC) is also available for design optimization. To use  
EPIC for interactive layout, an understanding of the  
routing resources is needed and is provided in this sec-  
tion.  
CD  
=
A
A
B
MULTIPLEXED CIP  
The routing resources consist of switching circuitry and  
metal interconnect segments. Generally, the metal lines  
which carry the signals are designated as routing seg-  
ments. The switching circuitry connects the routing  
segments, providing one or more of three basic func-  
tions: signal switching, amplification, and isolation. A  
net running from a PFU or PIC output (source) to a  
PLC or PIC input (destination) consists of one or more  
routing segments, connected by switching circuitry  
called configurable interconnect points (CIPs).  
CD  
2
O
A
B
C
A
B
C
O
The following sections discuss PLC, PIC, and interquad  
routing resources. This section discusses the PLC  
switching circuitry, intra-PLC routing, inter-PLC routing,  
and clock distribution.  
Key: C = configuration data.  
5-5973(C)  
Figure 18. Configurable Interconnect Point  
Configurable Interconnect Points  
3-Statable Bidirectional Buffers  
The process of connecting routing segments uses  
three basic types of switching circuits: two types of con-  
figurable interconnect points (CIPs) and bidirectional  
buffers (BIDIs). The basic element in CIPs is one or  
more pass transistors, each controlled by a configura-  
tion RAM bit. The two types of CIPs are the mutually  
exclusive (or multiplexed) CIP and the independent CIP.  
Bidirectional buffers, previously described in the SLIC  
section of the programmable logic cell discussion, pro-  
vide isolation as well as amplification for signals routed  
a long distance. Bidirectional buffers are also used to  
route signals diagonally in the PLC (described later in  
the subsection entitled Intra-PLC Routing), and BIDIs  
can be used to indirectly route signals through the  
switching routing (xSW) segments. Any number from  
zero to ten BIDIs can be used in a given PLC.  
A mutually exclusive set of CIPs contains two or more  
CIPs, only one of which can be on at a time. An inde-  
pendent CIP has no such restrictions and can be on  
independent of the state of other CIPs. Figure 18  
shows an example of both types of CIPs.  
Lucent Technologies Inc.  
27  
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
Programmable Logic Cells (continued)  
General Routing Structure  
Routing resources in Series 3 FPGAs generally consist of routing segments in groups of ten, with varying lengths  
and connectivity to logic and other routing resources. The varying lengths of routing segments provides a hierarchy  
of routing capability from chip-length routes to routes within a PLC. The hierarchical nature of the routing provides  
the ORCA Foundry development tools with the necessary resources to route a design completely and to optimize  
the routing for system speed while reducing the overall power required by the device.  
Within each group of ten routing segments there is an equivalency of connectivity between pairs of segments.  
These pairs are segments: [0, 4] and [1, 5] and [2, 6] and [3, 7] and [8, 9]. The equivalency in connectivity ensures  
that signals on either segment in a pair have the same capability to get to a given destination. This, in turn, allows  
for signal distribution from a source to varying destinations without using special routing. It also provides for routing  
flexibility by ensuring that one segment position will not become so congested as to preclude routing a bus or group  
of signals and allows easy connectivity from either of the twin quads in a source PFU to either of the twin quads in  
any destination PFU.  
Having ten segments in a group is significant in that it provides for routing a byte of data and two control signals or  
parity. Due to the equivalent pairs of segments, this can also be viewed as routing two nibbles each with a control  
signal. Figure 19 is an overview of the routing for a single PLC.  
hxH[9:0]  
hx1U[9:0]  
hCK  
FC  
FC  
SLL[9:0]  
SLL[9:0]  
FINS  
PFU  
5
2
SLR[9:0]  
SLIC  
OUTPUT  
SWITCHING  
SUR[9:0]  
LCK  
hx1B[9:0]  
hx5[9:0]  
hxL[9:0]  
BR[9:0]  
2
5
BR[9:0]  
SUL[9:0]  
SUL[9:0]  
FC  
BL[9:0]  
KEY: CONFIGURABLE SIGNAL LINE BREAKS  
LINE-BY-LINE  
2
2 OF 5  
5
5-5766(F)  
Figure 19. Single PLC View of Inter-PLC Route Segments  
28  
Lucent Technologies Inc.  
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
ally labeled for the upper-left, upper-right, lower-left,  
and lower-right sections of the PFUs, respectively. The  
xSW routing segments connect to the PFU inputs and  
outputs as well as the BIDI routing segments, to be  
described later. They also connect to both the horizon-  
tal and vertical x1 and x5 routing segments (inter-PLC  
routing resources, described later) in their specific cor-  
ner. xSW segments can be used for fast connections  
between adjacent PLCs or PICs without requiring the  
use of inter-PLC routing resources. This capability not  
only increases signal speed on adjacent PLC routing,  
but also reduces routing congestion on the principal  
inter-PLC routing resources. The SLL and SUR seg-  
ments combine to provide connectivity to the PLCs to  
the left and right of the current PLC; the SLR and SUL  
segments combine to provide connectivity to the PLCs  
above and below the current PLC.  
Programmable Logic Cells (continued)  
Intra-PLC Routing  
The function of the intra-PLC routing resources is to  
connect the PFU’s input and output ports to the routing  
resources used for entry to and exit from the PLC. This  
routing provides PFU feedback, corner turning, or  
switching from one type of routing resource to another.  
Flexible Input Structure (FINS)  
The flexible input switching structure (FINS) in each  
PLC of the ORCA Series 3 provides for the flexibility of  
a crossbar switch from the routing resources to the  
PFU inputs while taking advantage of the routability of  
shared inputs. Connectivity between the PLC routing  
resources and the PFU inputs is provided in two  
stages. The primary FINS switch has 50 inputs that  
connect the PLC routing to the 35 inputs on the sec-  
ondary switch. The outputs of the second switch con-  
nect to the 50 PFU inputs. The switches are  
Fast routes on switching segments to diagonally adja-  
cent PLCs/PICs are possible using the BIDI routing  
segments (discussed below) and the SLL and SLR  
switching segments. The BR BIDI routing segments  
combine with the SUL switching segments of the PLC  
below and to the right of the current PLC to connect to  
that PLC. The BL BIDI routing segments combine with  
the SLL switching segments of the PLC above and to  
the right of the current PLC to connect to that PLC.  
These fast diagonal connections provide a great  
amount of flexibility in routing congested areas of logic  
and in shifting data on a per-PLC basis such as per-  
forming implicit multiplications/divisions in routing  
between functional logic elements.  
implemented to provide connectivity for bused signals  
and individual connections.  
PFU Output Switching  
The PFU outputs are switched onto PLC routing  
resources via the PFU output multiplexer (OMUX). The  
PFU output switching segments from the output multi-  
plexer provide ten connections to the PLC routing out  
of 18 possible PFU outputs (F[7:0], Q[7:0], DOUT,  
REGCOUT). These output switching segments con-  
nect segment for segment to the SUR, SUL, SLR, and  
SLL switching segments described below (e.g., O4  
connects only to SUR4, not SUR5). The output switch-  
ing segments also feed directly into the SLIC on a seg-  
ment-by-segment basis. This connectivity is also  
described below.  
Switching routing segments are also the chief means  
by which signals are transferred between the inter-PLC  
routing resources and the PFU. Each set of switching  
segments has connectivity to the x1 routing segments,  
and there is varying connectivity to the x5, xH, and xL  
inter-PLC routing segments. Detailed information on  
switching segment/inter-PLC routing connectivity is  
provided later in this section in the Inter-PLC Routing  
Resources subsection.  
Switching Routing Segments (xSW)  
There are four sets of switching routing segments in  
each PLC. Each set consists of ten switching elements:  
SUL[9:0], SUR[9:0], SLL[9:0], and SLR[9:0], tradition-  
Lucent Technologies Inc.  
29  
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
Control Signal and Fast-Carry Routing  
Programmable Logic Cells (continued)  
PFU control signal and the fast-carry routing are per-  
formed using the FINS structure and several dedicated  
routing paths. The fast-carry (FC) routing resources  
consist of a dedicated bidirectional segment between  
each orthogonal pair of PLCs. This means that a fast-  
carry can go to or come from each PLC to the right or  
left, above or below the subject PLC. The FINS struc-  
ture is used to control the switching of these fast-carry  
paths between the fast-carry input (FCIN) and fast-  
carry output (FCOUT) ports of the PFU.  
BIDI Routing and SLIC Connectivity  
The SLIC is connected to the rest of the PLC by the  
bidirectional (BIDI) routing segments and the PFU out-  
put switching segments coming from the PFU output  
multiplexer. The BIDI routing segments (xBID) are  
labeled as BL for BIDI-left and BR for BIDI-right. Each  
set of BR and BL xBID segments is composed of ten  
bidirectional lines (note that these lines are diagramed  
as ten input lines to the SLIC and ten output lines from  
the SLIC that can be used in a mutually exclusive fash-  
ion). Because the SLIC is connected directly to the out-  
puts of the PFU, it provides great flexibility in routing via  
the xBID segments. The PFU routing segments, O[9:0],  
only connect to their respective line in the SLL, SUL,  
SUR, and SLR switching segment groups. That is, O9  
only connects to SLL9, SUL9, SUR9, and SLR9. The  
BIDI lines provide the capability to connect to the other  
member of the routing set. That means, for example,  
that O9 can be routed to BR8 or BL8. This connectivity  
can be used as a means to distribute or gather signals  
on intra-PLC routing without disturbing inter-PLC  
resources. As described in the Switching Routing Seg-  
ments subsection, the BIDI routing segments are also  
used for routes to a diagonally adjacent PFU.  
The PFU control inputs (CE, SEL, LSR, ASWE) and  
CIN can be reached via the FINS by two special routing  
segments, E1 and E2. The E1 routing segment pro-  
vides connectivity between all of the xBID routing seg-  
ments and the FINS. It is unidirectional from the BIDI  
routing to the FINS. E1 also provides connectivity to the  
PFU clock input via FINS for a local clock signal. The  
E2 segment connects the SLIC DEC output to the FINS  
and to a group of CIPS that provide bidirectional con-  
nectivity with all of the BIDI routing segments. This  
allows the DEC signal to be used in the PFU and/or  
routed on the BIDI segments. It also allows signals to  
be routed to the PFU on the xBID segments if the SLIC  
DEC output is not used.  
There is also a dedicated routing segment from the  
FINS to the SLIC TRI input used for BIDI buffer 3-state  
control.  
In addition to the intra-PLC connections, the xBID and  
output switching segments also have connectivity to  
the x1, x5, and xL inter-PLC routing resources, provid-  
ing an alternate routing path rather than using PLC  
xSW segments. These connections also provide a path  
to the 3-state buffers in the SLIC without encumbering  
the xSW segments. In this manner, buffering or 3-state  
control can be added to inter-PLC routing without dis-  
turbing local functionality within a PFU.  
30  
Lucent Technologies Inc.  
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
x1 Routing Segments. There are a total of 40 x1 rout-  
ing segments per PLC: 20 vertical and 20 horizontal.  
Each of these are subdivided into two, 10-bit wide  
buses: hx1T[9:0], hx1B[9:0], vx1L[9:0], and vx1R[9:0].  
An x1 segment is one PLC long. If a signal net is longer  
than one PLC, an x1 segment can be lengthened to n  
times its length by turning on n – 1 CIPs. A signal is  
routed onto an x1 route segment via the switching rout-  
ing segments or BIDI routing segments which also  
allows the x1 route segment to be connected to other  
inter-PLC segments of different lengths. Corner turning  
between x1 segments is provided through direct con-  
nections, xSW segments, and xBID segments.  
Programmable Logic Cells (continued)  
Inter-PLC Routing Resources  
The inter-PLC routing is used to route signals between  
PLCs. The routing segments occur in groups of ten,  
and differ in the numbers of PLCs spanned. The x1  
routing segments span one PLC, the x5 routing seg-  
ments span five PLCs, the xH routing segments span  
one-half the width (height) of the PLC array, and the xL  
routing segments span the width (height) of the PLC  
array. All types of routing segments run in both horizon-  
tal and vertical directions.  
Table 8 shows the groups of inter-PLC routing seg-  
ments in each PLC. In the table, there are two rows/col-  
umns for x1 lines. They are differentiated by a T for top,  
B for bottom, L for left, and R for right. In the ORCA  
Foundry design editor representation, the horizontal x1  
routing segments are located above and below the  
PFU. The two groups of vertical segments are located  
on the left side of the PFU. The xL and x5 routing seg-  
ments only run below and to the left of the PFU, while  
the xH segments only run above and to the right of the  
PFU. The indexes specify individual routing segments  
within a group. For example, the vx5[2] segment runs  
vertically to the left of the PFU, spans five PLCs, and is  
the third line in the 10-bit wide group.  
x5 Routing Segments. There are two sets of ten x5  
routing segments per PLC. One set (vx5[9:0]) runs ver-  
tically, and the other (hx5[9:0]) runs horizontally. Each  
x5 segment traverses five PLCs before it is broken by a  
CIP. Two x5 segments in each group break in each  
PLC. The two that break are in an equivalent pair; for  
example, x5[0] and x5[4]. The x5 segments that break  
shift by one at the next PLC. For example, if hx5[0] and  
hx5[4] are broken at the current PLC, hx5[1] and hx5[5]  
will be broken at the PLC to the right of the current  
PLC. There are direct connections to the BIDI routing  
segments in the PLC at which the x5 segments break,  
on both sides of the break. Signal corner turning is  
enabled by CIPs in each PLC that allow the broken x5  
segments to directly connect to the broken x5 seg-  
ments that run in the orthogonal direction. x5 corner  
turning can also be accomplished via the xSW and  
xBID segments in a PLC. In addition, the x5 segments  
are connected to the FINS and PFU outputs on a bit-  
by-bit basis by the xSW segments. x5 segments can be  
connected for signal runs in multiples of five PLCs, or  
they can be combined with x1 and xH routing segments  
for runs of varying distances.  
PLCs are arranged like tiles on the ORCA device.  
Breaks in routing occur at the middle of the tile (e.g., x1  
lines break in the middle of each PLC) and run across  
tiles until the next break.  
Table 8. Inter-PLC Routing Resources  
Horizontal  
Routing  
Segments  
Vertical  
Routing  
Segments  
Distance  
Spanned  
hx1U[9:0]  
hx1B[9:0]  
hx5[9:0]  
hx5[9:0]  
hxL[9:0]  
hxH[9:0]  
hCLK  
vx1R[9:0]  
vx1L[9:0]  
vx5[9:0]  
vx5[9:0]  
vxL[9:0]  
vxH[9:0]  
vCLK  
One PLC  
One PLC  
Five PLCs  
Five PLCs  
PLC Array  
1/2 PLC Array  
PLC Array  
Figure 20 provides a global view of inter-PLC routing  
resources across multiple PLCs.  
Lucent Technologies Inc.  
31  
 
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
Programmable Logic Cells (continued)  
hxH[9:0]  
hx1[9:0]  
hCLK  
10  
10  
10  
10  
10  
10  
10  
10  
10  
PFU  
2
PFU  
2
PFU  
2
2
2
2
2
2
2
2
2
2
SLIC  
SLIC  
SLIC  
SLIC  
SLIC  
SLIC  
SLIC  
SLIC  
SLIC  
hx1[9:0]  
hx5[9:0]  
hxL[9:0]  
10  
10  
10  
hxH[9:0]  
hx1[9:0]  
hCLK  
PFU  
2
PFU  
2
PFU  
2
hx1[9:0]  
hx5[9:0]  
hxL[9:0]  
10  
10  
10  
hxH[9:0]  
hx1[9:0]  
hCLK  
PFU  
2
PFU  
2
PFU  
2
hx1[9:0]  
hx5[9:0]  
hxL[9:0]  
10  
10  
10  
KEY: CONFIGURABLE SIGNAL-LINE BREAKS:  
LINE-BY-LINE  
2
2 OF 10  
10  
PLC BOUNDARY  
5-5767(F)  
Figure 20. Multiple PLC View of Inter-PLC Routing  
32  
Lucent Technologies Inc.  
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
The clock routing segments are designed to be a clock  
spine. In each PLC, there is a fast connection available  
from the clock segment to a long-line driver (described  
earlier). With this connection, one of the clock routing  
segments in each PLC can be used to drive one of the  
ten xL routing segments perpendicular to it, which, in  
turn, creates a clock spine tree. This feature is dis-  
cussed in detail in the Clock Distribution Network sec-  
tion.  
Programmable Logic Cells (continued)  
xL Routing Lines. The xL routing lines run vertically  
and horizontally the height and width of the array,  
respectively. There are a total of 20 xL routing lines per  
PLC: ten horizontal (hxL[9:0]) and ten vertical  
(vxL[9:0]). Each of the xL lines connects to the PIC  
routing at either end. The xL lines are intended prima-  
rily for global signals that must travel long distances  
and require minimum delay and/or skew, such as  
clocks or 3-state buses.  
Special connectivity is provided in each PLC to connect  
the clock enable signals (CE and ASWE) and the LSR  
signal to the clock network for fast global control signal  
distribution. CE and ASWE have a special connection  
to the horizontal clock spine, and LSR has a special  
connection to the vertical clock spine. This allows both  
signals to be routed globally within the same PLC, if  
desired; however, this will consume some of the  
resources available for clock signal routing.  
Each xL line (also called a long line) drives a buffer in  
each PLC that can drive onto the horizontal and verti-  
cal local clock routing segments (lCLK) in the PLC.  
Also, two out of each group of ten xL segments in each  
PLC can be driven by a buffer attached to a clock spine  
(described later) allowing local distribution of global  
clock signals. More general-purpose connections to the  
long lines can be made through the xBID segments in a  
PLC. Each long line is connected to an xBID segment  
on a bit-by-bit basis. These BIDI connections allow cor-  
ner turning from horizontal to vertical long lines, and  
connection between long lines and x1 or x5 segments.  
If using these spines, the clock enable signal must  
come from the right or left edge of the device, and the  
LSR signal must come from the top or bottom of the  
device due to their horizontal and vertical connectivity,  
respectively, to the clock network.  
xH Routing Segments. Ten by-half (xH) routing seg-  
ments run horizontally (hxH[9:0]) and ten xH routing  
segments run vertically (vxH[9:0]) in each row and col-  
umn in the array. These routing segments travel a dis-  
tance of one-half the PLC array before being broken in  
the middle of the array in the interquad area (discussed  
later). They also connect at the periphery of the FPGA  
to the PICs, like the xL lines. xH routing segments con-  
nect to the PLCs only by switching segments. They are  
intended for fast signal interconnect.  
Minimizing Routing Delay  
The CIP is an active element used to connect two rout-  
ing segments. As an active element, it adds signifi-  
cantly to the resistance and capacitance of a routing  
network (net), thus increasing the net’s delay. The  
advantage of the x1 segment over an x5 segment is  
routing flexibility. A net from one PLC to the next is eas-  
ily routed by using x1 routing segments. As more CIPs  
are added to a net, the delay increases. To increase  
speed, routes that are greater than two PLCs away are  
routed on the x5 routing segments because a CIP is  
located only in every fifth PLC. A net that spans eight  
PLCs requires seven x1 routing segments and six  
CIPs. Using x5 routing segments, the same net uses  
two routing segments and one CIP.  
Clock (and Global CE and LSR) Routing Segments.  
For a very fast and low-skew clock (or other global sig-  
nal tree), clock routing segments run the entire height  
and width of the PLC array. There are two clock routing  
segments per PLC: one horizontal (hCLK) and one ver-  
tical (vCLK). The source for these clock routing seg-  
ments can be any of the I/O buffers in the PIC, the  
Series 3 ExpressCLK inputs, user logic, or the pro-  
grammable clock manager (PCM). The horizontal clock  
routing segments (hCLK) are alternately driven by the  
left and right PICs. The vertical clock routing segments  
(vCLK) are alternately driven by the top and bottom  
PICs.  
Lucent Technologies Inc.  
33  
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
J. These are the ten switched output routing segments  
from the PFU. They connect to the PLC switching  
segments and are input to the SLIC.  
Programmable Logic Cells (continued)  
PLC Architectural Description  
K. These lines deliver the auxiliary signals clock enable  
(CE), local set/reset (LSR), front-end select (SEL),  
add/subtract/write enable (ASWE), as well as the  
carry signals (CIN and FCIN) to the latches/FFs.  
Figure 21 is an architectural drawing of the PLC (as  
seen in ORCA Foundry) that reflects the PFU, the rout-  
ing segments, and the CIPs. A discussion of each of  
the letters in the drawing follows.  
L. This is the local clock buffer. Any of the horizontal  
and vertical xL lines can drive the clock input of the  
PLC latches/FFs. The clock routing segments  
(vCLK and hCLK) and multiplexers/drivers are used  
to connect to the xL routing segments for low-skew,  
low-delay global signals.  
A. These are switching routing segments (xSW) that  
give the router flexibility. In general switching theory,  
the more levels of indirection there are in the routing,  
the more routable the network is. The xSW seg-  
ments can also connect to the xSW lines in adjacent  
PLCs.  
M.These routing segments are used to route the fast-  
carry signal to/from the neighboring four PLCs. The  
carry-out (COUT) and registered carry-out (REG-  
COUT) can also be routed out of the PFU.  
B. These CIPs connect the x1 routing. These are  
located in the middle of the PLC to allow the block to  
connect to either the left end of the horizontal x1  
segment from the right or the right end of the hori-  
zontal x1 segment from the left, or both. By symme-  
try, the same principle is used in the vertical  
direction.  
N. This is the E2 control routing segment. It runs from  
the SLIC DEC output to the FINS and also provides  
connectivity to all xBID segments.  
O. The xH routing segments run one-half the length  
(width) of the array before being broken by a CIP.  
C. This set of CIPs is used to connect the x1 and x5  
nets to the xSW segments or to other x1 and x5  
nets. The CIPs on the major diagonal allow data to  
be transmitted on a bit-by-bit basis from x1 nets to  
the xSW segments and between the x1 and x5 nets.  
P. These CIPs connect the xH segments to the xSW  
segments.  
Q.The xBID segments are used to connect the SLIC to  
the xSW segments, x1 segments, x5 segments, and  
xL lines, as well as providing for diagonal PLC to  
PLC connections.  
D. This structure is the supplemental logic and inter-  
connect cell, or SLIC. It contains 3-statable bidirec-  
tional buffers and logic for building decoders and  
AND-OR-INVERT type structures.  
R. These CIPs provide connections from the xBID seg-  
ments to the E1/E2 routing segments that feed PFU  
control inputs CE, LSR, CIN, ASWE, SEL, and the  
clock input. Alternatively, these CIPs connect the  
BIDI lines to the decoder (DEC) output of the SLIC,  
for routing the DEC signal.  
E. These are the primary and secondary elements of  
the flexible input structure or FINS. FINS is a switch  
matrix that provides high connectivity while retaining  
routing capability. FINS also includes feedback  
paths for softwired LUT implementation.  
S. These are clock spines (vCLK and hCLK) with the  
multiplexers and drivers to connect to the xL routing  
segments.  
F. This is the PFU output switch matrix. It is a complex  
switch network which, like the FINS at the input, pro-  
vides high connectivity and maintains routability.  
T. These CIPs connect xBID segments to switching  
segments in diagonally and orthogonally adjacent  
PFUs.  
G.This set of CIPs allows an xBID segment to transfer  
a signal to/from xSW segments on each side. The  
BIDIs can access the PFU through the xSW seg-  
ments. These CIPs allow data to be routed through  
the BIDIs for amplification or 3-state control and  
continue to another PLC. They also provide an alter-  
native routing resource to improve routability.  
U. These CIPs connect xSW segments to the PFU out-  
put segments.  
V. These CIPS connect xSW segments in orthogonally  
adjacent PFUs.  
W.This is the SLIC 3-state control routing segment  
H. These CIPs are used to transfer data from/to the  
xBID segments to/from the x1 and xL routing seg-  
ments. These CIPs have been optimized to allow  
the BIDI buffers to drive the loads usually seen  
when using each type of routing segment.  
from the FINS to the SLIC 3-state control.  
X. This is the E1 control routing segment. It provides a  
PFU input path from all xBID segments.  
Y. These CIPs are used to select which xBID segments  
are connected to the E1/E2 signal as described in  
(R).  
I. Clock input to PFU.  
34  
Lucent Technologies Inc.  
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
Programmable Logic Cells (continued)  
P
A
M
O
H
B
C
C
C
S
Q
M
M
E
E
O
A
G
A
A
C
C
A
A
A
A
B
PFU  
C
C
Q
B
D
N
K
W
H
H
SLIC  
F
OUTPUT  
J
U
U
U
SWITCHING  
P
V
C
X
R
R
Y
A
L
C
C
C
C
H
B
T
H
G
L
Q
Q
Q
H
Q
T
M
S
A
5-5758(F)  
Figure 21. PLC Architecture  
Lucent Technologies Inc.  
35  
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
PICs in the Series 3 FPGAs have significant local rout-  
ing resources, similar to routing in the PLCs. This new  
routing increases the ability to fix user pinouts prior to  
placement and routing of a design and still maintain  
routability. The flexibility provided by the routing also  
provides for increased signal speed due to a greater  
variety of signal paths possible.  
Programmable Input/Output Cells  
The programmable input/output cells (PICs) are  
located along the perimeter of the device. The PIC’s  
name is represented by a two-letter designation to indi-  
cate on which side of the device it is located followed by  
a number to indicate in which row or column it is  
located. The first letter, P, designates that the cell is a  
PIC and not a PLC. The second letter indicates the side  
of the array where the PIC is located. The four sides  
are left (L), right (R), top (T), and bottom (B). The indi-  
vidual I/O pad is indicated by a single letter (either A, B,  
C, or D) placed at the end of the PIC name. As an  
example, PL10A indicates a pad located on the left  
side of the array in the tenth row.  
Included in the PIC routing is a fast path from the input  
pins to the SLICs in each of the three adjacent PLCs  
(one orthogonal and two diagonal). This feature allows  
for input signals to be very quickly processed by the  
SLIC decoder function and used on-chip or sent back  
off of the FPGA. Also new to the Series 3 PIOs are  
latches and FFs and options for using fast, dedicated  
clocks called ExpressCLKs. These features will all be  
discussed in subsequent sections.  
Each PIC interfaces to four bond pads and contains the  
necessary routing resources to provide an interface  
between I/O pads and the PLCs. Each PIC is com-  
posed of four programmable I/Os (PIOs) and significant  
routing resources. Each PIO contains input buffers,  
output buffers, routing resources, latches/FFs, and  
logic and can be configured as an input, output, or  
bidirectional I/O.  
A diagram of a single PIO (one of four in a PIC) is  
shown in Figure 22. Table 9 provides an overview of the  
programmable functions in an I/O cell.  
PIO LOGIC  
AND  
NAND  
OR  
NOR  
XOR  
XNOR  
PULL-MODE  
UP  
PMUX  
OUT1OUTREG  
OUT2OUTREG  
OUT1OUT2  
DOWN  
NONE  
CLKIN  
IN1  
OUT1  
OUT2  
D0  
D1 Q  
0
0
PD  
Q
D
CK  
PAD  
ECLK  
SCLK  
NORMAL  
INVERTED  
CK  
SP  
SD  
LEVEL MODE  
1
TS  
ECLK  
SCLK  
D Q  
CK  
SP  
LSR  
TTL  
INREGMODE  
LSR  
CMOS  
1
RESET  
SET  
BUFFER  
MODE  
LATCHFF  
LATCH  
FF  
CE  
D0 Q  
CK  
RESET  
SET  
FAST  
SLEW  
SINK  
1
IN2  
LSR  
LSR  
CE_OVER_LSR  
LSR_OVER_CE  
ASYNC  
0
ENABLE_GSR  
DISABLE_GSR  
5-5805(F).c  
.
Figure 22 OR3C/Txxx Programmable Input/Output (PIO) Image from ORCA Foundry  
36  
Lucent Technologies Inc.  
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
5 V Tolerant I/O  
Programmable Input/Output Cells  
(continued)  
The I/O on the OR3Txxx Series devices allow intercon-  
nection to both 3.3 V and 5 V devices (selectable on a  
per-pin basis).  
Table 9. PIO Options  
Input  
Input Level  
Option  
The OR3Txxx devices will drive the pin to the 3.3 V lev-  
els when the output buffer is enabled. If the other  
device being driven by the OR3Txxx device has TTL-  
compatible inputs, then the device will not dissipate  
much input buffer power. This is because the OR3Txxx  
output is being driven to a higher level than the TTL  
level required. If the other device has a CMOS-compat-  
ible input, the amount of input buffer power will also be  
small. Both of these power values are dependent upon  
the input buffer characteristics of the other device when  
driven at the OR3Txxx output buffer voltage levels.  
TTL, OR3Cxx only  
CMOS, OR3Cxx or OR3Txxx  
3.3 V PCI Compliant, OR3Txxx  
5 V PCI Compliant, OR3Txxx  
Input Speed  
Float Value  
Fast, Delayed  
Pull-up, Pull-down, None  
Register Mode  
Latch, FF, Fast Zero Hold FF,  
None (direct input)  
Clock Sense  
Inverted, Noninverted  
Input Selection  
Input 1, Input 2, Clock Input  
The OR3Txxx device has internal programmable pull-  
ups on the I/O buffers. These pull-up voltages are  
always referenced to VDD and are always sufficient to  
pull the input buffer of the OR3Txxx device to a high  
state. The pin on the OR3Txxx device will be at a level  
1.0 V below VDD (minimum of 2.0 V with a minimum  
VDD of 3.0 V). This voltage is sufficient to pull the exter-  
nal pin up to a 3.3 V CMOS high input level (1.8 V, min)  
or a TTL high input level (2.0 V, min) in a 5 V tolerant  
system. Therefore, in a 5 V tolerant system using 5 V  
CMOS parts, care must be taken to evaluate the use of  
these pull-ups to pull the pin of the OR3Txxx device to  
a typical 5 V CMOS high input level (2.2 V, min).  
Output  
Option  
Output Drive  
Current  
12 mA/6 mA or 6 mA/3 mA  
Output Function  
Output Speed  
Output Source  
Output Sense  
3-State Sense  
FF Clocking  
Normal, Fast Open Drain  
Fast, Slewlim, Sinklim  
FF Direct-out, General Routing  
Active-high, Active-low  
Active-high, Active-low (3-state)  
ExpressCLK, System Clock  
Inverted, Noninverted  
Clock Sense  
Logic Options  
See Table 10.  
PCI Compliant I/O  
I/O Controls  
Option  
Clock Enable  
Active-high, Active-low,  
Always Enabled  
The I/O on the OR3Txxx Series devices allows compli-  
ance with PCI Local Bus (Rev. 2.2) 5 V and 3.3 V sig-  
naling environments. The signaling environment used  
for each input buffer can be selected on a per-pin basis.  
The selection provides the appropriate I/O clamping  
diodes for PCI compliance. Choosing an IBT input  
buffer will provide PCI compliance in OR3Txxx devices.  
OR3Cxx devices have PCI Local Bus compliant I/Os for  
5 V signaling.  
Set/Reset Level  
Set/Reset Type  
Active-high, Active-low,  
No Local Reset  
Synchronous, Asynchronous  
Set/Reset Priority CE over LSR, LSR over CE  
GSR Control Enable GSR, Disable GSR  
Lucent Technologies Inc.  
37  
 
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
Warning: During configuration, all OR3Txxx inputs  
Programmable Input/Output Cells  
(continued)  
have internal pull-ups enabled. If these inputs are  
driven to 5 V, they will draw substantial current  
( 5 mA). This is due to the fact that the inputs are  
pulled up to 3 V.  
Inputs  
As outlined earlier in Table 9, there are six major  
options on the PIO inputs that can be selected in the  
ORCA Foundry tools. For OR3Cxx devices, the inputs  
and bidirectional buffers can be configured as either  
TTL or CMOS compatible. OR3Txxx devices support  
CMOS levels only for input or bidirectional buffers, have  
5 V tolerant I/Os as previously explained, but can  
optionally be selected on a pin-by-pin basis to be PCI  
bus 3.3 V signaling compliant (PCI bus 5 V signaling  
compliance occurs in 5 V tolerant operation). The  
default buffer upon powerup for the unused sites is 5 V  
tolerant/5 V PCI compliant. Consult the ORCA macro  
library, Series 3 I/O cells, for the appropriate buffers.  
Inputs may have a pull-up or pull-down resistor  
selected on an input for signal stabilization and power  
management. Input signals in a PIO can be passed to  
PIC routing on any of three paths, two general signal  
paths into PIC routing, and/or a fast route into the clock  
routing system.  
Floating inputs increase power consumption, produce  
oscillations, and increase system noise. The OR3Cxx  
inputs have a typical hysteresis of approximately 280  
mV (200 mV for the OR3Txxx) to reduce sensitivity to  
input noise. The PIC contains input circuitry which pro-  
vides protection against latch-up and electrostatic dis-  
charge.  
The other features of the PIO inputs relate to the new  
latch/FF structure in the input path. As shown in  
Figure 23, the input is optionally passed to a register or  
latch/register pair. These structures can operate in the  
modes listed in Table 9. In latch mode, the input signal  
is fed to a latch that is clocked by a system clock signal.  
The clock may be inverted or noninverted from its  
sense in the PIC routing. There is also a local set/reset  
signal to the latch from the PIC routing. The senses of  
these signals are also programmable as well as the  
capability to enable or disable the global set/reset sig-  
nal and select the set/reset priority. The same control  
signals may also be used to control the input latch/FF  
when it is configured as a FF instead of a latch, with the  
addition of another control signal used as a clock  
enable.  
There is also a programmable delay available on the  
input. When enabled, this delay affects the IN1 and IN2  
signals of each PIO, but not the clock input. The delay  
allows any signal to have a guaranteed zero hold time  
when input. This feature is discussed subsequently.  
Inputs should have transition times of less than 500 ns  
and should not be left floating. If any pin is not used, it  
is 3-stated with an internal pull-up resistor enabled  
automatically after configuration.  
38  
Lucent Technologies Inc.  
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
Programmable Input/Output Cells (continued)  
Zero-Hold Input  
There are two options for zero-hold input capture in the PIO. If input delay mode is selected to delay the signal from  
the input pin, data can be either registered or latched with guaranteed zero-hold time in the PIO using a system  
clock.  
To guarantee zero hold, the system clock spine structure must be used for clocking, as will be discussed later. The  
fast zero-hold mode of the PIO input takes advantage of the latch/FF combination and sources the input FF data  
from a dedicated latch that is clocked by the ExpressCLK from the PIC. The ExpressCLK is a clock from a dedi-  
cated input pin designed for fast, low-skew operation at the I/Os and is described more fully in the Clock Distribu-  
tion Network and PIC Interquad (MID) Routing sections that follow. The combination of ExpressCLK latch and  
system clock FF guarantees a zero-hold capture of input data in the PIO FF, while at the same time reducing input  
setup time. Figure 23 shows a schematic of the fast-capture latch/FF and a sample timing diagram.  
FF  
LATCH  
D Q  
DATA OUT  
TO PIC ROUTING  
INPUT DATA  
D
Q
O
I
EXPRESSCLK  
CLK  
CE  
S/R  
CD = 1  
O
I
SYSTEM CLK  
CLOCK ENABLE  
LOCAL SET/RESET  
EXPRESSCLK  
SYSTEM CLK  
INPUT DATA  
A
B
C
D
E
E
D
A
B
C
D
QLATCH  
QFF  
A
B
C
5-5974(F)  
Note: CE and LSR signals not shown.  
Figure 23. Fast-Capture Latch and Timing  
Lucent Technologies Inc.  
39  
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
Programmable Input/Output Cells (continued)  
Input Demultiplexing  
The combination of input register capability and the two inputs, IN1 and IN2, from each PIO to the internal routing  
provides for input signal demultiplexing without any additional resources. Figure 24 shows the input configuration  
and general timing for demultiplexing a multiplexed address and data signal. The PIO input signal is sent to both  
the input latch and directly to IN2. The signal is latched on the falling edge of the clock and output to routing at IN1.  
The address and data are then both available at the rising edge of the system clock. These signals may be regis-  
tered or otherwise processed in the PLCs at that clock edge. Figure 24 also shows the possible use of the SLIC  
decoder to perform an address decode to enable which registers are to receive the input data. Although the timing  
shown is for using the input register as a latch, it may also be used in the same way as an FF. Also note that the sig-  
nals found in PIO inputs IN1 and IN2 can be interchanged.  
OTHER ADDRESS  
LINES  
PIO  
PLC  
DEC  
IN1  
IN2  
D
Q
PAD  
SLIC  
SCLK  
D
Q
CE  
SCLK  
PIO INPUT  
DATA1 ADDR2 DATA2 ADDR3 DATA3 ADDR4 DATA4 ADDR5  
PIO LATCH  
OUTPUT  
ADDR1  
DATA0  
ADDR2  
DATA1  
ADDR3  
DATA2  
ADDR4  
DATA3  
ADDR5  
DATA4  
PLC FF  
OUTPUT  
5-5798(F)  
Figure 24. PIO Input Demultiplexing  
40  
Lucent Technologies Inc.  
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
An FF has been added to the output path of the PIO.  
The register has a local set/reset and clock enable. The  
LSR has the option to be synchronous or asynchro-  
nous and have priority set as clock enable over LSR or  
LSR over clock enable. Clocking to the output FF can  
come from either the system clock or the ExpressCLK  
associated with the PIC. The input to the FF can come  
from either OUT1 or OUT2, or it can be tied to VDD or  
GND. Additionally, the input to the FF can be inverted.  
Programmable Input/Output Cells  
(continued)  
Outputs  
The PIC’s output drivers have programmable drive  
capability and slew rates. Three propagation delays  
(fast, slewlim, sinklim) are available on output drivers.  
The sinklim mode has the longest propagation delay  
and is used to minimize system noise and minimize  
power consumption. The fast and slewlim modes allow  
critical timing to be met.  
Output Multiplexing  
The Series 3 PIO output FF can be combined with the  
new PIO logic block to perform output data multiplexing  
with no PLC resources required. The PIO logic block  
has three multiplexing modes: OUT1OUTREG,  
OUT2OUTREG, and OUT1OUT2. OUT1OUTREG and  
OUT2OUTREG are equivalent except that either OUT1  
or OUT2 is MUXed with the FF, where the FF data is  
output on the clock phase after the active edge. The  
simplest multiplexing mode is OUT1OUT2. In this  
mode, the signal at OUT1 is output to the pad while the  
clock is low, and the signal on OUT2 is output to the  
pad when the clock is high. Figure 25 shows a simple  
schematic of a PIO in OUT1OUT2 mode and a general  
timing diagram for multiplexing an address and data  
signal.  
The drive current is 12 mA sink/6 mA source for the  
slewlim and fast output speed selections and  
6 mA sink/3 mA source for the sinklim output. Two adja-  
cent outputs can be interconnected to increase the out-  
put sink/source current to 24 mA/12 mA.  
All outputs that are not speed critical should be config-  
ured as sinklim to minimize power and noise. The num-  
ber of outputs that switch simultaneously in the same  
direction should be limited to minimize ground bounce.  
To minimize ground bounce problems, locate heavily  
loaded output buffers near the ground pads. Ground  
bounce is generally a function of the driving circuits,  
traces on the printed-circuit board, and loads and is  
best determined with a circuit simulation.  
Often an address will be used to generate or read a  
data sample from memory with the goal of multiplexing  
the data onto a single line. In this case, the address  
often precedes the data by one clock cycle.  
OUT1OUTREG and OUT2OUTREG modes of the PIO  
logic can be used to address this situation.  
At powerup, the output drivers are in slewlim mode,  
and the input buffers are configured as TTL-level com-  
patible (CMOS for OR3Txxx) with a pull-up. If an output  
is not to be driven in the selected configuration mode, it  
is 3-stated.  
The output buffer signal can be inverted, and the  
3-state control signal can be made active-high, active-  
low, or always enabled. In addition, this 3-state signal  
can be registered or nonregistered. Additionally, there  
is a fast, open-drain output option that directly connects  
the output signal to the 3-state control, allowing the out-  
put buffer to either drive to a logic 0 or 3-state, but  
never to drive to a logic 1. Because there is no explicit  
route required to create the open-drain output, its  
response is very fast. Like the input side of the PIO,  
there are two output connections from PIC routing to  
the output side of the PIO, OUT1, and OUT2. These  
connections provide for flexible routing and can be  
used in data manipulation in the PIO as described in  
subsequent paragraphs.  
Because OUT1OUTREG mode is equivalent to  
OUT2OUTREG, only OUT2OUTREG mode is  
described here. Figure 26 shows a simple PIO sche-  
matic in OUT2OUTREG mode and general timing for  
multiplexing data with a leading address. The address  
signal on OUT1 is registered in the PIO FF. This delays  
the address so that it aligns with the data signal. The  
PIO logic block then sends the OUTREG signal  
(address) to the pad when the clock is high and the  
OUT2 signal (data) to the pad when the clock is low,  
resulting in an aligned, multiplexed signal.  
Lucent Technologies Inc.  
41  
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
Programmable Input/Output Cells (continued)  
PLC  
PIC  
ADDRESS  
FROM  
ROUTING  
OUT1  
OUT2  
DATA  
FROM  
ROUTING  
PIO  
LOGIC  
PAD  
CLK  
CLK  
OUT1 ADDR1  
OUT2 DATA1  
ADDR2  
ADDR3  
ADDR4  
ADDR5  
DATA5  
DATA4  
DATA2  
DATA3  
DATA4  
PIC OUTPUT ADDR1  
DATA1  
ADDR2  
DATA2  
ADDR3  
DATA3  
ADDR4  
NOTE: PIO LOGIC MODE, OUT1OUT2  
5-5799(F)  
Figure 25. Output Multiplexing (OUT1OUT2 Mode)  
PLC  
PIC  
ADDRESS  
FROM  
ROUTING  
OUT1  
D
Q
CLK  
P/O  
LOGIC  
PAD  
DATA  
FROM  
ROUTING  
OUT2  
CLK  
ADDR ADDR1  
ADDR2  
ADDR3  
ADDR4  
DATA3  
ADDR3  
ADDR5  
DATA4  
ADDR4  
DATA  
REG ADDRESS  
PAD  
DATA1  
ADDR1  
DATA2  
ADDR2  
ADDR1 DATA1 ADDR2 DATA2 ADDR3 DATA3 ADDR4  
NOTE: PIO LOGIC MODE, OUT1OUT2  
5-5797(F)  
Figure 26. Output Multiplexing (OUT2OUTREG Mode)  
42  
Lucent Technologies Inc.  
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
PIO Register Control Signals  
Programmable Input/Output Cells  
(continued)  
As discussed in the Inputs and Outputs subsections,  
the PIO latches/FFs have various clock, clock enable  
(CE), local set/reset (LSR), and global set/reset  
(GSRN) controls. Table 11 provides a summary of  
these control signals and their effect on the PIO  
latches/FFs. Note that all control signals are optionally  
invertible.  
PIO Logic Function Generator  
The PIO logic block can also generate logic functions  
based on the signals on the OUT2 and CLK ports of  
the PIO. The functions are AND, NAND, OR, NOR,  
XOR, and XNOR. Table 10 is provided as a summary  
of the PIO logic options.  
Table 11. PIO Register Control Signals  
Table 10. PIO Logic Options  
Control Signal  
Effect/Functionality  
ExpressCLK  
Clocks input fast-capture latch;  
optionally clocks output FF, or  
3-state FF.  
Option  
Description  
OUT1OUTREG Data at OUT1 output when clock  
low, data at FF out when clock  
high.  
System Clock  
(SCLK)  
Clocks input latch/FF; optionally  
clocks output FF, or 3-state FF.  
OUT2OUTREG Data at OUT2 output when clock  
Clock Enable  
(CE)  
Optionally enables/disables input  
FF (not available for input latch  
mode); optionally enables/dis-  
ables output FF; separate CE  
inversion capability for input and  
output.  
low, data at FF out when clock  
high.  
OUT1OUT2  
Data at OUT1 output when clock  
low, data at OUT2 when clock  
high.  
AND  
NAND  
OR  
Output logical AND of signals on  
OUT2 and clock.  
Local Set/Reset Option to disable; affects input  
(LSR)  
latch/FF, output FF, and 3-state  
FF if enabled.  
Output logical NAND of signals  
on OUT2 and clock.  
Global Set/Reset Option to enable or disable per  
(GSRN) PIO after initial configuration.  
Output logical OR of signals on  
OUT2 and clock.  
Set/Reset Mode The input latch/FF, output FF, and  
3-state FF are individually set or  
reset by both the LSR and GSRN  
inputs.  
NOR  
XOR  
XNOR  
Output logical NOR of signals on  
OUT2 and clock.  
Output logical XOR of signals on  
OUT2 and clock.  
Output logical XNOR of signals  
on OUT2 and clock.  
Lucent Technologies Inc.  
43  
 
 
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
switching segments of the PIC to the right (below). This  
means of connectivity between PICs using staggered  
connections of groups of switching segments allows a  
given PIC to route signals to both adjacent PICs and all  
adjacent PLCs efficiently. This provides single signal  
routing flexibility and routing of multiple buses on  
groups of I/Os without tying up global routing  
resources.  
Programmable Input/Output Cells  
(continued)  
PIC Routing Resources  
The PIC routing borrows many of the concepts and  
constructs from the PLC routing. It is designed to be  
able to gather an 8-bit bidirectional bus from any eight  
consecutive I/O pads and route them to either or both  
of the two adjacent PLCs. The eight I/O bits do not  
need to start at a PIC boundary; that is, they may start  
at one of the middle two PIOs in a PIC and span three  
PICs.  
px1 Routing Segments. There are five px1 routing  
segments in each PIC that run parallel to the edge of  
the chip on which the PIC resides, each broken by a  
CIP in each PIC. The px1 segments have connectivity  
to the pSW segments and to the x1 routing segments  
of the two adjacent PLCs.  
Substantial routing has been added to the PIC to off-  
load PLC routing from being used to move signals  
around the PLC array perimeter. This saves PLC rout-  
ing for logic purposes and provides greater flexibility for  
locking design pinouts prior to final placement and rout-  
ing of the device, or allowing a change in the pinout late  
in the design cycle. The PIC routing has also been  
increased substantially to allow routing to the complex  
PIO cells that now allow multiple inputs and outputs per  
device pin, along with new sequential control signals,  
such as clock enable, LSR, and clock.  
px2 Routing Segments. There are five px2 routing  
segments in each PIC that run parallel to the edge of  
the chip on which the PIC resides. To provide greater  
routing flexibility, the CIPs that break the px2 segments  
every two PICs are staggered across the two PICs in a  
pair. One PIC of the pair has break CIPs on the even-  
numbered px2 segments, and the other has them on  
the odd-numbered px2 segments. The px2 segments  
have connectivity to the pSW segments and to the x1  
routing segments of the two adjacent PLCs.  
PICs are grouped in pairs for purposes of discussing  
PIC routing. On the sides of a device, the PICs in a pair  
are referred to as top and bottom. On the top or bottom  
of a device, the PICs in a pair are referred to as left or  
right. For example, on the top edge of the device, the  
leftmost PIC, PT1, is the left PIC of a pair, and PIC PT2  
is the right PIC of that pair. The next PIC to the right,  
PT3, is the left PIC of the next pair, and so on.  
px5 Routing Segments. There are ten px5 routing  
segments in each PIC that run parallel to the edge of  
the chip on which the PIC resides. Two of the ten seg-  
ments are broken in each PIC so that each segment is  
broken every five PICs. All ten px5 segments break at  
the corners of the chip, allowing independent px5 rout-  
ing on each edge of the chip. The px5 routing seg-  
ments connect to the pSW segments and the x5 and  
xH routing segments of the two adjacent PLCs.  
The need for PIC pairs stems from the routing of  
switching segments and PLC half- and long-line driv-  
ers. As described below, the connectivity for these  
types of routing is grouped across pairs of PICs to pro-  
vide complete and fast routing of I/O signals between a  
given PIC and the three adjacent PLCs: one orthogonal  
and two diagonal.  
pxH Routing Segments. Each PIC contains eight pxH  
routing segments that run parallel to the edge of the  
chip on which the PIC resides. The pxH segments have  
connectivity with the xL, xH, and one set of xBID rout-  
ing segments in the immediately adjacent PLC.  
PIC routing segments use the same terminology as  
PLC routing segments, but are prefixed with a p to dis-  
tinguish them as belonging to the PICs.  
pxL Routing Segments. There are ten pxL routing  
segments in each PIC that run parallel to the edge of  
the chip on which the PIC resides. Each of the xL lines  
makes a connection to an xL line from the adjacent  
PLC. PIC long lines (xL) can be used for global signal  
distribution just as PLC xL lines can.  
PIC Switching Segments. Each PIC has two groups  
of switching segments (pSW), each group having eight  
lines with connectivity to the PIOs in groups of four.  
One set of switching segments connects to the PIC to  
the left (above), and the other set connects to the  
44  
Lucent Technologies Inc.  
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
O. xH routing segments from the adjacent PLC routing.  
Programmable Input/Output Cells  
(continued)  
P. BIDI routing segments from the adjacent PLC rout-  
ing.  
PIC Architectural Description  
Q. These are the IN2 routing segments. There is one  
IN2 line from each PIO, and all eight IN2 lines from  
each PIC pair are present in both PICs of a pair.  
The PIC architecture as seen in ORCA Foundry is  
shown in Figure 27. The figure is the left PIC of a PIC  
pair on the top edge of a Series 3 array. Both PICs in a  
pair are similar, with the differences mainly lying in the  
connections between the PIC switching segments  
(pSW), the IN2 connections across PIC boundaries,  
and the system clock spine driver residing in only one  
PIC of a pair.  
R. These CIPs connect the IN1 and IN2 routing seg-  
ments from the PIOs to the PIC switching seg-  
ments.  
S. These CIPs break the PIC switching segments at  
the interface between a PIC pair.  
T. These CIPs connect adjacent PLC routing  
A. This is a programmable input/output (PIO). There  
are four PIOs per PIC. The PIOs contain the PIC  
logic and I/O buffers.  
resources to the PIC switching segments.  
U. These CIPs connect inter-PIC routing with the PIC  
switching segments.  
B. This is the PIC output switching block. It connects  
the PIC switching segments and local clock lines to  
the PIO output and control signals.  
V. These CIPs break the px1, px2, and px5 routing at  
the middle of a PIC. The px2 and px5 CIP place-  
ment varies depending on the PLC.  
C. This is the system clock spine switching block and  
buffer. There is only one system clock spine per pair  
of PICs. Its inputs can come from the PIC switching  
segments or any of the eight PIO inputs in a PIC  
pair.  
W. These mutually exclusive buffers can drive one long  
line signal onto a PIC local clock routing segment.  
X. These mutually exclusive buffers can select a  
source from one of the local system clock routes to  
drive the PIO 3-state control signal.  
D. PIC switching segments (pSW). These routing seg-  
ments are used to interconnect routing resources  
within the PIC and to a lesser degree, between  
PICs.  
Y. These are the four local system clock routing seg-  
ments. Two come from connections within the PIC,  
one from the other PIC in the pair, and one from the  
adjacent PLC.  
E. px1 routing segments. The PIC x1 routing seg-  
ments traverse one PIC and break at a CIP in the  
middle of each PIC.  
Z. These mutually exclusive buffers allow a signal on  
the PIC switching segments to be routed to a sys-  
tem clock spine or to a PIO system clock.  
F. px2 routing segments. The PICs have routing that  
traverses two PICs between breaks. The breaks are  
staggered among the five px2 segments.  
AA. ExpressCLK routing line.  
AB. System clock spine.  
G. px5 routing segments. Each of the ten PIC x5 rout-  
ing segments traverses five PICs in between breaks  
at a CIP. Two px5 segments break in each PIC.  
AC. These various groups of CIPs connect routing  
resources from the adjacent PLC to the inter-PIC  
routing resources.  
H. pxH routing segments. The eight PIC xH routing  
segments traverse half of the array and break at  
CIPs in the interquad routing region that is in the  
middle of the array.  
AD. These buffers provide connectivity between the  
PLC xL (xH) lines and the PIC xL (xH) lines or  
connectivity between one of the IN2 routing seg-  
ments and the PIC and/or PLC xL (xH) routing  
segments.  
I. (Not used intentionally for clarity.)  
J. pxL routing segments. The PIC long lines run the  
AE. These mutually exclusive buffers and CIPs provide  
connectivity to the PLC xL and xH lines from one  
of the IN2 input segments.  
entire length of the side of the array.  
K. x5 routing segments from the adjacent PLC routing.  
L. xL routing segments from the adjacent PLC routing.  
M. x1 routing segments from the adjacent PLC routing.  
N. Switching segments from the adjacent PLC routing.  
AF. These buffers allow the IN2 signals to drive onto  
the BIDI routing of the adjacent PLC, or the BIDI  
routing of the adjacent PLC, and the PIC switching  
segments and/or PIC half lines may be connected.  
Lucent Technologies Inc.  
45  
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
Programmable Input/Output Cells (continued)  
5-5823(F)  
Figure 27. PIC Architecture  
46  
Lucent Technologies Inc.  
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
High-Level Routing Resources  
The high-level routing resources in the ORCA Series 3 devices are interquad routing, corner cell routing, and PIC  
interquad routing. These resources and their related structures are discussed in the following subsections.  
Interquad Routing  
In the ORCA Series 3 devices, the PLC array is split into four equal quadrants. In between these quadrants, routing  
has been added to route signals between the quadrants and distribute clocks. In addition to general routing, there  
are four specialized clock routing spines. The general routing is discussed below, followed by the special clock rout-  
ing.  
One of the main purposes of interquad routing is to distribute internally generated signals, such as clocks and con-  
trol signals. There are two types of interquad blocks: vertical and horizontal. Vertical interquad blocks (vIQ) run  
between quadrants on the left and right, while horizontal interquad blocks (hIQ) run between top and bottom quad-  
rants. Interquad lines begin and end in the MID cells that are discussed later. Since hIQ and vIQ blocks have the  
same logic, only the hIQ block is described below. The interquad routing connects to x5 and xH segments. It does  
not affect other local routing (xsw, x1, fast carry), so local routing is the same, whether PLC-PLC connections cross  
quadrants or not. Figure 28 presents a (not to scale) view of interquad routing.  
TMID  
5
5 5 5  
5
FAST CLOCK L  
hIQ9[4:0]  
5
5
5
5
5
hIQ8[4:0]  
hIQ6[4:0]  
5
5
5
5
hIQ7[4:0]  
hIQ5[4:0]  
LMID  
RMID  
hIQ4[4:0]  
hIQ3[4:0]  
hIQ1[4:0]  
hIQ2[4:0]  
hIQ0[4:0]  
5
FAST CLOCK R  
5
5 5 5  
5
BMID  
5-4538(F)  
Figure 28. Interquad Routing  
Lucent Technologies Inc.  
47  
 
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
device. Fast clocks and other clock resources are dis-  
cussed in the Clock Distribution Network section.  
High-Level Routing Resources (continued)  
Figure 29 shows the connections from the interquad  
routing to the inter-PLC routing for a block of the hori-  
zontal interquad. The vertical interquad has similar  
connections. The connections shown in Figure 29 are  
made with PLCs located above and below the routing  
shown in the figure. The interquad routing segments,  
prefixed IH for interquad horizontal, are in ten groups of  
five lines. Any one line from each group can be routed  
to one of the xH segments from the top of the device  
(left for vertical interquad), one of the xH segments  
from the bottom of the device (right for vertical inter-  
quad), and one of the x5 segments crossing the inter-  
quad.  
Programmable Corner Cell Routing  
Programmable Routing  
The programmable corner cell (PCC) contains the cir-  
cuitry to connect the routing of the two PICs in each  
corner of the device. The PIC px1 and px2 segments  
and eight PIC switching segments are directly con-  
nected together from one PIC to another. The px5 lines  
are all broken with CIPs and the PIC pxL and pxH  
segments are connected from one block to another  
through programmable buffers.  
Corner Cell Special Functions  
Figure 28 shows four fast middle clock (fast clock) sig-  
nals with the suffixes T (top), B (bottom), R (right), and  
L (left), respectively. Figure 29 also shows the fast  
clock R and fast clock L lines; these are dedicated  
interquad clock spines. They originate in the CLKCN-  
TRL special function blocks in the middle of each edge  
of the device, with the name referencing the edge of  
origin. For example, fast clock R originates in the  
CLKCNTRL block on the right edge of a device. Fast  
clock spines traverse the entire PLC array but do not  
connect to the PICs on the edge of the device opposite  
to the source. Each fast clock line connects to two of  
the xL lines in each PLC that run orthogonally to the  
fast clock. These connections allow the fast clock lines  
to generate a clock tree that can reach any PLC in the  
In addition to routing functions, special-purpose func-  
tions are located in each FPGA corner. The upper-left  
PCC contains connections to the boundary-scan logic  
and microprocessor interface. The upper-right PCC  
contains connections to the readback logic, connectiv-  
ity to the global 3-state signal (TS_ALL), and a pro-  
grammable clock manager. The lower-left PCC  
contains connections to the internal oscillator and a  
programmable clock manager. The lower-right PCC  
contains connections to the start-up and global reset  
logic. These functions are all more completely  
described in the Special Function Blocks section of this  
data sheet.  
IH0[4:0]  
IH1[4:0]  
IH2[4:0]  
IH3[4:0]  
IH4[4:0]  
FAST CLOCK R  
FAST CLOCK L  
IH5[4:0]  
IH6[4:0]  
IH7[4:0]  
IH8[4:0]  
IH9[4:0]  
BL[9:0] vxL[9:0] vx5[9:0] vx1[9:0]  
SUL[9:0]  
vx1[9:0]  
FAST  
vck vxH[9:0] BL[9:0]  
CARRY  
5-5821(F)  
Figure 29. hIQ Block Detail  
48  
Lucent Technologies Inc.  
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
The pxH segments from the one quadrant can be con-  
nected through a CIP to its counterpart in the opposite  
quadrant, providing a path that spans the array of  
PICs. Since a passive CIP is used to connect the two  
pxH segments, a 3-state signal can be routed on the  
two pxH segments in the opposite quadrants, and then  
connected through this CIP. As with the hIQ and vIQ  
blocks, CIPs and buffers allow nibble-wide connections  
between the interquad segments, the xH segments,  
and the x5 segments.  
High-Level Routing Resources (continued)  
PIC Interquad (MID) Routing  
There is also connectivity between the PICs in each  
quadrant, as well as a clock control (CLKCNTRL) mod-  
ule (discussed in the Special Function Blocks section)  
between the PIC routing and the interquad routing.  
These blocks are called LMID (left), TMID (top), RMID  
(right), and BMID (bottom). The TMID routing is shown  
in Figure 30. As with the hIQ and vIQ blocks, the only  
connectivity to the PIC routing is to the global pxH and  
px5 segments.  
SHUTOFF  
EXPRESSCLK LEFT  
EXPRESSCLK RIGHT  
PIC LOCAL CLOCKS  
FROM RIGHT  
PIC LOCAL CLOCKS  
FROM LEFT  
pxL[9:0]  
pxH[7:0]  
px5[9:0]  
px2[4:0]  
px1[4:0]  
pSW[7:4]  
pSW[3:0]  
pSW[7:4]  
pSW[3:0]  
in2[A:D] FROM LEFT  
in[A:D] FROM RIGHT  
CORNER ExpressCLK  
5-5822(F)  
Figure 30. Top (TMID) Routing  
Lucent Technologies Inc.  
49  
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
Clock Distribution Network  
is generated from the PLC to the left or right of the cur-  
rent PLC, and one is generated from the PLC above or  
below the current PLC. The selection decision as to  
where these signals come from, above/below and left/  
right, is based on the position of the PLC in the array  
and has to do with the alternating nature of the source  
of the system clock spines (discussed later). The last of  
the five clock sources is also generated within the PLC.  
The E1 control signal, described in the PLC Routing  
Resources section, can drive the PFU clock. The E1  
signal can come from any xBID routing resource in the  
PLC. The selection and switching of clock signals in a  
PLC is performed in the FINS. Figure 31 shows the  
PFU clock sources for a set of four adjacent PLCs.  
The Series 3 FPGAs provide three types of high-  
speed, low-skew clock distributions: system clock, fast  
middle clock (fast clock), and ExpressCLK. Because of  
the great variety of sources and distribution for clock  
signals in the ORCA Series 3, the clock mechanisms  
will be described here from the inside out. The clock  
connections to the PFU will be described, followed by  
clock distribution to the PLC array, clock sources to the  
PLC array, and finally ending with clock sources and  
distribution in the PICs. The ExpressCLK inputs are  
new, dedicated clock inputs in Series 3 FPGAs. They  
are mentioned in several of the clock network descrip-  
tions and are described fully later in this section.  
Global Control Signals  
The four clock signals in each PLC that are generated  
from the long lines (xL) in the current PLC or an adja-  
cent PLC can also be used to drive the PFU clock  
enable (CE), local set/reset (LSR) and add/subtract/  
write enable (ASWE) signals. The clock signals gener-  
ated from vertical long lines can drive CE and ASWE,  
and the clocks generated from horizontal long lines can  
drive LSR. This allows for low-skew global distribution  
of two of these three control signals with the clock rout-  
ing while still allowing a global clock route to occur.  
PFU Clock Sources  
Within a PLC there are five sources for the clock signal  
of the latches/FFs in the PFU. Two of the signals are  
generated off of the long lines (xL) within the PLC: one  
from the set of vertical long lines and one from the set  
of horizontal long lines. For each of these signals, any  
one of the ten long lines of each set, vertical or horizon-  
tal, can generate the clock signal. Two of the five PFU  
clock sources come from neighboring PLCs. One clock  
vxL[9:0]  
vxL[9:0]  
PLC  
PLC  
PFU  
PFU  
E1  
E1  
hxL[9:0]  
PLC  
PLC  
PFU  
PFU  
E1  
E1  
hxL[9:0]  
5-6054(F)  
Figure 31. PFU Clock Sources  
50  
Lucent Technologies Inc.  
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
The clock spine structure previously described pro-  
vides for complete distribution of a clock from any I/O  
pin to the entire PLC array by means of a single clock  
spine and long lines (xL). This distribution system also  
provides a means to have many different clocks routed  
to many different and dispersed locations in the PLC  
array. Each spine can carry a different clock signal, so  
for the OR3C/T55 (which has an 18 x 18 array of PLCs,  
implying nine clock spines per side), 36 input clock sig-  
nals can be supported using the system clock network.  
Clock Distribution Network (continued)  
Clock Distribution in the PLC Array  
System Clock (SCLK)  
The clock distribution network, or clock spine network,  
within the PLC array is designed to minimize clock skew  
while maximizing clock flexibility. Clock flexibility is  
expressed in two ways: the ease with which a single  
clock is routed to the entire array, and the capability to  
provide multiple clocks to the PLC array.  
Fast Clock  
There is one horizontal and one vertical clock spine  
passing through each PLC. The horizontal clock spine  
is sourced from the PIC in the same row on either the  
left- or right-hand side of the array, with the source side  
(left or right) alternating for each row. The vertical clock  
spines are similarly sourced from the PICs alternating  
from the top or bottom of a column. Each clock spine is  
capable of driving one of the ten xL routing segments  
that run orthogonal to it within each PLC. Full connec-  
tivity to all PFUs is maintained due to the connectivity  
from the xL lines to the PFU clock signals described in  
the previous section; however, only an xL line in every  
other row (column) needs to be driven to allow the  
given clock signal to be distributed to every PFU.  
Figure 32 is a high-level diagram of the Series 3 system  
clock spine network with sample xL line  
Fast clocks are high-speed, low-skew clock spines that  
originate from the CLKCNTRL special function blocks  
(described later). There are four fast clock spines—one  
originating on the middle of each edge of the array. The  
spines run in the interquad region of the PLC array  
from their source side of the device to the last row or  
column on the opposite side of the device. The fast  
clocks connect to two long lines, xL[8] and xL[9], that  
run orthogonal to the spine direction in each PLC.  
These long lines can then be connected to the PFU  
clock input in the same manner as the general system  
clocks, and, like the system clock connections, xL lines  
are only needed in every other row (column) to distrib-  
ute a clock to every PFU. The limited number of long-  
line connections and the low skew of the CLKCNTRL  
source combine to make the fast clocks a very robust,  
low-skew clock source.  
connections for a 4 x 4 array of PLCs.  
UNUSED  
SCLK SPINE  
VERTICAL  
SCLK SPINE  
UNUSED  
SCLK SPINE  
UNUSED  
SCLK SPINE  
(xL)  
HORIZONTAL  
SCLK SPINE  
(xL)  
UNUSED  
SCLK SPINE  
UNUSED  
SCLK SPINE  
(xL)  
UNUSED  
SCLK SPINE  
(xL)  
(xL)  
UNUSED  
SCLK SPINE  
UNUSED  
SCLK SPINE  
5-5801(F).a  
Figure 32. ORCA Series 3 System Clock Distribution Overview  
Lucent Technologies Inc.  
51  
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
Clocks in the PICs  
Clock Distribution Network (continued)  
Because the Series 3 FPGAs have latches and FFs in  
the I/Os, it is necessary to have clock signal distribution  
to the PIOs as well as in the PLC array. The system  
clock, the fast clock, and the ExpressCLK are available  
for PIO clocking.  
Clock Sources to the PLC Array  
The source of a clock that is globally available to the  
PLC array can be from any user I/O pad, any of the  
ExpressCLK pads, or an internally generated source.  
System Clock  
PIC System Clock  
As described in the Programmable Input/Output Cells  
section, PICs are grouped in adjacent pairs. Any one of  
the eight pads in a PIC pair can drive a clock spine in a  
row or column. For PIC pairs on the top of the chip, the  
column associated with the left PIC has the clock  
spine, for pairs on the bottom, the right PIC column has  
the spine. The top PIC of the pair sources the spine  
from the left side of the array, and the bottom PIC of the  
pair sources the spine from the right side of the array.  
Clock delay and skew are minimized by having a single  
clock buffer per pair of PICs. The clock spine for each  
pair can also be driven by one of the four PIC switching  
segments (pSW) in each PIC of the pair. This allows a  
signal generated in the PLC array to be routed onto the  
global clock spine network. The system clock output of  
the programmable clock manager (PCM) may also be  
routed to the global system clock spines via the pSW  
segments. Figure 33 shows the clock spine multiplex-  
ing structure for a pair of PICs on the top of the array.  
There are five local system clock lines in each PIC.  
Much like the sources for a clock in the PFU, two of the  
local PIC clocks are generated within the PIC from long  
lines. One is generated from the set of ten PIC long  
lines (pxL) that runs parallel to the PICs on a side, and  
the other is generated from the set of ten long lines (xL)  
from the PLC array that terminate in the PIC. Another  
local PIC system clock route comes from the set of ten  
xL lines in the adjacent PLC that is parallel to the side  
of the array on which the PIC resides. The fourth local  
PIC system clock route comes from the set of ten long  
lines (xL) from the PLC array that terminate in the adja-  
cent PIC that is not part of the same PIC pair. Much like  
the E1 signals in the PLCs that are used to distribute a  
local clock to the PFU source, the fifth local clock line in  
each PIC comes from local pSW signals. This clock  
signal for each PIC is shown in Figure 33. One of these  
five local PIC system clocks is selected for the system  
clock signal in the PIO. It is used as the PIO system  
clock for both input and output clocking as selected  
within the PIO. All PIOs in a PIC share the same sys-  
tem clock.  
Fast Clock  
The fast clock spines are sourced to the PLC array  
from each side of the device by the ExpressCLK pads  
via the CLKCNTRL function block (described in the  
Special Function Blocks section). The ExpressCLK and  
fast clock source from the pads is shown in Figure 34  
and will be described further in the ExpressCLK Inputs  
subsection.  
PIC ExpressCLK  
The ExpressCLK signal used at the PIC latches/FFs  
comes from the CLKCNTRL function block that resides  
in the middle of the side on which the PIC resides. A  
single signal comes from the CLKCNTRL and is driven  
by separate buffers onto two ExpressCLK long wires.  
One of these ExpressCLK signals goes to the PICs on  
the right of (above) the CLKCNTRL block, and the  
other ExpressCLK signal goes to the PICs on the left of  
(below) the CLKCNTRL block on that side.  
PAD A  
PAD B  
PAD C  
PAD D  
pSW[4]  
pSW[5]  
pSW[6]  
pSW[7]  
TO LOCAL CLOCKS  
TO LOCAL CLOCKS  
TPICR  
SPINE  
TPICL  
5-5800(F)  
Figure 33. PIC System Clock Spine Generation  
52  
Lucent Technologies Inc.  
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
pin is completely arbitrary, but using a pin that is near  
the center of an edge of the device will provide the low-  
est skew system clock network. The pin-to-pin timing  
numbers in the Timing Characteristics section assume  
that the clock pin is in one of the PICs at the center of  
any side of the device next to an ExpressCLK pad. For  
actual timing characteristics for a given clock pin, use  
the timing analyzer results from ORCA Foundry.  
Clock Distribution Network (continued)  
ExpressCLK Inputs  
There are four dedicated ExpressCLK pads on each  
Series 3 device: one in the middle of each side. Two  
other user I/O pads can also be used as corner  
ExpressCLK inputs, one on the lower-left corner, and  
one on the upper-right corner. The corner ExpressCLK  
pads feed the ExpressCLK to the two sides of the array  
that are adjacent to that corner, always driving the  
same signal in both directions. The ExpressCLK route  
from the middle pad and from the corner pad associ-  
ated with that side are multiplexed and can be glitch-  
lessly stopped/started under user control using the  
StopCLK feature of the CLKCNTRL function block  
(described under Special Function Blocks) on that side.  
The ExpressCLK output of the programmable clock  
manager (PCM) is programmably connected to the cor-  
ner ExpressCLK routes. PCM blocks are found in the  
same corners as the corner ExpressCLK signals and  
are described in the Special Function Blocks section.  
The ExpressCLK structure is shown in Figure 34 (PCM  
blocks are not shown).  
To select subsequent clock pins, certain rules should  
be followed. As discussed in the Programmable Input/  
Output Cells section, PICs are grouped into adjacent  
pairs. Each of these pairs contains eight I/Os, but only  
one of the eight I/Os in a PIC pair can be routed directly  
onto a system clock spine. Therefore, to achieve top  
performance, the next clock input chosen should not be  
one of the pins from a PIC pair previously used for a  
clock input. If it is necessary to have a second input in  
the same PIC pair route onto global system clock rout-  
ing, the input can be routed to a free clock spine using  
the PIC switching segment (pSW) connections to the  
clock spine network at some small sacrifice in speed.  
Alternatively, if global distribution of the secondary  
clock is not required, the signal can be routed on long  
lines (xL) and input to the PFU clock input without  
using a clock spine.  
Another rule for choosing clock pins has to do with the  
alternating nature of clock spine connections to the xL  
and pxL routing segments. Starting at the left side of  
the device, the first vertical clock spine from the top  
connects to hxL[0] (horizontal xL[0]), and the first verti-  
cal clock spine from the bottom connects to hxL[5] in all  
PLC rows. The next vertical clock spine from the top  
connects to hxL[1], and the next one from the bottom  
connects to hxL[6]. This progression continues across  
the device, and after a spine connects to hxL[9], the  
next spine connects to hxL[0] again. Similar connec-  
tions are made from horizontal clock spines to vxL (ver-  
tical xL) lines from the top to the bottom of the device.  
Because the ORCA Series 3 clock routing only  
requires the use of an xL line in every other row or col-  
umn, even two inputs chosen 20 PLCs apart on the  
same xL line will not conflict, but it is always better to  
avoid these choices, if possible. The fast clock spines  
in the interquad routing region also connect to xL[8]  
and xL[9] for each set of xL lines, so it is better to avoid  
user I/Os that connect to xL[8] or xL[9] when a fast  
clock is used that might share one of these connec-  
tions. Another reason to use the fast clock spines is  
that since they use only the xL[9:8] lines, they will not  
conflict with internal data buses which typically use  
xL[7:0]. For more details on clock selection, refer to  
application notes on clock distribution in ORCA Series  
3 devices.  
CLKCNTRL  
EXPRESSCLK PADS  
BLOCK  
FAST CLOCKS  
EXPRESSCLKS TO PIOs  
5-5802(F)  
Note: All multiplexers are set during configuration.  
Figure 34. ExpressCLK and Fast Clock Distribution  
Selecting Clock Input Pins  
Any user I/O pin on an ORCA FPGA can be used as a  
fast, low-skew system clock input. Since the four dedi-  
cated ExpressCLK inputs can only be used to distribute  
global signals into the FPGA, these pins should be  
selected first as clock pins. Within the interquad region  
of the device, these clocks sourced by the ExpressCLK  
inputs are called fast clocks. Choosing the next clock  
Lucent Technologies Inc.  
53  
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
Special Function Blocks  
Readback can be performed via the Series 3 micropro-  
cessor interface (MPI) or by using dedicated FPGA  
readback controls. If the MPI is enabled, readback via  
the dedicated FPGA readback logic is disabled. Read-  
back using the MPI is discussed in the Microprocessor  
Interface (MPI) section.  
Special function blocks in the Series 3 provide extra  
capabilities beyond general FPGA operation. These  
blocks reside in the corners and MIDs (middle inter-  
quad areas) of the FPGA array.  
The pins used for dedicated readback are readback  
data (RD_DATA), read configuration (RD_CFG), and  
configuration clock (CCLK). A readback operation is ini-  
tiated by a high-to-low transition on RD_CFG. The  
RD_CFG input must remain low during the readback  
operation. The readback operation can be restarted at  
frame 0 by driving the RD_CFG pin high, applying at  
least two rising edges of CCLK, and then driving  
RD_CFG low again. One bit of data is shifted out on  
RD_DATA at the rising edge of CCLK. The first start bit  
of the readback frame is transmitted out several cycles  
after the first rising edge of CCLK after RD_CFG is input  
low (see the Readback Timing Characteristics table in  
the Timing Characteristics section). To be certain of the  
start of the readback frame, the data can be monitored  
for the 01 frame start bit pair.  
Single Function Blocks  
Most of the special function blocks perform a specific  
dedicated function. These functions are data/configura-  
tion readback control, global 3-state control (TS_ALL),  
internal oscillator generation, global set/reset (GSRN),  
and start-up logic.  
Readback Logic  
The readback logic is located in the upper right corner  
of the FPGA and can be enabled via a bit stream option  
or by instantiation of a library readback component.  
Readback is used to read back the configuration data  
and, optionally, the state of the PFU outputs. A read-  
back operation can be done while the FPGA is in nor-  
mal system operation. The readback operation cannot  
be daisy-chained. To use readback, the user selects  
options in the bit stream generator in the ORCA  
Foundry Development System.  
Readback can be initiated at an address other than  
frame 0 via the new microprocessor interface (MPI)  
control registers (see the Microprocessor Interface  
(MPI) section for more information). In all cases, read-  
back is performed at sequential addresses from the  
start address.  
Table 12 provides readback options selected in the bit  
stream generator tool. The table provides the number  
of times that the configuration data can be read back.  
This is intended primarily to give the user control over  
the security of the FPGA’s configuration program. The  
user can prohibit readback (0), allow a single readback  
(1), or allow unrestricted readback (U).  
It should be noted that the RD_DATA output pin is also  
used as the dedicated boundary-scan output pin, TDO.  
If this pin is being used as TDO, the RD_DATA output  
from readback can be routed internally to any other pin  
desired. The RD_CFG input pin is also used to control  
the global 3-state (TS_ALL) function. Before and during  
configuration, the TS_ALL signal is always driven by  
the RD_CFG input and readback is disabled. After con-  
figuration, the selection as to whether this input drives  
the readback or global 3-state function is determined  
by a set of bit stream options. If used as the RD_CFG  
input for readback, the internal TS_ALL input can be  
routed internally to be driven by any input pin.  
Table 12. Readback Options  
Option  
Function  
Prohibit Readback  
0
1
Allow One Readback Only  
U
Allow Unrestricted Number of Readbacks  
54  
Lucent Technologies Inc.  
 
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
The following occur when TS_ALL is activated:  
Special Function Blocks (continued)  
1. All of the user I/O output buffers are 3-stated, the  
user I/O input buffers are pulled up (with the pull-  
down disabled), and the input buffers are configured  
with TTL input thresholds (OR3Cxx only).  
The readback frame contains the configuration data  
and the state of the internal logic. During readback, the  
value of all registered PFU and PIC outputs can be  
captured. The following options are allowed when  
doing a capture of the PFU outputs.  
2. The TDO/RD_DATA output buffer is 3-stated.  
3. The RD_CFG, RESET, and PRGM input buffers remain  
active with a pull-up.  
1. Do not capture data (the data written to the RAMs,  
usually 0, will be read back).  
4. The DONE output buffer is 3-stated, and the input  
buffer is pulled up.  
2. Capture data upon entering readback.  
3. Capture data based upon a configurable signal  
internal to the FPGA. If this signal is tied to  
logic 0, capture RAMs are written continuously.  
Internal Oscillator  
The internal oscillator resides in the lower left corner of  
the FPGA array. It has output clock frequencies of  
1.25 MHz and 10 MHz. The internal oscillator is the  
source of the internal CCLK used for configuration. It  
may also be used after configuration as a general-  
purpose clock signal.  
4. Capture data on either options 2 or 3 above.  
The readback frame has an identical format to that of  
the configuration data frame, which is discussed later in  
the Configuration Data Format section. If LUT memory  
is not used as RAM and there is no data capture, the  
readback data (not just the format) will be identical to  
the configuration data for the same frame. This eases a  
bitwise comparison between the configuration and  
readback data. The configuration header, including the  
length count field, is not part of the readback frame.  
The readback frame contains bits in locations not used  
in the configuration. These locations need to be  
masked out when comparing the configuration and  
readback frames. The development system optionally  
provides a readback bit stream to compare to readback  
data from the FPGA. Also note that if any of the LUTs  
are used as RAM and new data is written to them,  
these bits will not have the same values as the original  
configuration data frame either.  
Global Set/Reset (GSRN)  
The GSRN logic resides in the lower right corner of the  
FPGA. GSRN is an invertible, default, active-low signal  
that is used to reset all of the user-accessible latches/  
FFs on the device. GSRN is automatically asserted at  
powerup and during configuration of the device.  
The timing of the release of GSRN at the end of config-  
uration can be programmed in the start-up logic  
described below. Following configuration, GSRN may  
be connected to the RESET pin via dedicated routing, or  
it may be connected to any signal via normal routing.  
Within each PFU and PIO, individual FFs and latches  
can be programmed to either be set or reset when  
GSRN is asserted. A new option in Series 3 allows indi-  
vidual PFUs and PIOs to turn off the GSRN signal to its  
latches/FFs after configuration.  
Global 3-State Control (TS_ALL)  
To increase the testability of the ORCA Series FPGAs,  
the global 3-state function (TS_ALL) disables the  
device. The TS_ALL signal is driven from either an  
external pin or an internal signal. Before and during  
configuration, the TS_ALL signal is driven by the input  
pad RD_CFG. After configuration, the TS_ALL signal  
can be disabled, driven from the RD_CFG input pad, or  
driven by a general routing signal in the upper right cor-  
ner. Before configuration, TS_ALL is active-low; after  
configuration, the sense of TS_ALL can be inverted.  
The RESET input pad has a special relationship to  
GSRN. During configuration, the RESET input pad  
always initiates a configuration abort, as described in  
the FPGA States of Operation section. After configura-  
tion, the global set/reset signal (GSRN) can either be  
disabled (the default), directly connected to the RESET  
input pad, or sourced by a lower-right corner signal. If  
the RESET input pad is not used as a global reset after  
configuration, this pad can be used as a normal input  
pad.  
Lucent Technologies Inc.  
55  
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
The source clock for the CLKCNTRL block comes  
Special Function Blocks (continued)  
either from the ExpressCLK pad at the middle of the  
side of the FPGA or from the corner ExpressCLK route  
that comes from the corner ExpressCLK pad (at the  
lower left or upper right of the device, whichever is  
closer). The programmable clock manager ExpressCLK  
output can also be sourced to this corner routing for  
distribution at the two closest CLKCNTRL blocks.  
Start-Up Logic  
The start-up logic block is located in the lower right cor-  
ner of the FPGA. This block can be configured to coor-  
dinate the relative timing of the release of GSRN, the  
activation of all user I/Os, and the assertion of the  
DONE signal at the end of configuration. If a start-up  
clock is used to time these events, the start-up clock  
can come from CCLK, or it can be routed into the start-  
up block using lower right corner routing resources.  
These signals are described in the Start-Up subsection  
of the FPGA States of Operation section.  
Each CLKCNTRL block also features an invertible  
StopCLK shutoff input that is available from local rout-  
ing. This feature may be used to glitchlessly stop and  
start the clock at the three outputs of each CLKCNTRL  
block and has the option of doing so on either the rising  
or falling edge of the clock. When the clock is halted  
based on its rising edge, it stops and stays at VDD.  
When it is stopped based on its falling edge, it stops  
and stays at GND. If the StopCLK shutoff signal meets  
the CLKCNTRL setup and hold times, the clock is  
stopped on the second clock cycle after the shutoff sig-  
nal. A diagram of the bottom CLKCNTRL block and  
StopCLK timing is shown in Figure 35.  
Clock Control (CLKCNTRL) and StopCLK  
There is one CLKCNTRL block in the MID section of  
the interquad routing on each side of the FPGA. This  
block is used to selectively distribute the fast clock to  
the PLC array and the left (top) and right (bottom)  
ExpressCLKs (ECKL and ECKR) to the side of the  
array on which the CLKCNTRL block resides.  
CORNER EXPRESSCLK  
CLOCK SHUTOFF  
EXPRESSCLK LEFT  
EXPRESSCLK RIGHT  
FAST CLOCK  
OFF_SET  
OFF_SET  
OFF_HLD  
OFF_HLD  
CLOCK SHUTOFF  
CLKCNTRL OUTPUT  
CLOCKS  
5-5981(F)  
Notes:  
CLKCNTRL output clocks are ExpressCLK left and right and fast clock.  
Clock shutoff shown active-high acting on clock falling edge.  
Figure 35. Top CLKCNTRL Function Block  
56  
Lucent Technologies Inc.  
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
Special Function Blocks (continued)  
s
TMS TDI  
TCK  
TDO  
TMS TDI  
TCK  
Boundary Scan  
net a  
TDO  
net b  
U2  
U2  
net c  
The increasing complexity of integrated circuits (ICs)  
and IC packages has increased the difficulty of testing  
printed-circuit boards (PCBs). To address this testing  
problem, the IEEE standard 1149.1/D1 (IEEE Standard  
Test Access Port and Boundary-Scan Architecture) is  
implemented in the ORCA series of FPGAs. It allows  
users to efficiently test the interconnection between  
integrated circuits on a PCB as well as test the inte-  
grated circuit itself. The IEEE 1149.1/D1 standard is a  
well-defined protocol that ensures interoperability  
among boundary-scan (BSCAN) equipped devices  
from different vendors.  
TDI  
TMS  
TCK  
TDO  
TMS TDI  
TCK  
TDO  
TMS TDI  
TCK  
TDO  
U3  
U4  
SEE ENLARGED VIEW BELOW  
The IEEE 1149.1/D1 standard defines a test access  
port (TAP) that consists of a four-pin interface with an  
optional reset pin for boundary-scan testing of inte-  
grated circuits in a system. The ORCA Series FPGA  
provides four interface pins: test data in (TDI), test  
mode select (TMS), test clock (TCK), and test data out  
(TDO). The PRGM pin used to reconfigure the device  
also resets the boundary-scan logic.  
TDO TCK TMS TDI  
TAPC  
PT[ij]  
BSC  
BDC DCC  
SCAN  
IN  
SCAN  
OUT  
BYPASS  
REGISTER  
INSTRUCTION  
REGISTER  
p_in  
p_ts  
p_out  
SCAN  
OUT  
SCAN  
IN  
p_ts  
PR[ij]  
BSC  
DCC  
p_in  
BSC  
BDC  
The user test host serially loads test commands and  
test data into the FPGA through these pins to drive out-  
puts and examine inputs. In the configuration shown in  
Figure 36, where boundary scan is used to test ICs,  
test data is transmitted serially into TDI of the first  
BSCAN device (U1), through TDO/TDI connections  
between BSCAN devices (U2 and U3), and out TDO of  
the last BSCAN device (U4). In this configuration, the  
TMS and TCK signals are routed to all boundary-scan  
ICs in parallel so that all boundary-scan components  
operate in the same state. In other configurations, mul-  
tiple scan paths are used instead of a single ring. When  
multiple scan paths are used, each ring is indepen-  
dently controlled by its own TMS and TCK signals.  
PLC  
ARRAY  
p_out  
p_in  
p_out  
p_ts  
BDC  
DCC  
PL[ij]  
SCAN  
IN  
SCAN  
OUT  
p_out  
p_ts  
p_in  
BSC  
DCC BDC  
SCAN  
OUT  
SCAN  
IN  
PB[ij]  
5-5972(F)  
Key: BSC = boundary-scan cell, BDC = bidirectional data cell,  
and DCC = data control cell.  
Figure 36. Printed-Circuit Board with Boundary-  
Scan Circuitry  
Figure 37 provides a system interface for components  
used in the boundary-scan testing of PCBs. The three  
major components shown are the test host, boundary-  
scan support circuit, and the devices under test  
(DUTs). The DUTs shown here are ORCA Series  
FPGAs with dedicated boundary-scan circuitry. The  
test host is normally one of the following: automatic test  
equipment (ATE), a workstation, a PC, or a micropro-  
cessor.  
Lucent Technologies Inc.  
57  
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
Special Function Blocks (continued)  
D[7:0]  
D[7:0]  
TDI  
TDO  
TDI  
TDO  
TDO  
ORCA  
SERIES  
FPGA  
ORCA  
SERIES  
FPGA  
LUCENT  
BOUNDARY-  
SCAN  
MASTER  
CE  
TMS0  
TCK  
TMS  
TCK  
TMS  
TCK  
MICRO-  
PROCESSOR  
(DUT)  
(DUT)  
RA  
R/W  
DAV  
INT  
SP  
(BSM)  
TDI  
INTR  
TDI  
TDO  
ORCA  
SERIES  
FPGA  
TMS  
TCK  
(DUT)  
5-6765(F)  
Figure 37. Boundary-Scan Interface  
Table 13. Boundary-Scan Instructions  
The boundary-scan support circuit shown in Figure 37  
is the 497AA Boundary-Scan Master (BSM). The BSM  
off-loads tasks from the test host to increase test  
throughput. To interface between the test host and the  
DUTs, the BSM has a general microprocessor interface  
and provides parallel-to-serial/serial-to-parallel conver-  
sion, as well as three 8K data buffers. The BSM also  
increases test throughput with a dedicated automatic  
test-pattern generator and with compression of the test  
response with a signature analysis register. The PC-  
based boundary-scan test card/software allows a user  
to quickly prototype a boundary-scan test setup.  
Code  
000  
001  
010  
011  
100  
101  
110  
111  
Instruction  
EXTEST  
PLC Scan Ring 1 (PSR1)/USERCODE  
RAM Write (RAM_W)  
IDCODE  
SAMPLE/PRELOAD  
PLC Scan Ring 2 (PSR2)  
RAM Read (RAM_R)  
BYPASS  
Boundary-Scan Instructions  
The ORCA Series boundary-scan circuitry is used for  
three mandatory IEEE 1149.1/D1 tests (EXTEST,  
SAMPLE/PRELOAD, BYPASS), the optional IEEE  
1149.1/D1 IDCODE instruction, and five ORCA-defined  
instructions. The 3-bit wide instruction register sup-  
ports the nine instructions listed in Table 13, where the  
use of PSR1 or USERCODE is selectable by a bit  
stream option.  
58  
Lucent Technologies Inc.  
 
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
operation or written during test operation. The data for  
all of the I/Os is captured simultaneously into the BSR,  
allowing them to be shifted-out TDO to the test host.  
Since each I/O buffer in the PICs is bidirectional, two  
pieces of data are captured for each I/O pad: the value  
at the I/O pad and the value of the 3-state control sig-  
nal. For preload operation, data is written from the BSR  
to all of the I/Os simultaneously.  
Special Function Blocks (continued)  
The external test (EXTEST) instruction allows the inter-  
connections between ICs in a system to be tested for  
opens and stuck-at faults. If an EXTEST instruction is  
performed for the system shown in Figure 36, the con-  
nections between U1 and U2 (shown by nets a, b, and  
c) can be tested by driving a value onto the given nets  
from one device and then determining whether the  
same value is seen at the other device. This is deter-  
mined by shifting 2 bits of data for each pin (one for the  
output value and one for the 3-state value) through the  
BSR until each one aligns to the appropriate pin. Then,  
based upon the value of the 3-state signal, either the  
I/O pad is driven to the value given in the BSR, or the  
BSR is updated with the input value from the I/O pad,  
which allows it to be shifted out TDO.  
There are five ORCA-defined instructions. The PLC  
scan rings 1 and 2 (PSR1, PSR2) allow user-defined  
internal scan paths using the PLC latches/FFs. The  
RAM_Write Enable (RAM_W) instruction allows the  
user to serially configure the FPGA through TDI. The  
RAM_Read Enable (RAM_R) allows the user to read  
back RAM contents on TDO after configuration. The  
IDCODE instruction allows the user to capture a 32-bit  
identification code that is unique to each device and  
serially output it at TDO. The IDCODE format is shown  
in Table 14.  
The SAMPLE/PRELOAD instruction is useful for sys-  
tem debugging and fault diagnosis by allowing the data  
at the FPGA’s I/Os to be observed during normal  
Table 14.  
Boundary-Scan ID Code  
Version  
(4 bits)  
Part*  
(10 bits)  
Family  
(6 bits)  
Manufacturer  
LSB  
(1 bit)  
Device  
(11 bits)  
OR3T20  
OR3T30  
0000  
0000  
0000  
0000  
0000  
0000  
0011000000 110000  
0111000000 110000  
0100100000 110000  
0110100000 110000  
0011100000 110000  
0000010000 110000  
00000011101  
1
1
1
1
1
1
00000011101  
00000011101  
00000011101  
00000011101  
00000011101  
OR3C/T55  
OR3C/T80  
OR3T125  
OR3T165  
* PLC array size of FPGA, reverse bit order.  
Note: Table assumes version 0.  
Lucent Technologies Inc.  
59  
 
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
the BSR (which requires a two FF delay for each pad)  
is bypassed, test throughput is increased when devices  
that are not part of a test operation are bypassed.  
Special Function Blocks (continued)  
ORCA Boundary-Scan Circuitry  
The boundary-scan logic is enabled before and during  
configuration. After configuration, a configuration  
option determines whether or not boundary-scan logic  
is used.  
The ORCA Series boundary-scan circuitry includes a  
test access port controller (TAPC), instruction register  
(IR), boundary-scan register (BSR), and bypass regis-  
ter. It also includes circuitry to support the four pre-  
defined instructions.  
The 32-bit boundary-scan identification register con-  
tains the manufacturer’s ID number, unique part num-  
ber, and version (as described earlier). The  
identification register is the default source for data on  
TDO after RESET if the TAP controller selects the shift-  
data-register (SHIFT-DR) instruction. If boundary scan  
is not used, TMS, TDI, and TCK become user I/Os,  
and TDO is 3-stated or used in the readback operation.  
Figure 38 shows a functional diagram of the boundary-  
scan circuitry that is implemented in the ORCA Series.  
The input pins’ (TMS, TCK, and TDI) locations vary  
depending on the part, and the output pin is the dedi-  
cated TDO/RD_DATA output pad. Test data in (TDI) is  
the serial input data. Test mode select (TMS) controls  
the boundary-scan test access port controller (TAPC).  
Test clock (TCK) is the test clock on the board.  
An optional USERCODE is available if the boundary-  
scan PSR1 instruction is not used. The selection  
between PSR1 and USERCODE is a configuration  
option and can be performed in ORCA Foundry. The  
USERCODE is an 11-bit value that the user can set  
during device configuration and can be written to and  
read from the FPGA via the boundary-scan logic. The  
USERCODE value replaces the manufacturer field of  
the boundary-scan ID code when the USERCODE  
instruction is issued, allowing users to have configured  
devices identified in a user-defined manner. The manu-  
facturer ID field remains available when the IDCODE  
instruction is issued.  
The BSR is a series connection of boundary-scan cells  
(BSCs) around the periphery of the IC. Each I/O pad on  
the FPGA, except for CCLK, DONE, and the boundary-  
scan pins (TCK, TDI, TMS, and TDO), is included in  
the BSR. The first BSC in the BSR (connected to TDI)  
is located in the first PIC I/O pad on the left of the top  
side of the FPGA (PTA PIC). The BSR proceeds clock-  
wise around the top, right, bottom, and left sides of the  
array. The last BSC in the BSR (connected to TDO) is  
located on the top of the left side of the array (PL1D).  
The bypass instruction uses a single FF, which resyn-  
chronizes test data that is not part of the current scan  
operation. In a bypass instruction, test data received on  
TDI is shifted out of the bypass register to TDO. Since  
I/O BUFFERS  
DATA REGISTERS  
BOUNDARY-SCAN REGISTER  
IDCODE REGISTER  
PSR1 REGISTER (PLCs)  
PSR2 REGISTER (PLCs)  
DATA  
MUX  
VDD  
CONFIGURATION REGISTER  
(RAM_R, RAM_W)  
TDI  
BYPASS REGISTER  
INSTRUCTION DECODER  
INSTRUCTION REGISTER  
TDO  
M
U
X
RESET  
CLOCK DR  
SHIFT-DR  
UPDATE-DR  
RESET  
V
DD  
CLOCK IR  
SHIFT-IR  
UPDATE-IR  
TMS  
TCK  
V
DD  
DD  
SELECT  
ENABLE  
TAP  
CONTROLLER  
V
PUR  
PRGM  
5-5768(F)  
Figure 38. ORCA Series Boundary-Scan Circuitry Functional Diagram  
Lucent Technologies Inc.  
60  
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
The TAPC generates control signals that allow capture,  
shift, and update operations on the instruction and data  
registers. In the capture operation, data is loaded into  
the register. In the shift operation, the captured data is  
shifted out while new data is shifted in. In the update  
operation, either the instruction register is loaded for  
instruction decode, or the boundary-scan register is  
updated for control of outputs.  
Special Function Blocks (continued)  
ORCA Series TAP Controller (TAPC)  
The ORCA Series TAP controller (TAPC) is a 1149.1/  
D1 compatible test access port controller. The 16 JTAG  
state assignments from the IEEE 1149.1/D1 specifica-  
tion are used. The TAPC is controlled by TCK and  
TMS. The TAPC states are used for loading the IR to  
allow three basic functions in testing: providing test  
stimuli (Update-DR), test execution (Run-Test/Idle),  
and obtaining test responses (Capture-DR). The TAPC  
allows the test host to shift in and out both instructions  
and test data/results. The inputs and outputs of the  
TAPC are provided in the table below. The outputs are  
primarily the control signals to the instruction register  
and the data register.  
The test host generates a test by providing input into  
the ORCA Series TMS input synchronous with TCK.  
This sequences the TAPC through states in order to  
perform the desired function on the instruction register  
or a data register. Figure 39 provides a diagram of the  
state transitions for the TAPC. The next state is deter-  
mined by the TMS input value.  
TEST-LOGIC-  
RESET  
1
Table 15.  
TAP Controller Input/Outputs  
0
1
1
1
RUN-TEST/  
IDLE  
SELECT-  
DR-SCAN  
SELECT-  
IR-SCAN  
Symbol  
I/O  
Function  
Test Mode Select  
Test Clock  
Powerup Reset  
BSCAN Reset  
0
0
0
TMS  
TCK  
PUR  
I
I
I
I
O
O
O
O
O
O
O
O
O
1
1
CAPTURE-DR  
CAPTURE-IR  
0
0
SHIFT-DR  
1
0
0
SHIFT-IR  
1
0
0
PRGM  
TRESET  
Select  
Enable  
Capture-DR  
Capture-IR  
Shift-DR  
Shift-IR  
Update-DR  
Update-IR  
Test Logic Reset  
1
1
EXIT1-DR  
0
EXIT1-IR  
0
Select IR (High); Select-DR (Low)  
Test Data Out Enable  
Capture/Parallel Load-DR  
Capture/Parallel Load-IR  
Shift Data Register  
Shift Instruction Register  
Update/Parallel Load-DR  
Update/Parallel Load-IR  
PAUSE-DR  
PAUSE-IR  
1
EXIT2-DR  
1
1
EXIT2-IR  
1
0
0
UPDATE-DR  
UPDATE-IR  
1
0
1
0
5-5370(F)  
Figure 39. TAP Controller State Transition Diagram  
Lucent Technologies Inc.  
61  
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
direction control cell is used to access the 3-state  
Special Function Blocks (continued)  
value. Both cells consist of a flip-flop used to shift scan  
data which feeds a flip-flop to control the I/O buffer. The  
bidirectional data cell is connected serially to the direc-  
tion control cell to form a boundary-scan shift register.  
Boundary-Scan Cells  
Figure 40 is a diagram of the boundary-scan cell (BSC)  
in the ORCA series PICs. There are four BSCs in each  
PIC: one for each pad, except as noted above. The  
BSCs are connected serially to form the BSR. The  
BSC controls the functionality of the in, out, and 3-state  
signals for each pad.  
The TAPC signals (capture, update, shiftn, treset, and  
TCK) and the MODE signal control the operation of the  
BSC. The bidirectional data cell is also controlled by  
the high out/low in (HOLI) signal generated by the  
direction control cell. When HOLI is low, the bidirec-  
tional data cell receives input buffer data into the BSC.  
When HOLI is high, the BSC is loaded with functional  
data from the PLC.  
The BSC allows the I/O to function in either the normal  
or test mode. Normal mode is defined as when an out-  
put buffer receives input from the PLC array and pro-  
vides output at the pad or when an input buffer  
provides input from the pad to the PLC array. In the test  
mode, the BSC executes a boundary-scan operation,  
such as shifting in scan data from an upstream BSC in  
the BSR, providing test stimuli to the pad, capturing  
test data at the pad, etc.  
The MODE signal is generated from the decode of the  
instruction register. When the MODE signal is high  
(EXTEST), the scan data is propagated to the output  
buffer. When the MODE signal is low (BYPASS or  
SAMPLE), functional data from the FPGA’s internal  
logic is propagated to the output buffer.  
The primary functions of the BSC are shifting scan data  
serially in the BSR and observing input (p_in), output  
(p_out), and 3-state (p_ts) signals at the pads. The  
BSC consists of two circuits: the bidirectional data cell  
is used to access the input and output data, and the  
The boundary-scan description language (BSDL) is  
provided for each device in the ORCA Series of FPGAs  
on the ORCA Foundry CD. The BSDL is generated  
from a device profile, pinout, and other boundary-scan  
information.  
SCAN IN  
I/O BUFFER  
PAD_IN  
p_in  
PAD_OUT  
BIDIRECTIONAL DATA CELL  
0
1
0
0
1
Q
D
Q
D
PAD_TS  
1
p_out  
HOLI  
0
0
1
1
Q
D
Q
D
p_ts  
DIRECTION CONTROL CELL  
SHIFTN/CAPTURE  
TCK  
SCAN OUT UPDATE/TCK  
MODE  
5-2844(F  
Figure 40. Boundary-Scan Cell  
62  
Lucent Technologies Inc.  
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
Special Function Blocks (continued)  
Boundary-Scan Timing  
To ensure race-free operation, data changes on specific clock edges. The TMS and TDI inputs are clocked in on  
the rising edge of TCK, while changes on TDO occur on the falling edge of TCK. In the execution of an EXTEST  
instruction, parallel data is output from the BSR to the FPGA pads on the falling edge of TCK. The maximum fre-  
quency allowed for TCK is 10 MHz.  
Figure 41 shows timing waveforms for an instruction scan operation. The diagram shows the use of TMS to  
sequence the TAPC through states. The test host (or BSM) changes data on the falling edge of TCK, and it is  
clocked into the DUT on the rising edge.  
TCK  
TMS  
TDI  
5-5971(F)  
Figure 41. Instruction Register Scan Timing Diagram  
Lucent Technologies Inc.  
63  
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
Microprocessor Interface (MPI)  
interrupt the host processor either by a hard interrupt or  
by having the host processor poll the microprocessor  
interface.  
The Series 3 FPGAs have a dedicated synchronous  
microprocessor interface function block (see  
The control portion of the microprocessor interface is  
available following powerup of the FPGA if the mode  
pins specify MPI mode, even if the FPGA is not yet con-  
figured. The mode pin (M[2:0]) settings can be found in  
the FPGA Configuration Modes section of this data  
sheet, and the setup and use of the MPI for configura-  
tion is discussed in the MPI Setup and Control subsec-  
tion. For postconfiguration use, the MPI must be  
included in the configuration bit stream by using an MPI  
library element in your design from the ORCA macro  
library, or by setting the MP_USER bit of the MPI con-  
figuration control register prior to the start of configura-  
tion (MPI registers are discussed later).  
Figure 42). The MPI is programmable to operate with  
PowerPC MPC800 series microprocessors and Intel*  
i960* J core processors; see Table 16 and Table 17,  
respectively, for compatible processors. The MPI imple-  
ments an 8-bit interface to the host processor (Pow-  
erPC or i960) that can be used for configuration and  
readback of the FPGA as well as for user-defined data  
processing and general monitoring of FPGA function.  
In addition to dedicated-function registers, the micro-  
processor interface allows for the control of up to 16  
user registers (RAM or flip-flops) in the FPGA logic. A  
synchronous/asynchronous handshake procedure is  
used to control transactions with user logic in the FPGA  
array. There is also capability for the FPGA logic to  
* Intel and i960 are registered trademarks of Intel Corporation.  
D[7:0]IN  
TO FPGA  
ROUTING  
D[7:0]OUT  
ORCA 3C/Txxx MPI  
DONE  
RD_DATA  
INIT  
D7IN  
D7  
D7OUT  
D6IN  
D6  
D5  
D4  
D3  
D2  
D1  
D0  
STATUS  
D6OUT  
D5IN  
REGISTER  
D5OUT  
D4IN  
D4OUT  
D3IN  
D3OUT  
D2IN  
D2OUT  
D1IN  
SCRATCHPAD  
REGISTER  
D1OUT  
D0IN  
READBACK  
DATA REGISTER  
D0OUT  
A4  
READBACK  
ADDR REGISTER  
A3  
A2  
RESET  
RD_CFG  
PRGM  
GSR  
C
P
R
E
A1  
W
A0  
CONTROL  
REGISTERS  
OP  
RD  
CS0  
CS1  
TO GSR BLOCK  
IRQ  
PART ID  
REGISTERS  
CCLK  
M3  
USER_START  
USER_END  
WR_CTRL  
A[3:0]  
TO FPGA  
ROUTING  
M2  
M1  
M0  
MPI_IRQ  
RDYRCV  
CLK  
MPI_ACK  
MPI_CLK  
MPI_STRB  
MPI_ALE  
MPI_RW  
MPI_B1  
i960 LOGIC  
ADS  
ALE  
W/R  
RD/WR  
BT  
POWERPC LOGIC  
TS  
CLKOUT  
TA  
DEVICE PAD  
I/O BUFFER  
5-5806(F)  
Figure 42. MPI Block Diagram  
64  
Lucent Technologies Inc.  
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
(read high, write low) signals are set up at the FPGA  
pins by the PowerPC. The PowerPC then asserts its  
transfer start signal (TS) low. Data is available to the  
MPI during a write at the rising clock edge after the  
clock cycle during which TS is low. Thetransfer is  
acknowledged to the PowerPC by the low assertion of  
the TA signal. The MPI PowerPC interface does not  
support burst transfers, so the burst inhibit signal, BI, is  
also asserted low during the transferacknowledge. The  
same process applies to a read from the MPI except  
that the read data is expected at the FPGA data pins by  
the PowerPC at the rising edge of the clock when TA is  
low. The MPI only drives TA low for one clock cycle.  
Microprocessor Interface (MPI) (continued)  
PowerPC System  
In Figure 43, the ORCA FPGA is a memory-mapped  
peripheral to the PowerPC processor. The PowerPC  
interface uses separate address and data buses and  
has several control lines. The ORCA chip select lines,  
CS0 and CS1, are each connected to an address line  
coming from the PowerPC. In this manner, the FPGA is  
capable of a transaction with the PowerPC whenever  
the address line connected to CS0 is low, the address  
line for CS1 is high, and there is a valid address on  
PowerPC address lines A[27:31]. Other forms of selec-  
tion are possible by using the FPGA chip selects in a  
different way. For example, PowerPC address bits  
A[0:26] could be decoded to select CS0 and CS1, or if  
the FPGA is the only peripheral to the PowerPC, CS0  
and CS1 could be tied low and high, respectively, to  
cause them to always be selected. If the MPI is not  
used for FPGA configuration, decoding logic can be  
implemented internal or external to the FPGA. If logic  
internal to the FPGA is used, the chip selects must be  
routed out on an output pin and then connected exter-  
nally to CS0 and/or CS1. If the MPI is to be used for  
configuration, any decode logic used must be imple-  
mented external to the FPGA since the FPGA logic has  
not been configured yet.  
Interrupt requests can be sent to the PowerPC asyn-  
chronously to the read/write process. Interrupt requests  
are sourced by the user-logic in the FPGA. The MPI will  
assert the request to the PowerPC as a direct interrupt  
signal and/or a pollable bit in the MPI status register  
(discussed in the MPI Setup and Control section). The  
MPI will continue to assert the interrupt request until  
the user-logic deasserts its interrupt request signal.  
Table 16. PowerPC/MPI Configuration  
Pin  
Name  
Function  
PowerPC  
Signal  
ORCA  
MPI  
I/O  
D[0:7]  
D[7:0]  
A[4:0]  
I/O  
I
8-bit data bus  
A[27:31]  
5-bit MPI address  
bus  
TS  
RD/MPI_STRB  
CS0  
I
I
Transfer start signal  
TO DAISY-  
DOUT  
CHAINED  
CCLK  
Active-low MPI  
select  
DEVICES  
8
D[7:0]  
A[27:31]  
CLKOUT  
RD/WR  
TA  
D[7:0]  
A[4:0]  
CLKOUT  
RD/WR  
TA  
CS1  
I
I
MPI_CLK  
MPI_RW  
MPI_ACK  
MPI_BI  
MPI_IRQ  
MPI_STRB  
CS0  
Active-high MPI  
select  
ORCA  
SERIES 3  
FPGA  
POWERPC  
A7/MPI_CLK  
A8/MPI_RW  
A9/MPI_ACK  
A10/MPI_BI  
PowerPC interface  
clock  
BI  
IRQx  
TS  
A26  
A25  
I
Read (high)/write  
(low) signal  
DONE  
INIT  
HDC  
LDC  
CS1  
O
O
Active-low transfer  
acknowledge signal  
5-5761(F)  
BI  
Active-low burst  
transfer inhibit  
signal  
Note: FPGA shown as a memory-mapped peripheral using CS0 and  
CS1. Other decoding schemes are possible using CS0 and/or  
CS1.  
Any of  
IRQ[7:0]  
A11/MPI_IRQ  
O
Active-low interrupt  
request signal  
Figure 43. PowerPC/MPI  
The basic flow of a transaction on the PowerPC/MPI  
interface is given below. Pin descriptions are shown in  
Table 16 and timing is shown in the Timing Characteris-  
tics section of this data sheet. For both read and write  
transactions, the address, chip select, and read/write  
Lucent Technologies Inc.  
65  
 
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
set up at the FPGA pins by the i960 at the next rising  
edge of the clock. At this same rising clock edge, the  
i960 asserts its address/data strobe (ADS) low. Data is  
available to the MPI during a write at the rising clock  
edge of the following clock cycle. The transfer is  
acknowledged to the i960 by the low assertion of the  
ready/recover (RDYRCV) signal. The same process  
applies to a read from the MPI except that the read  
data is expected at the FPGA data pins by the i960 at  
the rising edge of the clock when RDYRCV is low. The  
MPI only drives RDYRCV low for one clock cycle.  
Microprocessor Interface (MPI) (continued)  
i960 System  
Figure 44 shows a schematic for connecting the ORCA  
MPI to supported i960 processors. In the figure, the  
FPGA is shown as the only peripheral, with the FPGA  
chip select lines, CS0 and CS1, tied low and high,  
respectively. The i960 address and data are multi-  
plexed onto the same bus. This precludes memory  
mapping of the FPGA in the i960 memory space of a  
multiperipheral system without some form of address  
latching to capture and hold the address signals to  
drive the CS0 and/or CS1 signals. Multiple address sig-  
nals could also be decoded and latched to drive the  
CS0 and/or CS1 signals. If the MPI is not used for  
FPGA configuration, decoding/latching logic can be  
implemented internal or external to the FPGA. If logic  
internal to the FPGA is used, the chip selects must be  
routed out an output pin and then connected externally  
to CS0 and/or CS1. If the MPI is to be used for configu-  
ration, any decode/latch logic used must be imple-  
mented external to the FPGA since the FPGA logic has  
not been configured yet.  
Interrupts can be sent to the i960 asynchronously to  
the read/write process. Interrupt requests are sourced  
by the user-logic in the FPGA. The MPI will assert the  
request to the i960 as a direct interrupt signal and/or a  
pollable bit in the MPI status register (discussed in the  
MPI Setup and Control section). The MPI will continue  
to assert the interrupt request until the user-logic deas-  
serts its interrupt request signal.  
Table 17.  
i960/MPI Configuration  
ORCA Pin MPI  
i960  
Function  
Signal  
Name  
I/O  
AD[7:0]  
D[7:0]  
I/O Multiplexed 5-bit address/  
8-bit data bus. The  
i960 SYSTEM CLOCK  
address appears on D[4:0].  
8
ALE  
RDY/RCLK/  
MPI_ALE  
I
Address latch enable used  
to capture address from  
AD[4:0] on falling edge of  
clock.  
TO DAISY-  
CHAINED  
DEVICES  
DOUT  
CCLK  
AD[7:0]  
D[7:0]  
CLKIN  
W/R  
RDYRCV  
XINTx  
ALE  
MPI_CLK  
MPI_RW  
MPI_ACK  
MPI_IRQ  
ADS  
RD  
/
MPI_STRB  
I
Address/data strobe to  
indicate start of transac-  
tion.  
ORCA  
SERIES 3  
FPGA  
MPI_ALE  
MPI_STRB  
MPI_BE0  
MPI_BE1  
i960  
ADS  
BE0  
BE1  
CS0  
CS1  
I
I
I
Active-low MPI select.  
Active-high MPI select.  
VDD  
DONE  
INIT  
HDC  
LDC  
CS1  
CS0  
System  
Clock  
A7/  
MPI_CLK  
i960 system clock. This  
clock is sourced by the  
system and not the i960.  
5-5762(F)  
W/  
R
A8/MPI_RW  
I
Write (high)/read (low)  
signal.  
Note: FPGA shown as only system peripheral with fixed-chip select  
signals. For multiperipheral systems, address decoding and/  
or latching can be used to implement chip selects.  
RDYRCV  
A9/  
MPI_ACK  
O
Active-low ready/recover  
signal indicating acknowl-  
edgment of the transac-  
tion.  
Figure 44. i960/MPI  
Any of  
XINT[7:0]  
A11/  
MPI_IRQ  
O
I
Active-low interrupt  
request signal.  
The basic flow of a transaction on the i960/MPI inter-  
face is given below. Pin descriptions are shown in  
Table 17, and timing is shown in the ORCA Timing  
Characteristics section of this data sheet. For both read  
and write transactions, the address latch enable (ALE)  
is set up by the i960 at the FPGA to the falling edge of  
the clock. The address, byte enables, chip selects, and  
read/write (read low, write high) signals are normally  
BE0  
A0/  
MPI_BE0  
Byte-enable 0 used as  
address bit 0 in i960 8-bit  
mode.  
BE1  
A1/  
MPI_BE1  
I
Byte-enable 1 used as  
address bit 1 in i960 8-bit  
mode.  
66  
Lucent Technologies Inc.  
 
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
data written by the host processor from the D[7:0] pins  
once the USTART signal is asserted. The user logic  
ends a transaction by asserting an active-high user  
end (UEND) signal to the MPI.  
Microprocessor Interface (MPI) (continued)  
MPI Interface to FPGA  
The MPI interfaces to the user-programmable FPGA  
logic using a 4-bit address, read/write control signal,  
interrupt request signal, and user start and user end  
handshake signals. Timing numbers are provided so  
that the user-logic data transfers can be performed syn-  
chronously with the host processor (PowerPC or i960)  
interface clock or asynchronously. Table 18 shows the  
internal interface signals between the MPI and the  
FPGA user-programmable logic. All of the signals are  
connected to the MPI in the upper-left corner of the  
device except for the D[7:0] and CLK signals that come  
directly from the I/O pin.  
The MPI will insert wait-states in the host processor  
bus cycles, holding the host processor until the user-  
logic completes its task and returns a UEND signal,  
upon which the MPI generates an acknowledge signal.  
If the host processor is reading from the FPGA, the  
user logic must have the read data available on the  
D[7:0] pins of the FPGA when the UEND signal is  
asserted. If the user logic is fast or if the MPI user  
address is being decoded for use as a control signal,  
the MPI transaction time can be minimized by routing  
the USTART signal directly to the UEND input of the  
MPI. The timing section of this data sheet contains a  
parameter table with delay, setup, and hold timing  
requirements to operate the user-logic either synchro-  
nously or asynchronously with the MPI host interface  
clock.  
The 4-bit addressing from the MPI to the PLCs allows  
for up to 16 locations to be addressed by the host pro-  
cessor. The user address space of the MPI does not  
address any hard register. Rather, the user is free to  
construct registers from FFs, latches, or RAM that can  
be selected by the addressing. Alternately, the decoded  
address signals may be used as control signals for  
other functions such as state machines or timers.  
The user-logic may also assert an active-low interrupt  
request (UIRQ) to the MPI, which, in turn, asserts an  
interrupt to the host processor. Assertion of an inter-  
rupt request is asynchronous to the host processor  
clock and any read or write transaction occurring in the  
MPI. The user-logic is responsible for providing any  
required interrupt vectors for the host processor, and  
the user-logic must deassert the interrupt request once  
serviced. If the interrupt request is not deasserted in  
the user logic, it will continue to be asserted to the host  
processor via the MPI_IRQ pin.  
The transaction sequence between the MPI and the  
user-logic is as follows. When the host processor ini-  
tiates a transaction as discussed in the preceding sec-  
tions, the MPI outputs the 4-bit user address (UA[3:0])  
and the read/write control signal (URDWR, which is  
read-high, write-low regardless of host processor), and  
then asserts the user start signal, USTART. During a  
write from the host processor, the user logic can accept  
Table 18.  
MPI Internal Interface Signals  
Signal  
UA[3:0]  
MPI I/O  
Function  
O
User Logic Address. Addresses up to 16 unique user registers or use as control  
signals.  
URDWRN  
USTART  
UEND  
O
O
I
User Logic Read/Write Control Signal. High indicates a read from user logic by  
the host processor, low indicates a write to user-logic by the host processor.  
Active-High User Start Signal. Indicates the start of an MPI transaction between  
the host processor and the user logic.  
Active-High User End Signal. Indicates that the user-logic is finished with the  
current MPI transaction.  
UIRQ  
I
Active-Low Interrupt. Sends request from the user-logic to the host processor.  
D[7:0]  
FPGA I/O User Data. Eight data bits come directly from the FPGA pins—not through the  
MPI.  
MPI_CLK  
FPGA I  
MPI Clock. The MPI clock is sourced by the host processor and comes directly  
from the FPGA pin—not through the MPI.  
Lucent Technologies Inc.  
67  
 
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
Microprocessor Interface (MPI) (continued)  
MPI Setup and Control  
The MPI has a series of addressable registers that provide MPI control and status, configuration and readback data  
transfer, FPGA device identification, and a dedicated user scratchpad register. All registers are 8 bits wide. The  
address map for these registers and the user-logic address space are shown in Table 19, followed by descriptions  
of the register and bit functions. Note that for all registers, the most significant bit is bit 7, and the least significant bit  
is bit 0.  
Table 19.  
MPI Setup and Control Registers  
Address  
(Hex)  
Register  
00  
01  
Control Register 1.  
Control Register 2.  
Scratchpad Register.  
Status Register.  
02  
03  
04  
Configuration/Readback Data Register.  
Readback Address Register 1 (bits [7:0]).  
Readback Address Register 2 (bits [15:8]).  
Device ID Register 1 (bits [7:0]).  
Device ID Register 2 (bits [15:8]).  
Device ID Register 3 (bits [23:16]).  
Device ID Register 4 (bits [31:24]).  
Reserved.  
05  
06  
07  
08  
09  
0A  
0B—0F  
10—1F  
User-definable Address Space.  
Control Register 1  
The MPI control register 1 is a read/write register. The host processor writes a control byte to configure the MPI. It  
is readable by the host processor to verify the status of control bits previously written.  
Table 20.  
MPI Setup and Control Registers Descriptions  
Description  
Bit #  
Bit 0  
GSR Input. Setting this bit to a 1 invokes a global set/reset on the FPGA. The host processor must  
return this bit to a 0 to remove the GSR signal. GSR does not affect the registers at MPI addresses 0  
through F hexadecimal or any configuration registers. Default state = 0.  
Bit 1  
Bit 2  
Bit 3  
Bit 4  
Bit 5  
Reserved.  
Reserved.  
Reserved.  
Reserved.  
RD_CFG Input. Changing this bit to a 0 after configuration will initiate readback. The host processor  
must return this bit to a 1 to remove the RD_CFG signal. Since this bit works exactly like the RD_CFG  
input pin, please see the FPGA pin descriptions for more information on this signal. Default state = 1.  
Bit 6  
Bit 7  
Reserved.  
PRGM Input. Setting this bit to a 0 causes the FPGA to begin configuration and resets the boundary-  
scan circuitry. The host processor must return this bit to a 1 to remove the PRGM signal. Since this bit  
works exactly like the PRGM input pin (except that it does not reset the MPI), please see the FPGA pin  
descriptions for more information on this signal. Default state = 1.  
68  
Lucent Technologies Inc.  
 
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
Microprocessor Interface (MPI) (continued)  
Scratchpad Register  
The MPI scratchpad register is an 8-bit read/write register with no defined operation. It may be used for any user-  
defined function.  
Control Register 2  
The MPI control register 2 is a read/write register. The host processor writes a control byte to configure the MPI. It  
is readable by the host processor to verify the status of control bits it had previously written.  
Table 21.  
MPI Control Register 2  
Bit Name  
Bit #  
Description  
Bit 0  
EN_IRQ_CFG Enable IRQ for Configuration Data Request in Daisy-Chain Configuration  
Mode. Setting this bit to a 1 prior to configuration enables the IRQ signal to go active  
when new data is requested for configuration writes or is available for configuration  
reads to/from the configuration data register. A 0 clears the IRQ enable. This bit is  
only valid for daisy-chain configuration. Default = 0.  
Bit 1  
EN_IRQ_ERR Enable IRQ for Bit Stream Error. Setting this bit to a 1 prior to configuration  
enables the IRQ signal to go active on the occurrence of a bit stream error during  
configuration. A 0 clears the IRQ enable. This bit only has effect while in configura-  
tion mode. Default = 0.  
Bit 2  
Bit 3  
Bit 4  
EN_IRQ_USR Enable IRQ from the User FPGA Space. Setting this bit to a 1 allows user-defined  
circuitry in the FPGA to generate an interrupt to the host processor by sourcing a  
logic low on the UIRQ signal in the user logic. Default = 0.  
MP_DAISY  
MPI Daisy-Chain Output Enable. Setting this bit to a 1 enables daisy-chain output  
of the configuration data. See the Configuration section of this data sheet for daisy-  
chain configuration details. Default = 0.  
MP_HOLD_BUS Enable Bus Holding During Daisy-Chain Configuration Mode. Setting this bit to  
a 1 will cause the MPI to wait until the FPGA configuration logic has serialized a  
byte of configuration data before acknowledging the transaction. The data is only  
serialized if the MP_DAISY (bit 3 above) control bit is set to 1. If MP_HOLD_BUS is  
set to 0, the MPI will immediately acknowledge a configuration data byte transfer.  
Immediate acknowledgment allows the host processor to perform other tasks during  
FPGA configuration by polling the MPI status register (or by interrupt) and only write  
configuration data when the FPGA is ready. Default = 0.  
Bit 5  
MP_USER  
MPI User Mode Enable. Setting this bit to a 1 will enable the MPI for user mode  
operation. MP_USER must be set prior to the FPGA DONE signal going high during  
configuration. The MPI may also be enabled for user operation via the configuration  
bit stream. Default = 0.  
Bit 6  
Bit 7  
Reserved  
Reserved  
Lucent Technologies Inc.  
69  
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
Microprocessor Interface (MPI) (continued)  
Status Register  
The microprocessor interface status register is a read-only register, providing information to the host processor.  
Table 22  
Bit #  
. Status Register  
Description  
Bit 0 Reserved.  
Bit 1 Data Ready. Set by the MPI, a 1 on this bit during configuration alerts the host processor that the FPGA  
is ready for another byte of configuration data. During byte-wide readback, the MPI sets this bit to a 1 to  
tell the host processor that a byte of configuration data is available for reading. This bit is cleared by a  
host processor access (read or write) to the configuration data register.  
Bit 2 IRQ Pending. The MPI sets this bit to 1 to indicate to the host processor that the FPGA has a pending  
interrupt request. This bit may be used for the host processor to poll for interrupts if the MPI_IRQ pin out-  
put of the FPGA has been masked at the host processor. This bit is set to 0 when the status register is  
read. Interrupt requests from the FPGA user space must be cleared in FPGA user logic in addition to  
reading this bit.  
Bits  
Bit Stream Error Flags. Bits 3 and 4 are set by the MPI to indicate any error during FPGA configura-  
tion. See bit 2 of control register 2 for the capability to alert the host processor of an error via the IRQ  
signal during configuration. In the truth table below, bit 3 is the LSB (bit on right). These bits are cleared  
[4:3]  
to 0 when PRGM goes active:  
00 = No error  
01 = ID error  
10 = Checksum error  
11 = Stop-bit/alignment error  
Bit 5 Reserved.  
Bit 6 INIT. This bit reflects the binary value of the FPGA INIT pin.  
Bit 7 DONE. This bit reflects the binary value of the FPGA DONE pin.  
Configuration Data Register  
The MPI configuration data register is a writable register in configuration mode and a readable register in readback  
mode. For FPGA configuration, this is where the configuration data bytes are sequentially written by the host pro-  
cessor. Similarly, for readback mode, the MPI provides the readback data bytes in this register for the host proces-  
sor.  
Readback Address Register 1  
The MPI readback address register 1 is a writable register used to accept the least significant address byte  
(bits [7:0]) of the configuration data location to be read back.  
Readback Address Register 2  
The MPI readback address register 2 is a writable register used to accept the most significant address byte  
(bits [15:8]) of the configuration data location to be read back.  
70  
Lucent Technologies Inc.  
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
Microprocessor Interface (MPI) (continued)  
Device ID Registers  
The MPI device ID is broken into four registers holding 1 byte each. The device ID that is available through the MPI  
is the same as the boundary-scan ID code, except that the device ID in the MPI has a reverse bit order. There is no  
means to overwrite any of the device ID as can be done with the boundary-scan ID, but the MPI scratchpad register  
can be used as a personalization register. The format for the entire device ID is shown below followed by family and  
device values and the partitioning of the device ID into the four device ID registers.  
Table 23.  
Device ID Code  
Version  
4 bits  
Part*  
Family  
Manufacturer  
MSB  
10 bits  
6 bits  
11 bits  
1 bit  
Example: (First version of Lucent’s OR3C55)  
0000 0100100000 110000 00000011101 1  
* PLC array size of FPGA.  
Table 24 shows the family and device values for all parts covered by this data sheet.  
Table 24.  
Series 3 Family and Device ID Values  
Family ID  
(Hex)  
Device ID  
(Hex)  
Part Name  
OR3T20  
03  
03  
03  
03  
03  
0C  
0E  
12  
16  
1C  
OR3T30  
OR3C/T55  
OR3C/T80  
OR3T125  
Table 25 describes the device IDs for all parts covered by this data sheet as they are partitioned into the four regis-  
ters found in the MPI.  
Table 25.  
ORCA Series 3 Device ID Descriptions  
Device ID Register 1  
Bit 0  
Logic 1. This bit is always a one.  
Bits [7:1]  
0011101, the 7 least significant bits of the Lucent Technologies manufacturer ID.  
Device ID Register 2  
Bits [3:0]  
Bits [7:4]  
0000, the 4 most significant bits of the Lucent Technologies manufacturer ID.  
The 4 least significant bits of the 10-bit part number.  
Device ID Register 3  
Bits [5:0]  
Bits [7:6]  
The 6 most significant bits of the 10-bit part number.  
The 2 least significant bits of the device family code.  
Device ID Register 4  
Bits [3:0]  
Bits [7:4]  
The 4 most significant bits of the device family code.  
The 4-bit device version code.  
Lucent Technologies Inc.  
71  
 
 
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
ExpressCLK that feeds the CLKCNTRL blocks on the  
two sides adjacent to the PCM, and one to the system  
clock spine network through general routing. Figure 45  
shows a high-level block diagram of the PCM.  
Programmable Clock Manager (PCM)  
The ORCA programmable clock manager (PCM) is a  
special function block that is used to modify or condi-  
tion clock signals for optimum system performance.  
Some of the functions that can be performed with the  
PCM are clock skew reduction (both internal and board  
level), duty-cycle adjustment, clock delay reduction,  
clock phase adjustment, and clock frequency multipli-  
cation/division. Due to the different capabilities required  
by customer application, each PCM contains both a  
PLL (phase-locked loop) and a DLL (delayed-locked  
loop) mode. By using PLC logic resources in conjunc-  
tion with the PCM, many other functions, such as fre-  
quency synthesis, are possible.  
Functionality of the PCM is programmed during opera-  
tion through a read/write interface internal to the FPGA  
array or via the configuration bit stream. The internal  
FPGA interface comprises write enable and read  
enable signals, a 3-bit address bus, an 8-bit input (to  
the PCM) data bus, and an 8-bit output data bus. There  
is also a PCM output signal, LOCK, that indicates a sta-  
ble output clock state. These signals are used to pro-  
gram a series of registers to configure the PCM  
functional core for the desired functionality.  
Operation of the PCM is divided into two modes, delay-  
locked loop (DLL) and phase-locked loop (PLL). Some  
operations can be performed by either mode and some  
are specific to a particular mode. These will be  
described in each individual mode section. In general,  
DLL mode is preferable to PLL mode for the same func-  
tion because it is less sensitive to input clock noise.  
There are two PCMs on each Series 3 device, one in  
the lower left corner and one in the upper right corner.  
Each can drive two different, but interrelated clock net-  
works inside the FPGA. Each PCM can take a clock  
input from the ExpressCLK pad in its corner or from  
general routing resources. There are also two input  
sources that provide feedback to the PCM from the  
PLC array. One of these is a dedicated corner Express-  
CLK feedback, and the other is from general routing.  
Each PCM sources two clock outputs, one to the corner  
In the discussions that follow, the duty cycle is the per-  
cent of the clock period during which the output clock is  
high.  
USER CONTROL SIGNALS  
PCM-FPGA  
INTERFACE  
CORNER EXPRESSCLK IN  
EXPRESSCLK OUT  
PCM CORE  
FUNCTIONS  
SYSTEM CLOCK OUT  
(TO GENERAL ROUTING)  
GENERAL CLOCKIN  
(FROM GENERAL ROUTING)  
FEEDBACK  
ExpressCLK  
FEEDBACK CLOCK  
FROM ROUTING  
5-5828(F)  
Figure 45. PCM Block Diagram  
72  
Lucent Technologies Inc.  
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
Programmable Clock Manager (PCM) (continued)  
PCM Registers  
The PCM contains eight user-programmable registers used for configuring the PCM’s functionality. Table 26 shows  
the mapping of the registers and their functions. See Figure 46 for more information on the location of PCM ele-  
ments that are discussed in the table. The PCM registers are referenced in the discussions that follow. Detailed  
explanations of all register bits are supplied following the functional description of the PCM.  
Table 26.  
PCM Registers  
Address  
Function  
0
Divider 0 Programming. Programmable divider, DIV0, value and DIV0 reset bit. DIV0 can  
divide the input clock to the PCM or can be bypassed.  
1
2
Divider 1 Programming. Programmable divider, DIV1, value and DIV1 reset bit. DIV1 can  
divide the feedback clock input to the PCM or can be bypassed. Valid only in PLL mode.  
Divider 2 Programming. Programmable divider, DIV2, value and DIV2 reset bit. DIV2 can  
divide the output of the tapped delay line or can be bypassed and is only valid for the  
ExpressCLK output.  
3
4
DLL 2x Duty-Cycle Programming. DLL mode clock doubler (2x) duty-cycle selection.  
DLL 1x Duty-Cycle Programming. Depending on the settings in other registers, this regis-  
ter is for:  
a. PLL mode phase/delay selection;  
b. DLL mode 1x duty cycle selection; and  
c. DLL mode programmable delay.  
5
6
Mode Programming. DLL/PLL mode selection, DLL 1x/2x clock selection, phase detector  
feedback selection.  
Clock Source Status/Output Clock Selection Programming. Input clock selection, feed-  
back clock selection, ExpressCLK output source selection, system clock output source selec-  
tion.  
7
PCM Control Programming. PCM power, reset, and configuration control.  
Lucent Technologies Inc.  
73  
 
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
Programmable Clock Manager (PCM) (continued)  
PCM  
INPUT  
CLOCK  
CHARGE PUMP  
AND  
LOW-PASS FILTER  
PHASE  
DETECTOR  
0
EXPRESSCLK  
PAD  
FROM  
ROUTING  
PROGRAMMABLE  
DIVIDER  
1
2
S0  
DIV0  
0
S4  
1
PROGRAMMABLE DELAY  
LINES (32 TAPS)  
3
1
S2  
0
FEEDBACK  
CLOCK  
EXPRESSCLK  
FEEDBACK  
0
REGISTER 7  
REGISTER 6  
REGISTER 5  
REGISTER 4  
REGISTER 3  
REGISTER 2  
REGISTER 1  
PROGRAMMABLE  
1...7 1...7 1...7 1...7  
1
S3  
2
DIVIDER  
DIV1  
FROM  
ROUTING  
S5  
S6  
S7  
S8  
3
0
0
1
2
1
2
EXPRESSCLK  
OUTPUT  
S4  
S4  
PROGRAMMABLE  
3
3
DIVIDER  
DIV2  
COMBINATORIAL  
LOGIC  
REGISTER 0  
0
1
FPGA-PCM INTERFACE  
SYSTEM CLOCK  
OUTPUT  
S10  
2
3
0
5-5829(F)  
Figure 46. PCM Functional Block Diagram  
74  
Lucent Technologies Inc.  
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
1x Clock Duty-Cycle Adjustment  
Programmable Clock Manager (PCM)  
(continued)  
A duty-cycle adjusted replica of the input clock can be  
constructed in DLL mode. The duty cycle can be  
adjusted in 1/32 (3.125%) increments of the input clock  
period. DLL 1x clock mode is selected by setting bit 4  
of register five to a 1, and output clock source selection  
is selected by setting register six, bits [5:4] to 01 for  
ExpressCLK output, and/or bits [7:6] to 01 for system  
clock output. The duty-cycle percentage value is  
entered in register four. See register four programming  
details for more information. Duty cycle values are also  
shown in the third column of Table 27.  
Delay-Locked Loop (DLL) Mode  
DLL mode is used for implementing a delayed clock  
(phase adjustment), clock doubling, and duty cycle  
adjustment. All DLL functions stem from a delay line  
with 32 taps. The delayed input clock is pulled from var-  
ious taps and processed to implement the desired  
result. There is no feedback clock in DLL mode, provid-  
ing a very stable output and a fast lock time for the out-  
put clock.  
Table 27.  
DLL Mode Delay/1x Duty Cycle  
Programming Values  
DLL mode is selected by setting bit 0 in PCM register  
five to a 0. The settings for the various submodes of  
DLL mode are described in the following paragraphs.  
Divider DIV0 may be used with any of the DLL modes  
to divide the input clock by an integer factor of 1 to 8  
prior to implementation of the DLL process.  
Register 4 [7:0]  
7 6 5 4 3 2 1 0  
Delay  
Duty Cycle  
(CLK_IN/32) (% of CLK_IN)  
0 0 X X X 0 0 0  
0 0 X X X 0 0 1  
0 0 X X X 0 1 0  
0 0 X X X 0 1 1  
0 0 X X X 1 0 0  
0 0 X X X 1 0 1  
0 0 X X X 1 1 0  
0 0 X X X 1 1 1  
0 1 X X X 0 0 0  
0 1 X X X 0 0 1  
0 1 X X X 0 1 0  
0 1 X X X 0 1 1  
0 1 X X X 1 0 0  
0 1 X X X 1 0 1  
0 1 X X X 1 1 0  
0 1 1 1 1 X X X  
1 0 0 0 0 X X X  
1 0 0 0 1 X X X  
1 0 0 1 0 X X X  
1 0 0 1 1 X X X  
1 0 1 0 0 X X X  
1 0 1 0 1 X X X  
1 0 1 1 0 X X X  
1 0 1 1 1 X X X  
1 1 0 0 0 X X X  
1 1 0 0 1 X X X  
1 1 0 1 0 X X X  
1 1 0 1 1 X X X  
1 1 1 0 0 X X X  
1 1 1 0 1 X X X  
1 1 1 1 0 X X X  
1
3.125  
6.250  
2
Delayed Clock  
3
9.375  
4
12.500  
15.625  
18.750  
21.875  
25.000  
28.125  
31.250  
34.375  
37.500  
40.625  
43.750  
46.875  
50.000  
53.125  
56.250  
59.375  
62.500  
65.625  
68.750  
71.875  
75.000  
78.125  
81.250  
84.375  
87.500  
90.625  
93.750  
96.875  
A delayed version of the input clock can be constructed  
in DLL mode. The output clock can be delayed by  
increments of 1/32 of the input clock period. Express  
CLK and system CLK outputs in delay modes are  
selected by setting register six, bits [5:4] to 10 or 11 for  
ExpressCLK output, and/or bits [7:6] to 10 for system  
clock output. The delay value is entered in register four.  
See register four programming details for more infor-  
mation. Delay values are also shown in the second col-  
umn of Table 27.  
5
6
7
8
9
10  
11  
12  
13  
14  
15  
16  
17  
18  
19  
20  
21  
22  
23  
24  
25  
26  
27  
28  
29  
30  
31  
Note that when register six, bits [5:4] are set to 11, the  
ExpressCLK output is divided by an integer factor from  
1 to 8 while the system clock cannot be divided. The  
ExpressCLK divider is provided so that the I/O clocking  
provided by the ExpressCLK can operate slower than  
the internal system clock. This allows for very fast inter-  
nal processing while maintaining slower interface  
speeds off-chip for improved noise and power perfor-  
mance or to interoperate with slower devices in the sys-  
tem. The divisor of the ExpressCLK frequency is  
selected in register two. See the register two program-  
ming details for more information.  
Lucent Technologies Inc.  
75  
 
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
Clock Delay Minimization  
Programmable Clock Manager (PCM)  
(continued)  
PLL mode can be used to minimize the effects of the  
input buffer and input routing delay on the clock signal.  
PLL mode causes a feedback clock signal to align in  
phase with the input clock (refer back to the block dia-  
gram in Figure 45) so that the delay between them is  
effectively eliminated.  
2x Clock Duty-Cycle Adjustment  
A doubled-frequency, duty-cycle adjusted version of the  
input clock can be constructed in DLL mode. The first  
clock cycle of the 2x clock output occurs when the input  
clock is high, and the second cycle occurs when the  
input clock is low. The duty cycle can be adjusted in  
1/32 (6.25%) increments of the input clock period.  
Additionally, each of the two doubled-clock cycles that  
occurs in a single input clock cycle may be adjusted to  
have different duty cycles. DLL 2x clock mode is  
selected by setting bit 4 of register five to a 1, and by  
setting register six, bits [5:4] to 01 for ExpressCLK out-  
put, and/or bits [7:6] to 01 for system clock output. The  
duty-cycle percentage value is entered in register  
three. See register three programming details for more  
information. Duty-cycle values where both cycles of the  
doubled clock have the same duty cycle are also shown  
in Table 28.  
There is a dedicated feedback path from an adjacent  
middle CLKCNTRL block to the PCM. Using the corner  
ExpressCLK pad as the input to the PCM and using this  
dedicated feedback path, the clock from the Express-  
CLK output of the PCM, as viewed at the CLKCNTRL  
block, will be phase-aligned with the ExpressCLK input  
to the PCM. These relationships are diagrammed in  
Figure 47.  
A feedback clock can also be input to the PCM from  
general routing. This allows for compensating for delay  
between the PCM input and a point in the general rout-  
ing. The use of this routed-feedback path is not gener-  
ally recommended. Because compensation is based  
on the programmable routing, the amount of clock  
delay compensation can vary between FPGA lots and  
fabrication processes, and will vary each time that the  
feedback line is routed using different resources. Con-  
tact Lucent Technologies for application notes regard-  
ing the use of routed-feedback delay compensation.  
Table 28  
. DLL Mode Delay/2x Duty Cycle  
Programming Values  
Register 3 [7:0]  
7 6 5 4 3 2 1 0  
0 0 0 0 0 0 0 0  
Duty Cycle  
(%)  
6.25  
0 0 0 0 1 0 0 1  
0 0 0 1 0 0 1 0  
0 0 0 1 1 0 1 1  
0 0 1 0 0 1 0 0  
0 0 1 0 1 1 0 1  
0 0 1 1 0 1 1 0  
0 0 1 1 1 1 1 1  
1 1 0 0 0 0 0 0  
1 1 0 0 1 0 0 1  
1 1 0 1 0 0 1 0  
1 1 0 1 1 0 1 1  
1 1 1 0 0 1 0 0  
1 1 1 0 1 1 0 1  
1 1 1 1 0 1 1 0  
12.50  
18.75  
25.00  
31.25  
37.50  
43.75  
50.00  
56.25  
62.50  
68.75  
75.00  
81.25  
87.50  
93.75  
DELAY  
COMPENSATION EQUALS DELAY  
CORNER  
EXPRESSCLK  
INPUT  
CLKCNTRL  
EXPRESSCLK  
OUTPUT WITHOUT  
USING PCM  
DELAY IS COMPENSATED  
CLKCNTRL  
EXPRESSCLK  
OUTPUT  
USING PCM  
5-5980(F)  
Figure 47. ExpressCLK Delay Minimization  
Using the PCM  
Phase-Locked Loop (PLL) Mode  
The PLL mode of the PCM is used for clock multiplica-  
tion (1/8x to 64x) and clock delay minimization func-  
tions. PLL functions make use of the PCM dividers and  
use feedback signals, often from the FPGA array. The  
use of feedback is discussed with each PLL submode.  
PLL mode is selected by setting bit 0 of register five to  
1.  
76  
Lucent Technologies Inc.  
 
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
The multiplied output is selected by setting register six,  
bits [5:4] to 10 or 11 for ExpressCLK output and/or bits  
[7:6] to 10 for system clock output. Note that when reg-  
ister six, bits [5:4] are set to 11, the ExpressCLK output  
is divided by DIV2, while the system clock cannot be  
divided. The ExpressCLK divider is provided so that the  
I/O clocking provided by the ExpressCLK can operate  
slower than the internal system clock. This allows for  
very fast internal processing while maintaining slower  
interface speeds off-chip for improved noise and power  
performance or to interoperate with slower devices in  
the system.  
Programmable Clock Manager (PCM)  
(continued)  
Clock Multiplication  
An output clock that is a multiple (not necessarily an  
integer multiple) of the input clock can be generated in  
PLL mode. The multiplication ratio is programmed in  
the division registers DIV0, DIV1, and DIV2. Note that  
DIV2 applies only to the ExpressCLK output of the  
PCM and any reference to DIV2 is implicitly 1 for the  
system clock output of the PCM. The clock multiplica-  
tion formulas when using ExpressCLK feedback are:  
It is also necessary to configure the internal PCM oscil-  
lator for operation in the proper frequency range.  
Table 29 and Table 30 show the settings required for  
register four for a given frequency range for Series 3C  
and 3T devices. In addition, the acquisition time is  
shown for each frequency range. This is the time that is  
required for the PCM to acquire LOCK. The PCM oscil-  
lator frequency range is chosen based on the desired  
output frequency at the system clock output. If using  
the ExpressCLK output, the equivalent system clock  
frequency can be selected by multiplying the expected  
ExpressCLK output frequency by the value for DIV2.  
Choose the nominal frequency from the table that is  
closest to the desired frequency, and use that value to  
program register four. Minor adjustments to match the  
exact input frequency are then performed automatically  
by the PCM.  
DIV1  
ExpressCLK_OUT  
INPUT_CLOCK  
F
= F  
DIV0  
SYSTEM_CLOCK_OUT  
ExpressCLK_OUT  
DIV2  
F
= F  
Where the values of DIV0, DIV1, and DIV2 range from  
1 to 8.  
The ExpressCLK multiplication range of output clock  
frequencies is, therefore, from 1/8x up to 8x, with the  
system clock range up to 8x the ExpressCLK frequency  
or 64x the input clock frequency. If system clock feed-  
back is used, the formulas are:  
DIV1  
SYSTEM_CLOCK_OUT  
INPUT_CLOCK  
F
= F  
DIV0  
SYSTEM_CLOCK  
/DIV2  
ExpressCLK_OUT  
F
= F  
The divider values, DIV0, DIV1, and DIV2 are pro-  
grammed in registers zero, one, and two, respectively.  
Lucent Technologies Inc.  
77  
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
Programmable Clock Manager (PCM)  
(continued)  
Table 29.  
3Txxx  
Table 30.  
3Cxx  
PCM Oscillator Frequency Range  
PCM Oscillator Frequency Range  
System  
Clock  
System  
Clock  
Output  
Output  
Frequency  
T
Frequency  
T
Register 4 Min  
76543210 (MHz)  
(MHz)  
NOM  
Max Acquisition  
Register 4 Min  
76543210 (MHz)  
(MHz)  
NOM  
Max Acquisition  
µ
µ
(MHz)  
( s)  
(MHz)  
( s)  
00XXX010 17.00  
00XXX011 16.10  
00XXX100 15.17  
00XXX101 14.25  
00XXX110 13.33  
00XXX111 12.40  
01XXX000 12.20  
01XXX001 12.10  
01XXX010 11.90  
01XXX011 11.70  
01XXX100 11.10  
01XXX101 10.50  
01XXX110 10.00  
01XXX111 9.40  
10000XXX 9.20  
10001XXX 9.00  
10010XXX 8.80  
10011XXX 8.60  
10100XXX 8.40  
10101XXX 8.10  
10110XXX 7.90  
10111XXX 7.70  
11000XXX 7.60  
11001XXX 7.45  
11010XXX 7.30  
11011XXX 7.20  
11100XXX 6.60  
11101XXX 6.00  
11110XXX 5.50  
11111XXX 5.00  
58.50  
52.50  
49.00  
45.00  
41.50  
38.00  
36.75  
35.00  
33.00  
31.30  
30.00  
29.15  
28.10  
27.00  
26.25  
25.65  
25.00  
24.45  
23.70  
22.90  
22.20  
21.50  
20.80  
20.10  
19.45  
18.85  
18.30  
17.70  
17.10  
16.50  
100.00  
89.00  
82.80  
76.50  
70.30  
64.00  
61.30  
58.00  
54.30  
51.00  
49.40  
47.80  
46.20  
44.60  
43.30  
42.30  
41.30  
40.30  
39.00  
37.70  
36.50  
35.20  
34.00  
32.80  
31.60  
30.50  
30.00  
29.40  
28.60  
28.00  
36.00  
37.00  
38.00  
39.00  
40.00  
41.00  
43.75  
46.50  
49.25  
52.00  
54.75  
57.50  
60.25  
63.00  
65.40  
67.80  
70.10  
72.50  
74.90  
77.30  
79.60  
82.00  
84.30  
86.50  
88.80  
91.00  
93.30  
95.50  
97.80  
100.00  
00XXX010 10.50  
00XXX011 10.00  
00XXX100 9.50  
00XXX101 9.10  
00XXX110 8.60  
00XXX111 8.10  
01XXX000 7.80  
01XXX001 7.60  
01XXX010 7.30  
01XXX011 7.10  
01XXX100 6.80  
01XXX101 6.50  
01XXX110 6.30  
01XXX111 6.00  
10000XXX 5.90  
10001XXX 5.90  
10010XXX 5.80  
10011XXX 5.80  
10100XXX 5.70  
10101XXX 5.60  
10110XXX 5.60  
10111XXX 5.50  
11000XXX 5.40  
11001XXX 5.40  
11010XXX 5.30  
11011XXX 5.30  
11100XXX 5.20  
11101XXX 5.10  
11110XXX 5.10  
11111XXX 5.00  
73.00  
68.00  
63.00  
58.50  
53.80  
49.00  
47.70  
46.30  
45.00  
43.60  
42.10  
40.75  
39.40  
38.00  
37.40  
36.70  
36.00  
35.40  
35.00  
34.10  
33.50  
32.80  
32.10  
31.50  
30.70  
30.10  
29.50  
28.80  
28.20  
27.50  
135.00  
126.00  
117.00  
108.00  
99.00  
90.00  
87.50  
85.00  
82.50  
80.00  
77.50  
75.00  
72.50  
70.00  
68.80  
67.50  
66.30  
65.00  
63.80  
62.50  
61.30  
60.00  
58.80  
57.50  
56.30  
55.00  
53.80  
52.50  
51.30  
50.00  
36.00  
37.00  
38.00  
39.00  
40.00  
41.00  
43.80  
46.50  
49.30  
52.00  
55.00  
57.50  
60.30  
63.00  
65.40  
67.80  
70.10  
72.50  
74.90  
77.30  
79.60  
82.00  
84.30  
86.50  
88.80  
91.00  
93.30  
95.50  
97.80  
100.00  
Note: Use of settings in the first three rows is not recommended.  
X means don’t care.  
Note: Use of settings in the first three rows is not recommended.  
X means don’t care.  
78  
Lucent Technologies Inc.  
 
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
PCM Operation  
Programmable Clock Manager (PCM)  
(continued)  
Several features are available for the control of the  
PCM’s overall operation. The PCM may be programma-  
bly enabled/disabled via bit 0 of register 7. When dis-  
abled, the analog power supply of the PCM is turned  
off, conserving power and eliminating the possibility of  
inducing noise into the system power buses. Individual  
bits (register 7, bits [2:1]) are provided to reset the DLL  
and PLL functions of the PCM. These resets affect only  
the logic generating the DLL or PLL function; they do  
not reset the divider values (DIV0, DIV1, DIV2) or reg-  
isters [7:0]. The global set/reset (GSRN) is also pro-  
grammably controlled via register 7, bit 7. If register 7,  
bit 7 is set to 1, GSRN will have no effect on the PCM  
logic, allowing the clock to operate during a global  
set/reset. This function allows the FPGA to be reset  
without affecting a clock that is sent off-chip and used  
elsewhere in the system. Bit 6 of register 7 affects the  
functionality of the PCM during configuration. If set to 1,  
this bit enables the PCM to operate during configura-  
tion, after the PCM has been configured. The PCM  
functionality is programmed via the bit stream. If regis-  
ter 7, bit 6 is 0, the PCM cannot function and its power  
supply is disabled until after the configuration DONE  
signal goes high.  
PCM/FPGA Internal Interface  
Writing and reading the PCM registers is done through  
a simple asynchronous interface that connects with the  
FPGA routing resources. Reads from the PCM by the  
FPGA logic are accomplished by setting up the 3-bit  
address, A[2:0], and then applying an active-high read  
enable (RE) pulse. The read data will be available as  
long as RE is held high. The address may be changed  
while RE is high, to read other addresses. When RE  
goes low, the data output bus is 3-stated.  
Writes to the PCM by the FPGA logic are performed by  
applying the write data to the data input bus of the  
PCM, applying the 3-bit address to write to, and assert-  
ing the write enable (WE) signal high. Data will be writ-  
ten by the high-going transition of the WE pulse.  
The read enable (RE) and write enable (WE) signals  
may not be active at the same time. For detailed timing  
information and specifications, see the Timing Charac-  
teristics section of this data sheet.  
The LOCK signal output from the PCM to the FPGA  
routing indicates a stable output clock signal from the  
PCM. The LOCK signal is high when the PCM output  
clock parameters fall within the programmed values  
and the PCM specifications for jitter. Due to phase cor-  
rections that occur internal to the PCM, the LOCK sig-  
nal might occasionally pulse low when the output clock  
is out of specification for only one or two clock cycles  
(high jitter due to temperature, voltage fluctuation, etc.)  
To accommodate these pulses, it is suggested that the  
user integrate the LOCK signal over a period suitable to  
their application to achieve the desired usage of the  
LOCK signal.  
When the PCM is powered up via register 7, bit 0, there  
is a wake-up time associated with its operation. Follow-  
ing the wake-up time, the PCM will begin to fully func-  
tion, and, following an acquisition time during which the  
output clock may be unstable, the PCM will be in  
steady-state operation. There is also a shutdown time  
associated with powering off the PCM. The output  
clock will be unstable during this period. Waveforms  
and timing parameters can be found in the Timing  
Characteristics section of this data sheet.  
The LOCK signal will also pulse high and low during  
the acquisition time as the output clock stabilizes. True  
LOCK is only achieved when the LOCK signal is a solid  
high. Again, it is suggested that the user integrate the  
LOCK signal over a time period suitable to the subject  
application.  
Lucent Technologies Inc.  
79  
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
Programmable Clock Manager (PCM) (continued)  
PCM Detailed Programming  
Descriptions of bit fields and individual control bits in the PCM control registers are provided in Table 31. Refer to  
Figure 46 for more information on the location of the PCM elements that are discussed. In the following discussion,  
the duty cycle is in the percentage of the clock period where the clock is high.  
Table 31. PCM Control Registers  
Bit #  
Function  
Register 0—Divider 0 Programming  
Bits [3:0]  
4-Bit Divider, DIV0, Value. This value enables the input clock to immediately be divided by a  
value from 1 to 8. A 0 value (the default) indicates that DIV0 is bypassed (no division). Bypass  
incurs less delay than dividing by 1. Hexadecimal values greater than 8 for bits [3:0] yield their  
modulo 8 value. For example, if bits [3:0] are 1001 (9 hex), the result is divide by 1 (remainder  
9/8 = 1).  
Bits [6:4]  
Bit 7  
Reserved.  
DIV 0 Reset Bit. DIV0 may not be reset by GSRN depending on the value of register 7, bit 7.  
This bit may be set to 1 to reset DIV0 to its default value. Bit 0 must be set to 0 (the default) to  
remove the reset.  
Register 1—Divider 1 Programming  
Bits [3:0]  
4-Bit Divider, DIV1, Value. This value enables the feedback clock to be divided by a value from  
1 to 8. A 0 value (the default) indicates that DIV1 is bypassed (no division). Bypass incurs less  
delay than dividing by 1. Hexadecimal values greater than 8 for bits [3:0] yield their modulo 8  
value. For example, if bits [3:0] are 1001 (9 hex), the result is divide by 1 (remainder 9/8 = 1).  
Bits [6:4]  
Bit 7  
Reserved.  
DIV1 Reset Bit. DIV1 may not be reset by GSRN, depending on the value of register 7, bit 7.  
This bit may be set to 1 to reset DIV1 to its default value. Bit 0 must be set to 0 (the default) to  
remove the reset.  
Register 2—Divider 2 Programming  
Bits [3:0]  
4-Bit Divider, DIV2, Value. This value enables the tapped delay line output clock driven onto  
ExpressCLK to be divided by a value from 1 to 8. A 0 value (the default) indicates that DIV2 is  
bypassed (no division). Bypass incurs less delay than dividing by 1. Hexadecimal values greater  
than 8 for bits [3:0] yield their modulo 8 value. For example, if bits [3:0] are 1001 (9 hex), the  
result is divide by 1 (remainder 9/8 = 1).  
Bits [6:4]  
Bit 7  
Reserved.  
DIV2 Reset Bit. DIV2 may not be reset by GSRN, depending on the value of register 7, bit 7.  
This bit may be set to 1 to reset DIV2 to its default value. Bit 7 must be set to 0 (the default) to  
remove the reset.  
Register 3—DLL 2x Duty-Cycle Programming  
Bits [2:0]  
Bits [5:3]  
Bit 6  
Duty-cycle selection for the doubled clock period associated with the input clock high. The duty  
cycle is (value of bit 6) * 50% + ((value of bits [2:0]) + 1) * 6.25%. See the description for bit 6.  
Duty-cycle selection for the doubled clock period associated with the input clock low. The duty  
cycle is (value of bit 7) * 50% + ((value of bits [2:0]) + 1) * 6.25%. See the description for bit 7.  
Master duty-cycle control for the first clock period of the doubled clock: 0 = less than or equal to  
50%, 1 = greater than 50%.  
Bit 7  
Master duty-cycle control for the second clock period of the doubled clock: 0 = less than or equal  
to 50%, 1 = greater than 50%. Example: Both clock periods having a 62.5% duty cycle, bits [7:0]  
are 11 001 001.  
80  
Lucent Technologies Inc.  
 
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
Programmable Clock Manager (PCM) (continued)  
Table 31. PCM Control Registers (continued)  
Bit #  
Function  
Register 4—DLL 1x Duty-Cycle Programming  
Bits [2:0]  
Duty-Cycle/Delay Selection for Duty Cycle/Delays Less Than or Equal to 50%. The duty-  
cycle/delay is (value of bits [7:6]) * 25% + ((value of bits [2:0]) + 1) * 3.125%. See the description  
for bits [7:6].  
Bits [5:3]  
Bits [7:6]  
Duty-Cycle/Delay Selection for Duty Cycle/Delays Greater Than 50%. The duty-cycle/delay  
is (value of bits [7:6]) * 25% + ((value of bits [5:3]) + 1) * 3.125%. See the description for bits [7:6].  
Master Duty Cycle Control:  
00: duty cycle 3.125% to 25%  
01: duty cycle 28.125% to 50%  
10: duty cycle 53.125% to 75%  
11: duty cycle 78.125% to 96.875%  
Example: A 40.625% duty cycle, bits [7:0] are 01 XXX 100, where X is a don’t care because the  
duty cycle is not greater than 50%.  
Example: The PCM output clock should be delayed 96.875% (31/32) of the input clock period.  
Bits [7:0] are 11110XXX, which is 78.125% from bits [7:6] and 18.75% from bits [5:3]. Bits [2:0]  
are don’t care (X) because the delay is greater than 50%.  
Register 5—Mode Programming  
Bit 0  
Bit 1  
Bit 2  
DLL/PLL Mode Selection Bit. 0 = DLL, 1 = PLL. Default is DLL mode.  
Reserved.  
PLL Phase Detector Feedback Input Selection Bit. 0 = feedback signal from routing/  
ExpressCLK, 1 = feedback from programmable delay line output. Default is 0. Has no effect in  
DLL mode.  
Bit 3  
Bit 4  
Reserved.  
1x/2x Clock Selection Bit for DLL Mode. 0 = 1x clock output, 1 = 2x clock output. Default is 1x  
clock output. Has no effect in PLL mode.  
Bits [7:5]  
Reserved.  
Lucent Technologies Inc.  
81  
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
Programmable Clock Manager (PCM) (continued)  
Table 31. PCM Control Registers (continued)  
Bit #  
Function  
Bits [5:4]  
ExpressCLK Output Source Selector. Default is 00.  
00: PCM input clock, bypass path through PCM  
01: DLL output  
10: tapped delay line output  
11: divided (DIV2) delay line output  
Bits [7:6]  
System Clock Output Source Selector. Default is 00.  
00: PCM input clock, bypass path through PCM  
01: DLL output  
10: tapped delay line output  
11: reserved  
Register 7—PCM Control Programming  
Bit 0  
Bit 1  
Bit 2  
PCM Analog Power Supply Switch. 1 = power supply on, 0 = power supply off.  
PCM Reset. A value of 1 resets all PCM logic for PLL and DLL modes.  
DLL Reset. A value of 1 resets the clock generation logic for DLL mode. No dividers or user reg-  
isters are affected.  
Bits [5:3]  
Bit 6  
Reserved.  
PCM Configuration Operation Enable Bit. 0 = normal configuration operation. During configu-  
ration (DONE = 0), the PCM analog power supply will be off, the PCM output data bus is 3-stated,  
and the LOCK signal is asserted to logic 0. The PCM will power up when DONE = 1.  
1 = PCM operation during configuration. The PCM may be powered up (see bit 0) and begin  
operation, or continue operation. The setup of the PCM can be performed via the configuration  
bit stream.  
Bit 7  
PCM GSRN Enable Bit. 0 = normal GSRN operation. 1 = GSRN has no effect on PCM logic, so  
clock processing will not be interrupted by a chip reset. Default is 0.  
82  
Lucent Technologies Inc.  
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
almost a full cycle. This is shown in Figure 48A. The  
amount of delay that is being compensated for, plus  
clock setup time and some margin, is the amount less  
than one full clock cycle that the output clock is delayed  
from the input clock.  
Programmable Clock Manager (PCM)  
(continued)  
PCM Applications  
The applications discussed below are only a small  
sampling of the possible uses for the PCM. Check the  
Lucent Technologies ORCA FPGA Internet website  
(listed at the end of this data sheet) for additional appli-  
cation notes.  
In some systems, it is desirable to operate logic from  
several clocks that operate at different phases. This  
technique is often used in microprocessor-based sys-  
tems to transfer and process data synchronously  
between functional areas, but without incurring exces-  
sive delays. Figure 48B shows an input clock and an  
output clock operating 180° out of phase. It also shows  
a version of the input clock that was shifted approxi-  
mately 180° using logic gates to create an inverter.  
Note that the inverted clock is really shifted more than  
180° due to the propagation delay of the inverter. The  
PCM output clock does not suffer from this delay. Addi-  
tionally, the 180° shifted PCM output could be shifted  
by some smaller amount to effect an early 180° shifted  
clock that also accounts for loading effects.  
Clock Phase Adjustment  
The PCM may be used to adjust the phase of the input  
clock. The result is an output clock which has its active  
edge either preceding or following the active edge of  
the input clock. Clock phase adjustment is accom-  
plished in DLL mode by delaying the clock. This is dis-  
cussed in the Delay-Locked Loop (DLL) Mode section.  
Examples of using the delayed clock as an early or late  
phase-adjusted clock are outlined in the following para-  
graphs.  
In terms of degrees of phase shift, the phase of a clock  
is adjustable in DLL mode with resolution relative to the  
delay increment (see Table 27):  
An output clock that precedes the input clock can be  
used to compensate for clock delay that is largely due  
to excessive loading. The preceding output clock is  
really not early relative to the input clock, but is delayed  
<
Delay 16  
Phase Adjustment = (Delay)* 11.25,  
Phase Adjustment = ((Delay)* 11.25) – 360, Delay > 16  
CLOCK DELAY AND SETUP  
BEING COMPENSATED  
DLL DELAY  
INPUT CLOCK  
OUTPUT CLOCK  
A. Generating an Early Clock  
UNINTENDED PHASE  
SHIFT DUE TO  
INVERTER DELAY  
DLL DELAY  
INPUT CLOCK  
PCM OUTPUT CLOCK  
INVERTED INPUT CLOCK  
B. Multiphase Clock Generation Using the DLL  
5-5979(F)  
Figure 48. Clock Phase Adjustment Using the PCM  
Lucent Technologies Inc.  
83  
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
Resultant signals from the PCM must meet the FPGA  
timing specifications. It is possible to specify pulses by  
using duty-cycle adjustments that are too narrow to  
function in the FPGA. For instance, if a 40 MHz clock is  
doubled to 80 MHz and a 6.25% duty cycle is selected,  
the result will be a 780 ps pulse that repeats every  
12.5 ns. This pulse falls outside of the clock pulse width  
specification and is not valid.  
Programmable Clock Manager (PCM)  
(continued)  
High-Speed Internal Processing with Slow I/Os  
The PCM PLL mode provides two outputs, one sent to  
the global system clock routing of the FPGA and the  
other to the ExpressCLK(s) that serve the FPGA I/Os.  
The ExpressCLK output of the PCM has a divide capa-  
bility (DIV2) that the system clock output does not. This  
feature allows an input clock to be multiplied up to a  
higher frequency for high-speed internal processing,  
and also allows the ExpressCLK output to be divided  
down to a lower frequency to accommodate off-FPGA  
data transfers. For example, a 10 MHz input clock may  
be multiplied (see Clock Multiplication in the Phase-  
Locked Loop (PLL) Mode subsection) to 25 MHz (DIV0  
= 4, DIV1 = 5, DIV2 = 2) and output to the FPGA  
ExpressCLK. This allows the I/Os of the circuit to run at  
25 MHz ((2 * 5)/4 * 10 MHz). The system clock will run  
at DIV2 times the ExpressCLK rate, which is 2 times  
25 MHz, or 50 MHz. This setup allows for internal pro-  
cessing to occur at twice the rate of on/off device I/O  
transfers.  
Using divider DIV2, it is possible to specify a clock mul-  
tiplication factor of 64 between the input clock and the  
output system clock. As mentioned above, the resultant  
frequency must meet all FPGA timing specifications.  
The input clock must also meet the minimum specifica-  
tions. An input clock rate that is below the PCM clock  
minimum cannot be used even if the multiplied output is  
within the allowable range.  
The use of the PCM to tweak a clock signal to eliminate  
a particular problem, such as a single setup time viola-  
tion, is discouraged. A small shift in delay, duty cycle, or  
phase to correct a single-point problem is in essence  
an asynchronous patch to a synchronous system, mak-  
ing the system less stable. This type of local problem,  
as opposed to a global clock control issue like device-  
wide clock delay, can usually be eliminated through  
more robust design practices. If this type of change is  
made, the designer must be aware that depending on  
the extent of the change made, the design may fail to  
operate correctly in a different speed grade or voltage  
grade (e.g., 3C vs. 3T), or even in a different production  
lot of the same device.  
PCM Cautions  
Cautions do apply when using the PCM. There are a  
number of configurations that are possible in the PCM  
that are theoretically valid, but may not produce viable  
results. This section describes some of those situa-  
tions, and should leave the user with an understanding  
of the types of pitfalls that must be avoided when modi-  
fying clock signals.  
Divider DIV2 is available in DLL mode for the Express-  
CLK output, but its use is not recommended with duty-  
cycle adjusted clocks.  
84  
Lucent Technologies Inc.  
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
Initialization  
FPGA States of Operation  
Upon powerup, the device goes through an initialization  
process. First, an internal power-on-reset circuit is trig-  
gered when power is applied. When VDD reaches the  
voltage at which portions of the FPGA begin to operate  
(2.5 V to 3 V for the OR3Cxx, 2.2 V to 2.7 V for the  
OR3Txxx), the I/Os are configured based on the con-  
figuration mode, as determined by the mode select  
inputs M[2:0]. A time-out delay is initiated when VDD  
reaches between 3.0 V and 4.0 V (OR3Cxx) or 2.7 V to  
3.0 V (OR3Txxx) to allow the power supply voltage to  
stabilize. The INIT and DONE outputs are low. At pow-  
erup, if VDD does not rise from 2.0 V to VDD in less than  
25 ms, the user should delay configuration by inputting  
a low into INIT, PRGM, or RESET until VDD is greater  
than the recommended minimum operating voltage  
(4.75 V for OR3Cxx commercial devices and 3.0 V for  
OR3Txxx devices).  
Prior to becoming operational, the FPGA goes through  
a sequence of states, including initialization, configura-  
tion, and start-up. Figure 49 outlines these three FPGA  
states.  
POWERUP  
– POWER-ON TIME DELAY  
INITIALIZATION  
– CLEAR CONFIGURATION  
MEMORY  
– INIT LOW, HDC HIGH, LDC LOW  
RESET,  
INIT,  
OR  
PRGM  
LOW  
BIT  
ERROR  
YES  
YES  
NO  
NO  
At the end of initialization, the default configuration  
option is that the configuration RAM is written to a low  
state. This prevents shorts prior to configuration. As a  
configuration option, after the first configuration (i.e., at  
reconfiguration), the user can reconfigure without  
clearing the internal configuration RAM first. The  
active-low, open-drain initialization signal INIT is  
released and must be pulled high by an external resis-  
tor when initialization is complete. To synchronize the  
configuration of multiple FPGAs, one or more INIT pins  
should be wire-ANDed. If INIT is held low by one or  
more FPGAs or an external device, the FPGA remains  
in the initialization state. INIT can be used to signal that  
the FPGAs are not yet initialized. After INIT goes high  
for two internal clock cycles, the mode lines (M[3:0])  
are sampled, and the FPGA enters the configuration  
state.  
CONFIGURATION  
– M[3:0] MODE IS SELECTED  
– CONFIGURATION DATA FRAME  
WRITTEN  
– INIT HIGH, HDC HIGH, LDC LOW  
– DOUT ACTIVE  
RESET  
OR  
PRGM  
LOW  
START-UP  
PRGM  
LOW  
– ACTIVE I/O  
– RELEASE INTERNAL RESET  
– DONE GOES HIGH  
OPERATION  
5-4529(F)  
Figure 49. FPGA States of Operation  
The high during configuration (HDC), low during config-  
uration (LDC), and DONE signals are active outputs in  
the FPGA’s initialization and configuration states. HDC,  
LDC, and DONE can be used to provide control of  
external logic signals such as reset, bus enable, or  
PROM enable during configuration. For parallel master  
configuration modes, these signals provide PROM  
enable control and allow the data pins to be shared  
with user logic signals.  
Lucent Technologies Inc.  
85  
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
not used during the configuration process are  
3-stated with internal pull-ups.  
FPGA States of Operation (continued)  
If configuration has begun, an assertion of RESET or  
PRGM initiates an abort, returning the FPGA to the ini-  
tialization state. The PRGM and RESET pins must be  
pulled back high before the FPGA will enter the config-  
uration state. During the start-up and operating states,  
only the assertion of PRGM causes a reconfiguration.  
Warning: During configuration, all OR3Txxx inputs  
have internal pull-ups enabled. If these inputs are  
driven to 5V, they will draw substantial current ( 5 ma).  
This is due to the fact that the inputs are pulled up to  
3V.  
During configuration, the PIC and PLC latches/FFs are  
held set/reset and the internal BIDI buffers are 3-  
stated. The combinatorial logic begins to function as  
the FPGA is configured. Figure 50 shows the general  
waveform of the initialization, configuration, and start-  
up states.  
In the master configuration modes, the FPGA is the  
source of configuration clock (CCLK). In this mode, the  
initialization state is extended to ensure that, in daisy-  
chain operation, all daisy-chained slave devices are  
ready. Independent of differences in clock rates, master  
mode devices remain in the initialization state an addi-  
tional six internal clock cycles after INIT goes high.  
Configuration  
When configuration is initiated, a counter in the FPGA  
is set to 0 and begins to count configuration clock  
cycles applied to the FPGA. As each configuration data  
frame is supplied to the FPGA, it is internally assem-  
bled into data words. Each data word is loaded into the  
internal configuration memory. The configuration load-  
ing process is complete when the internal length count  
equals the loaded length count in the length count field,  
and the required end of configuration frame is written.  
The ORCA Series FPGA functionality is determined by  
the state of internal configuration RAM. This configura-  
tion RAM can be loaded in a number of different  
modes. In these configuration modes, the FPGA can  
act as a master or a slave of other devices in the sys-  
tem. The decision as to which configuration mode to  
use is a system design issue. Configuration is dis-  
cussed in detail, including the configuration data format  
and the configuration modes used to load the configu-  
ration data in the FPGA, following a description of the  
start-up state.  
All OR3Cxx I/Os operate as TTL inputs during configu-  
ration (OR3Txxx I/Os are CMOS-only). All I/Os that are  
VDD  
RESET  
PRGM  
INIT  
M[3:0]  
CCLK  
HDC  
LDC  
DONE  
USER I/O  
INTERNAL  
RESET  
(gsrn)  
INITIALIZATION  
CONFIGURATION  
START-UP  
OPERATION  
5-4482(F)  
Figure 50. Initialization/Configuration/Start-Up Waveforms  
86  
Lucent Technologies Inc.  
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
DONE is an open-drain bidirectional pin that may  
include an optional (enabled by default) pull-up resistor  
to accommodate wired ANDing. The open-drain DONE  
signals from multiple FPGAs can be tied together  
(ANDed) with a pull-up (internal or external) and used  
as an active-high ready signal, an active-low PROM  
enable, or a reset to other portions of the system.  
When used in SYNC mode, these ANDed DONE pins  
can be used to synchronize the other two start-up  
events, since they can all be synchronized to the same  
external signal. This signal will not rise until all FPGAs  
release their DONE pins, allowing the signal to be  
pulled high.  
FPGA States of Operation (continued)  
Start-Up  
After configuration, the FPGA enters the start-up  
phase. This phase is the transition between the config-  
uration and operational states and begins when the  
number of CCLKs received after INIT goes high is equal  
to the value of the length count field in the configuration  
frame and when the end of configuration frame has  
been written. The system design issue in the start-up  
phase is to ensure the user I/Os become active without  
inadvertently activating devices in the system or caus-  
ing bus contention. A second system design concern is  
the timing of the release of global set/reset of the PLC  
latches/FFs.  
The default for ORCA is the CCLK_SYNC synchro-  
nized start-up mode where DONE is released on the  
first CCLK rising edge, C1 (see Figure 51). Since this is  
a synchronized start-up mode, the open-drain DONE  
signal can be held low externally to stop the occurrence  
of the other two start-up events. Once the DONE pin  
has been released and pulled up to a high level, the  
other two start-up events can be programmed individu-  
ally to either happen immediately or after up to four ris-  
ing edges of CCLK (Di, Di + 1, Di + 2, Di + 3, Di + 4).  
The default is for both events to happen immediately  
after DONE is released and pulled high.  
There are configuration options that control the relative  
timing of three events: DONE going high, release of the  
set/reset of internal FFs, and user I/Os becoming  
active. Figure 51 shows the start-up timing for ORCA  
FPGAs. The system designer determines the relative  
timing of the I/Os becoming active, DONE going high,  
and the release of the set/reset of internal FFs. In the  
ORCA Series FPGA, the three events can occur in any  
arbitrary sequence. This means that they can occur  
before or after each other, or they can occur simulta-  
neously.  
A commonly used design technique is to release  
DONE one or more clock cycles before allowing the I/O  
to become active. This allows other configuration  
devices, such as PROMs, to be disconnected using the  
DONE signal so that there is no bus contention when  
the I/Os become active. In addition to controlling the  
FPGA during start-up, other start-up techniques that  
avoid contention include using isolation devices  
between the FPGA and other circuits in the system,  
reassigning I/O locations, and maintaining I/Os as 3-  
stated outputs until contentions are resolved.  
There are four main start-up modes: CCLK_NOSYNC,  
CCLK_SYNC, UCLK_NOSYNC, and UCLK_SYNC.  
The only difference between the modes starting with  
CCLK and those starting with UCLK is that for the  
UCLK modes, a user clock must be supplied to the  
start-up logic. The timing of start-up events is then  
based upon this user clock, rather than CCLK. The dif-  
ference between the SYNC and NOSYNC modes is  
that for SYNC mode, the timing of two of the start-up  
events, release of the set/reset of internal FFs, and the  
I/Os becoming active is triggered by the rise of the  
external DONE pin followed by a variable number of ris-  
ing clock edges (either CCLK or UCLK). For the  
NOSYNC mode, the timing of these two events is  
based only on either CCLK or UCLK.  
Each of these start-up options can be selected during  
bit stream generation in ORCA Foundry, using  
Advanced Options. For more information, please see  
the ORCA Foundry documentation.  
Lucent Technologies Inc.  
87  
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
Reconfiguration  
FPGA States of Operation (continued)  
To reconfigure the FPGA when the device is operating  
in the system, a low pulse is input into PRGM. The con-  
figuration data in the FPGA is cleared, and the I/Os not  
used for configuration are 3-stated. The FPGA then  
samples the mode select inputs and begins reconfigu-  
ration. When reconfiguration is complete, DONE is  
released, allowing it to be pulled high.  
CCLK_NOSYNC  
F
DONE  
C1  
C1  
C2  
C2  
C3  
C3  
C4  
C4  
I/O  
Partial Reconfiguration  
GSRN  
ACTIVE  
C1  
C2  
C3  
C4  
All ORCA device families have been designed to allow  
a partial reconfiguration of the FPGA at any time. This  
is done by setting a bit stream option in the previous  
configuration sequence that tells the FPGA to not reset  
all of the configuration RAM during a reconfiguration.  
Then only the configuration frames that are to be modi-  
fied need to be rewritten, thereby reducing the configu-  
ration time.  
CCLK_SYNC  
DONE IN  
DONE  
F
Di + 4  
Di + 4  
C1, C2, C3, OR C4  
I/O  
Di Di + 1  
Di Di + 1  
Di + 2  
Di + 2  
Di + 3  
Di + 3  
Other bit stream options are also available that allow  
one portion of the FPGA to remain in operation while a  
partial reconfiguration is being done. If this is done, the  
user must be careful to not cause contention between  
the two configurations (the bit stream resident in the  
FPGA and the partial reconfiguration bit stream) as the  
second reconfiguration bit stream is being loaded.  
GSRN  
ACTIVE  
UCLK  
UCLK_NOSYNC  
F
DONE  
I/O  
C1  
U1  
U2  
U2  
U3  
U4  
U4  
Other Configuration Options  
U1  
U1  
U3  
U3  
GSRN  
ACTIVE  
There are many other configuration options available to  
the user that can be set during bit stream generation in  
ORCA Foundry. These include options to enable  
boundary scan and/or the microprocessor interface  
(MPI) and/or the programmable clock manager (PCM),  
readback options, and options to control and use the  
internal oscillator after configuration.  
U2  
U4  
UCLK_SYNC  
DONE IN  
DONE  
I/O  
F
C1  
U1, U2, U3, OR U4  
Di Di + 1  
Other useful options that affect the next configuration  
(not the current configuration process) include options  
to disable the global set/reset during configuration, dis-  
able the 3-state of I/Os during configuration, and dis-  
able the reset of internal RAMs during configuration to  
allow for partial configurations (see above). For more  
information on how to set these and other configuration  
options, please see the ORCA Foundry documenta-  
tion.  
Di + 2  
Di + 3  
Di + 3  
Di + 4  
GSRN  
ACTIVE  
Di Di + 1  
Di + 2  
UCLK PERIOD  
SYNCHRONIZATION UNCERTAINTY  
Note: F = finished, no more CLKs required.  
5-2761(F)  
Figure 51. Start-Up Waveforms  
88  
Lucent Technologies Inc.  
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
Configuration Data Frame  
Configuration Data Format  
Configuration data can be presented to the FPGA in  
two frame formats: autoincrement and explicit. A  
detailed description of the frame formats is shown in  
Figure 52, Figure 53, and Table 32. The two modes are  
similar except that autoincrement mode uses assumed  
address incrementation to reduce the bit stream size,  
and explicit mode requires an address for each data  
frame. In both cases, the header frame begins with a  
series of 1s and a preamble of 0010, followed by a  
24-bit length count field representing the total number  
of configuration clocks needed to complete the loading  
of the FPGAs.  
The ORCA Foundry Development System interfaces  
with front-end design entry tools and provides tools to  
produce a fully configured FPGA. This section dis-  
cusses using the ORCA Foundry Development System  
to generate configuration RAM data and then provides  
the details of the configuration frame format.  
The ORCA OR3Cxx and OR3Txxx Series FPGAs are  
bit stream compatible.  
Using ORCA Foundry to Generate  
Configuration RAM Data  
Following the header frame is a mandatory ID frame.  
(Note that the ID frame was optional in the ORCA 2C  
and 2C/TxxA Series.)  
The configuration data bit stream defines the I/O func-  
tionality, logic, and interconnections within the FPGA.  
The bit stream is generated by the development sys-  
tem. The bit stream created by the bit stream genera-  
tion tool is a series of 1s and 0s used to write the FPGA  
configuration RAM. It can be loaded into the FPGA  
using one of the configuration modes discussed later.  
The ID frame contains data used to determine if the bit  
stream is being loaded to the correct type of ORCA  
FPGA (i.e., a bit stream generated for an OR3C55 is  
being sent to an OR3C55). Error checking is always  
enabled for Series 3 devices, through the use of an  
8-bit checksum. One bit in the ID frame also selects  
between the autoincrement and explicit address modes  
for this load of the configuration data.  
In the bit stream generator, the designer selects  
options that affect the FPGA’s functionality. Using the  
output of the bit stream generator, circuit_name.bit,  
the development system’s download tool can load the  
configuration data into the ORCA series FPGA evalua-  
tion board from a PC or workstation.  
A configuration data frame follows the ID frame. A data  
frame starts with a 01-start bit pair and ends with  
enough 1-stop bits to reach a byte boundary. If using  
autoincrement configuration mode, subsequent data  
frames can follow. If using explicit mode, one or more  
address frames must follow each data frame, telling the  
FPGA at what addresses the preceding data frame is  
to be stored (each data frame can be sent to multiple  
addresses).  
Alternatively, a user can program a PROM (such as a  
Serial ROM or a standard EPROM) and load the FPGA  
from the PROM. The development system’s PROM  
programming tool produces a file in .mks or .exo for-  
mat.  
Following all data and address frames is the postam-  
ble. The format of the postamble is the same as an  
address frame with the highest possible address value  
with the checksum set to all ones.  
Lucent Technologies Inc.  
89  
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
Configuration Data Format (continued)  
CONFIGURATION DATA  
CONFIGURATION DATA  
0
0 1 0  
0 1  
0 1  
0 0  
PREAMBLE LENGTH  
COUNT  
ID FRAME  
CONFIGURATION  
DATA FRAME 1  
CONFIGURATION  
DATA FRAME 2  
POSTAMBLE  
CONFIGURATION HEADER  
5-5759(F)  
Figure 52. Serial Configuration Data Format—Autoincrement Mode  
CONFIGURATION DATA  
CONFIGURATION DATA  
0
0
1
0
0
1
0 0  
0 1  
0 0  
0 0  
LENGTH  
COUNT  
PREAMBLE  
CONFIGURATION  
DATA FRAME 1  
ADDRESS  
FRAME 1  
CONFIGURATION  
DATA FRAME 2  
ADDRESS  
FRAME 2  
ID FRAME  
POSTAMBLE  
CONFIGURATION HEADER  
5-5760(F)  
Figure 53. Serial Configuration Data Format—Explicit Mode  
Table 32.  
Configuration Frame Format and Contents  
11110010 Preamble  
Header  
24-bit Length Count  
11111111  
Configuration frame length.  
Trailing header—8 bits.  
ID frame header.  
0101 1111 1111 1111  
Configuration Mode  
Reserved [41:0]  
ID  
00 = autoincrement, 01 = explicit.  
Reserved bits set to 0.  
ID Frame  
20-bit part ID.  
Checksum  
8-bit checksum.  
11111111  
Eight stop bits (high) to separate frames.  
Data frame header.  
01  
Configuration  
Data  
Frame  
(repeated for each  
data frame)  
Data Bits  
Number of data bits depends upon device.  
String of 0 bits added to bit stream to make frame header, plus data  
bits reach a byte boundary.  
Alignment Bits = 0  
Checksum  
11111111  
8-bit checksum.  
Eight stop bits (high) to separate frames.  
Address frame header.  
00  
Configuration  
Address  
14 Address Bits  
Checksum  
11111111  
14-bit address of location to start data storage.  
8-bit checksum.  
Frame  
Eight stop bits (high) to separate frames.  
Postamble header.  
00  
Postamble  
11111111 111111  
1111111111111111  
Dummy address.  
16 stop bits.*  
* In MPI configuration mode, the number of stop bits = 32.  
Note: For slave parallel mode, the byte containing the preamble must be 11110010. The number of leading header dummy bits must  
be (n * 8) + 4, where n is any nonnegative integer and the number of trailing dummy bits must be (n * 8), where n is any positive  
integer. The number of stop bits/frame for slave parallel mode must be (x * 8), where x is a positive integer. Note also that the bit  
stream generator tool supplies a bit stream that is compatible with all configuration modes, including slave parallel mode.  
90  
Lucent Technologies Inc.  
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
Configuration Data Format (continued)  
The length and number of data frames and information on the PROM size for the Series 3 FPGAs are given in  
Table 33.  
Table 33.  
Configuration Frame Size  
Devices  
OR3T20  
856  
OR3T30  
984  
OR3C/T55  
1240  
OR3C/T80  
1496  
OR3T125  
1880  
# of Frames  
Data Bits/Frame  
202  
232  
292  
352  
442  
Configuration Data (# of frames x # of data  
bits/frame)  
172,912  
228,288  
362,080  
526,592  
830,960  
Maximum Total # Bits/Frame (align bits, 01  
frame start, 8-bit checksum, 8 stop bits)  
224  
256  
312  
376  
464  
Maximum Configuration Data (# bits/frame  
x # of frames)  
191,744  
191,912  
251,904  
252,072  
386,880  
387,048  
562,496  
562,664  
872,320  
872,488  
Maximum PROM Size (bits)  
(add configuration header and postamble)  
Bit Stream Error Checking  
There are three different types of bit stream error checking performed in the ORCA Series 3 FPGAs:  
ID frame, frame alignment, and CRC checking.  
The ID data frame is sent to a dedicated location in the FPGA. This ID frame contains a unique code for the device  
for which it was generated. This device code is compared to the internal code of the FPGA. Any differences are  
flagged as an ID error. This frame is automatically created by the bit stream generation program in ORCA Foundry.  
Each data and address frame in the FPGA begins with a frame start pair of bits and ends with eight stop bits set to  
1. If any of the previous stop bits were a 0 when a frame start pair is encountered, it is flagged as a frame align-  
ment error.  
Error checking is also done on the FPGA for each frame by means of a checksum byte. If an error is found on eval-  
uation of the checksum byte, then a checksum/parity error is flagged. The checksum is the XOR of all the data  
bytes, from the start of frame up to and including the bytes before the checksum. It applies to the ID, address, and  
data frames.  
When any of the three possible errors occur, the FPGA is forced into an idle state, forcing INIT low. The FPGA will  
remain in this state until either the RESET or PRGM pins are asserted.  
If using either of the MPI modes to configure the FPGA, the specific type of bit stream error is written to one of the  
MPI registers by the FPGA configuration logic. The PGRM bit of the MPI control register can also be used to reset  
out of the error condition and restart configuration.  
Lucent Technologies Inc.  
91  
 
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
FPGA Configuration Modes  
Master Parallel Mode  
The master parallel configuration mode is generally  
used to interface to industry-standard, byte-wide mem-  
ory, such as the 2764 and larger EPROMs. Figure 54  
provides the connections for master parallel mode. The  
FPGA outputs an 18-bit address on A[17:0] to memory  
and reads 1 byte of configuration data on the rising  
edge of RCLK. The parallel bytes are internally serial-  
ized starting with the least significant bit, D0. D[7:0] of  
the FPGA can be connected to D[7:0] of the micropro-  
cessor only if a standard prom file format is used. If a  
There are eight methods for configuring the FPGA.  
Seven of the configuration modes are selected on the  
M0, M1, and M2 inputs. The eighth configuration mode  
is accessed through the boundary-scan interface. A  
fourth input, M3, is used to select the frequency of the  
internal oscillator, which is the source for CCLK in  
some configuration modes. The nominal frequencies of  
the internal oscillator are 1.25 MHz and 10 MHz. The  
1.25 MHz frequency is selected when the M3 input is  
unconnected or driven to a high state.  
ORCA  
.bit or .rbt file is used from  
Foundry, then the  
There are three basic FPGA configuration modes:  
master, slave, and peripheral. The configuration data  
can be transmitted to the FPGA serially or in parallel  
bytes. As a master, the FPGA provides the control sig-  
nals out to strobe data in. As a slave device, a clock is  
generated externally and provided into the CCLK input.  
In the three peripheral modes, the FPGA acts as a  
microprocessor peripheral. Table 34 lists the functions  
of the configuration mode pins. Note that two configura-  
tion modes previously available on the OR2Cxx and  
OR2C/TxxA devices (master parallel down and syn-  
chronous peripheral) have been removed for Series 3  
devices.  
user must mirror the bytes in the .bit or .rbt file OR  
leave the .bit or .rbt file unchanged and connect D[7:0]  
of the FPGA to D[0:7] of the microprocessor.  
DOUT  
CCLK  
TO DAISY-  
CHAINED  
DEVICES  
A[17:0]  
A[17:0]  
D[7:0]  
D[7:0]  
DONE  
ORCA  
SERIES  
FPGA  
EPROM  
OE  
CE  
Table 34.  
Configuration Modes  
PROGRAM  
PRGM  
M2  
HDC  
LDC  
RCLK  
VDD  
Configuration  
Mode  
M2 M1 M0 CCLK  
Data  
VDD OR GND  
M1  
M0  
0
0
0
0
0
1
0
1
0
Output Master Serial  
Input Slave Parallel  
Output Microprocessor:  
Serial  
Parallel  
Parallel  
Figure 54. Master Parallel Configuration Schematic  
Motorola Pow-  
*
erPC  
In master parallel mode, the starting memory address  
is 00000 Hex, and the FPGA increments the address  
for each byte loaded.  
0
1
1
Output Microprocessor:  
Intel i960  
Parallel  
Parallel  
1
1
1
1
0
0
1
1
0
1
0
1
Output Master Parallel  
One master mode FPGA can interface to the memory  
and provide configuration data on DOUT to additional  
FPGAs in a daisy-chain. The configuration data on  
DOUT is provided synchronously with the falling edge  
of CCLK. The frequency of the CCLK output is eight  
times that of RCLK.  
Output Async Peripheral Parallel  
Reserved  
Input  
Slave Serial  
Serial  
Motorola  
*
is a registered trademark of Motorola, Inc.  
92  
Lucent Technologies Inc.  
 
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
configuration, the high on the FPGA's DONE disables  
the serial ROM.  
FPGA Configuration Modes (continued)  
Master Serial Mode  
Serial ROMs can also be cascaded to support the con-  
figuration of multiple FPGAs or to load a single FPGA  
when configuration data requirements exceed the  
capacity of a single serial ROM. After the last bit from  
the first serial ROM is read, the serial ROM outputs  
CEO low and 3-states the DATA output. The next serial  
ROM recognizes the low on CE input and outputs con-  
figuration data on the DATA output. After configuration  
is complete, the FPGA’s DONE output into CE disables  
the serial ROMs.  
In the master serial mode, the FPGA loads the configu-  
ration data from an external serial ROM. The configura-  
tion data is either loaded automatically at start-up or on  
a PRGM command to reconfigure. The ATT1700A  
Series Serial PROMs can be used to configure the  
FPGA in the master serial mode. This provides a sim-  
ple 4-pin interface in a compact package.  
Configuration in the master serial mode can be done at  
powerup and/or upon a configure command. The sys-  
tem or the FPGA must activate the serial ROM's  
RESET/OE and CE inputs. At powerup, the FPGA and  
serial ROM each contain internal power-on reset cir-  
cuitry that allows the FPGA to be configured without  
the system providing an external signal. The power-on  
reset circuitry causes the serial ROM's internal address  
pointer to be reset. After powerup, the FPGA automati-  
cally enters its initialization phase.  
This FPGA/serial ROM interface is not used in applica-  
tions in which a serial ROM stores multiple configura-  
tion programs. In these applications, the next  
configuration program to be loaded is stored at the  
ROM location that follows the last address for the previ-  
ous configuration program. The reason the interface in  
Figure 55 will not work in this application is that the low  
output on the INIT signal would reset the serial ROM  
address pointer, causing the first configuration to be  
reloaded.  
The serial ROM/FPGA interface used depends on such  
factors as the availability of a system reset pulse, avail-  
ability of an intelligent host to generate a configure  
command, whether a single serial ROM is used or mul-  
tiple serial ROMs are cascaded, whether the serial  
ROM contains a single or multiple configuration pro-  
grams, etc. Because of differing system requirements  
and capabilities, a single FPGA/serial ROM interface is  
generally not appropriate for all applications.  
In some applications, there can be contention on the  
FPGA's DIN pin. During configuration, DIN receives  
configuration data, and after configuration, it is a user  
I/O. If there is contention, an early DONE at start-up  
(selected in ORCA Foundry) may correct the problem.  
An alternative is to use LDC to drive the serial ROM's  
CE pin. In order to reduce noise, it is generally better to  
run the master serial configuration at 1.25 MHz (M3 pin  
tied high), rather than 10 MHz, if possible.  
Data is read in the FPGA sequentially from the serial  
ROM. The DATA output from the serial ROM is con-  
nected directly into the DIN input of the FPGA. The  
CCLK output from the FPGA is connected to the CLK  
input of the serial ROM. During the configuration pro-  
cess, CCLK clocks one data bit on each rising edge.  
TO DAISY-  
CHAINED  
DEVICES  
DOUT  
DATA  
CLK  
DIN  
CCLK  
ATT1700A  
Since the data and clock are direct connects, the  
FPGA/serial ROM design task is to use the system or  
FPGA to enable the RESET/OE and CE of the serial  
ROM(s). There are several methods for enabling the  
serial ROM’s RESET/OE and CE inputs. The serial  
ROM’s RESET/OE is programmable to function with  
RESET active-high and OE active-low or RESET active-  
low and OE active-high.  
CE  
DONE  
INIT  
RESET/OE  
CEO  
ORCA  
SERIES  
FPGA  
DATA  
CLK  
PRGM  
ATT1700A  
CE  
M2  
M1  
M0  
In Figure 55, serial ROMs are cascaded to configure  
multiple daisy-chained FPGAs. The host generates a  
500 ns low pulse into the FPGA's PRGM input. The  
FPGA’s INIT input is connected to the serial ROMs’  
RESET/OE input, which has been programmed to  
function with RESET active-low and OE active-high.  
The FPGA DONE is routed to the CE pin. The low on  
DONE enables the serial ROMs. At the completion of  
RESET/OE  
CEO  
TO MORE  
SERIAL ROMs  
AS NEEDED  
PROGRAM  
5-4456.1(F)  
Figure 55. Master Serial Configuration Schematic  
Lucent Technologies Inc.  
93  
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
FPGA Configuration Modes (continued)  
DOUT  
CCLK  
TO DAISY-  
CHAINED  
DEVICES  
Asynchronous Peripheral Mode  
PRGM  
D[7:0]  
8
Figure 56 shows the connections needed for the asyn-  
chronous peripheral mode. In this mode, the FPGA  
system interface is similar to that of a microprocessor-  
peripheral interface. The microprocessor generates the  
control signals to write an 8-bit byte into the FPGA. The  
FPGA control inputs include active-low CS0 and active-  
high CS1 chip selects and WR and RD inputs. The chip  
selects can be cycled or maintained at a static level  
during the configuration cycle. Each byte of data is writ-  
ten into the FPGA’s D[7:0] input pins. D[7:0] of the  
FPGA can be connected to D[7:0] of the microproces-  
sor only if a standard prom file format is used. If a .bit or  
.rbt file is used from ORCA Foundry, then the user must  
mirror the bytes in the .bit or .rbt file OR leave the .bit or  
.rbt file unchanged and connect D[7:0] of the FPGA to  
D[0:7] of the microprocessor.  
RDY/BUSY  
INIT  
DONE  
MICRO-  
PROCESSOR  
ORCA  
ADDRESS  
CS0  
DECODE LOGIC  
CS1 SERIES  
FPGA  
BUS  
CONTROLLER  
RD  
WR  
VDD  
M2  
M1  
M0  
HDC  
LDC  
Figure 56. Asynchronous Peripheral Configuration  
Microprocessor Interface (MPI) Mode  
The FPGA provides an RDY/BUSY status output to indi-  
cate that another byte can be loaded. A low on RDY/  
BUSY indicates that the double-buffered hold/shift reg-  
isters are not ready to receive data, and this pin must  
be monitored to go high before another byte of data  
can be written. The shortest time RDY/BUSY is low  
occurs when a byte is loaded into the hold register and  
the shift register is empty, in which case the byte is  
immediately transferred to the shift register. The long-  
est time for RDY/BUSY to remain low occurs when a  
byte is loaded into the holding register and the shift  
register has just started shifting configuration data into  
configuration RAM.  
The built-in MPI in Series 3 FPGAs is designed for use  
in configuring the FPGA. Figure 57 and Figure 58 show  
the glueless interface for FPGA configuration and read-  
back from the PowerPC and i960 processors, respec-  
tively. When enabled by the mode pins, the MPI  
handles all configuration/readback control and hand-  
shaking with the host processor. For single FPGA con-  
figuration, the host sets the configuration control  
register PRGM bit to zero then back to a one and, after  
reading that the INIT signal is high in the MPI status  
register, transfers data 8 bits at a time to the FPGA’s  
D[7:0] input pins.  
If configuring multiple FPGAs through daisy-chain  
operation is desired, the MP_DAISY bit must be set in  
the configuration control register of the MPI. Because  
of the latency involved in a daisy-chain configuration,  
the MP_HOLD_BUS bit may be set to zero rather than  
one for daisy-chain operation. This allows the MPI to  
acknowledge the data transfer before the configuration  
information has been serialized and transferred on the  
FPGA daisy-chain. The early acknowledgment frees  
the host processor to perform other system tasks. Con-  
figuring with the MP_HOLD_BUS bit at zero requires  
that the host microprocessor poll the RDY/BUSY bit of  
the MPI status register and/or use the MPI interrupt  
capability to confirm the readiness of the MPI for more  
configuration data.  
The RDY/BUSY status is also available on the D7 pin by  
enabling the chip selects, setting WR high, and apply-  
ing RD low, where the RD input provides an output  
enable for the D7 pin when RD is low. The D[6:0] pins  
are not enabled to drive when RD is low and, therefore,  
only act as input pins in asynchronous peripheral  
mode. Optionally, the user can ignore the RDY/BUSY  
status and simply wait until the maximum time it would  
take for the RDY/BUSY line to go high, indicating the  
FPGA is ready for more data, before writing the next  
data byte.  
94  
Lucent Technologies Inc.  
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
Configuration readback can also be performed via the  
MPI when it is in user mode. The MPI is enabled in user  
mode by setting the MP_USER bit to 1 in the configura-  
tion control register prior to the start of configuration or  
through a configuration option. To perform readback,  
the host processor writes the 14-bit readback start  
address to the readback address registers and sets the  
RD_CFG bit to 0 in the configuration control register.  
Readback data is returned 8 bits at a time to the read-  
back data register and is valid when the DATA_RDY bit  
of the status register is 1. There is no error checking  
during readback. A flow chart of the MPI readback  
operation is shown in Figure 60. The RD_DATA pin  
used for dedicated FPGA readback is invalid during  
MPI readback.  
FPGA Configuration Modes (continued)  
There are two options for using the host interrupt  
request in configuration mode. The configuration con-  
trol register offers control bits to enable the interrupt on  
either a bit stream error or to notify the host processor  
when the FPGA is ready for more configuration data.  
The MPI status register may be used in conjunction  
with, or in place of, the interrupt request options. The  
status register contains a 2-bit field to indicate the bit  
stream error status. As previously mentioned, there is  
also a bit to indicate the MPI’s readiness to receive  
another byte of configuration data. A flow chart of the  
MPI configuration process is shown in Figure 59. The  
MPI status and configuration register bit maps can be  
found in the Special Function Blocks section and MPI  
configuration timing information is available in the Tim-  
ing Characteristics section of this data sheet.  
POWER ON WITH  
VALID M[3:0]  
TO DAISY-  
DOUT  
CHAINED  
CCLK  
DEVICES  
8
D[7:0]  
A[27:31]  
CLKOUT  
RD/WR  
TA  
D[7:0]  
A[4:0]  
WRITE CONFIGURATION  
CONTROL REGISTER BITS  
MPI_CLK  
MPI_RW  
MPI_ACK  
MPI_BI  
MPI_IRQ  
MPI_STRB  
CS0  
ORCA  
SERIES 3  
FPGA  
POWERPC  
READ STATUS REGISTER  
BI  
IRQx  
TS  
A26  
A25  
DONE  
INIT  
NO  
INIT = 1?  
CS1  
HDC  
LDC  
YES  
5-5761(F)  
READ STATUS REGISTER  
Note: FPGA shown as a memory-mapped peripheral using CS0 and  
CS1. Other decoding schemes are possible using CS0 and/or  
CS1.  
YES  
DONE  
DONE = 1?  
Figure 57. PowerPC/MPI Configuration Schematic  
NO  
BIT STREAM ERROR?  
NO  
i960 SYSTEM CLOCK  
YES  
ERROR  
8
TO DAISY-  
CHAINED  
DEVICES  
DOUT  
CCLK  
AD[7:0]  
D[7:0]  
CLKIN  
W/R  
MPI_CLK  
MPI_RW  
MPI_ACK  
MPI_IRQ  
RDYRCV  
XINTx  
ALE  
NO  
ORCA  
SERIES 3  
FPGA  
DATA_RDY = 1?  
YES  
MPI_ALE  
MPI_STRB  
MPI_BE0  
MPI_BE1  
i960  
ADS  
BE0  
BE1  
V
DD  
DONE  
INIT  
HDC  
LDC  
WRITE DATA TO  
CONFIGURATION DATA REG  
CS1  
CS0  
5-5762(F)  
5-5763(F)  
Note: FPGA shown as only system peripheral with fixed chip select  
signals. For multiperipheral systems, address decoding and/  
or latching can be used to implement chip selects.  
Figure 59. Configuration Through MPI  
Figure 58. i960/MPI Configuration Schematic  
Lucent Technologies Inc.  
95  
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
FPGA Configuration Modes (continued)  
ENABLE MICROPROCESSOR  
INTERFACE IN USER MODE  
SET READBACK ADDRESS  
WRITE RD_CFG TO 0  
IN CONTROL REGISTER 1  
READ STATUS REGISTER  
DATA_RDY = 1?  
NO  
YES  
READ DATA REGISTER  
NO  
ERROR  
DATA = 0xFF?  
YES  
READ DATA REGISTER  
NO  
ERROR  
DATA = 0xFF?  
YES  
READ DATA REGISTER  
NO  
START OF FRAME  
FOUND?  
ERROR  
YES  
READ UNTIL END OF FRAME  
WRITE RD_CFG  
YES  
NO  
FINISHED  
READBACK?  
TO 1 IN  
CONTROL  
REGISTER 1  
STOP  
5-5764(F)  
Figure 60. Readback Through MPI  
96  
Lucent Technologies Inc.  
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
Slave Parallel Mode  
FPGA Configuration Modes (continued)  
The slave parallel mode is essentially the same as the  
slave serial mode except that 8 bits of data are input on  
pins D[7:0] for each CCLK cycle. Due to 8 bits of data  
being input per CCLK cycle, the DOUT pin does not  
contain a valid bit stream for slave parallel mode. As a  
result, the lead device cannot be used in the slave  
parallel mode in a daisy-chain configuration.  
Slave Serial Mode  
The slave serial mode is primarily used when multiple  
FPGAs are configured in a daisy-chain (see the Daisy-  
Chaining section). It is also used on the FPGA evalua-  
tion board that interfaces to the download cable. A  
device in the slave serial mode can be used as the lead  
device in a daisy-chain. Figure 61 shows the connec-  
tions for the slave serial configuration mode.  
Figure 62 is a schematic of the connections for the  
slave parallel configuration mode. WR and CS0 are  
active-low chip select signals, and CS1 is an active-  
high chip select signal. These chip selects allow the  
user to configure multiple FPGAs in slave parallel  
mode using an 8-bit data bus common to all of the  
FPGAs. These chip selects can then be used to select  
the FPGA(s) to be configured with a given bit stream.  
The chip selects must be active for each valid CCLK  
cycle until the device has been completely pro-  
grammed. They can be inactive between cycles but  
must meet the setup and hold times for each valid pos-  
itive CCLK. D[7:0] of the FPGA can be connected to  
D[7:0] of the microprocessor only if a standard prom  
file format is used. If a .bit or .rbt file is used from  
ORCA Foundry, then the user must mirror the bytes in  
the .bit or .rbt file OR leave the .bit or .rbt file  
The configuration data is provided into the FPGA’s DIN  
input synchronous with the configuration clock CCLK  
input. After the FPGA has loaded its configuration data,  
it retransmits the incoming configuration data on  
DOUT. CCLK is routed into all slave serial mode  
devices in parallel.  
Multiple slave FPGAs can be loaded with identical con-  
figurations simultaneously. This is done by loading the  
configuration data into the DIN inputs in parallel.  
TO DAISY-  
CHAINED  
DEVICES  
DOUT  
unchanged and connect D[7:0] of the FPGA to D[0:7]  
of the microprocessor.  
INIT  
ORCA  
SERIES  
FPGA  
MICRO-  
PROCESSOR  
OR  
DOWNLOAD  
CABLE  
PRGM  
DONE  
CCLK  
DIN  
8
D[7:0]  
DONE  
INIT  
ORCA  
SERIES  
FPGA  
CCLK  
MICRO-  
PROCESSOR  
OR  
VDD  
PRGM  
M2  
M1  
M0  
VDD  
HDC  
LDC  
SYSTEM  
CS1  
CS0  
WR  
5-4485(F)  
Figure 61. Slave Serial Configuration Schematic  
M2  
M1  
M0  
HDC  
LDC  
5-4487(F)  
Figure 62. Slave Parallel Configuration Schematic  
Lucent Technologies Inc.  
97  
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
The loading of configuration data continues after the  
lead device has received its configuration data if its  
internal frame bit counter has not reached the length  
count. When the configuration RAM is full and the num-  
ber of bits received is less than the length count field,  
the FPGA shifts any additional data out on DOUT.  
FPGA Configuration Modes (continued)  
Daisy-Chaining  
Multiple FPGAs can be configured by using a daisy-  
chain of the FPGAs. Daisy-chaining uses a lead FPGA  
and one or more FPGAs configured in slave serial  
mode. The lead FPGA can be configured in any mode  
except slave parallel mode. (Daisy-chaining is available  
with the boundary-scan ram_w instruction discussed  
later.)  
The configuration data is read into DIN of slave devices  
on the positive edge of CCLK, and shifted out DOUT  
on the negative edge of CCLK. Figure 63 shows the  
connections for loading multiple FPGAs in a daisy-  
chain configuration.  
All daisy-chained FPGAs are connected in series.  
Each FPGA reads and shifts the preamble and length  
count in on positive CCLK and out on negative CCLK  
edges.  
The generation of CCLK for the daisy-chained devices  
that are in slave serial mode differs depending on the  
configuration mode of the lead device. A master paral-  
lel mode device uses its internal timing generator to  
produce an internal CCLK at eight times its memory  
address rate (RCLK). The asynchronous peripheral  
mode device outputs eight CCLKs for each write cycle.  
If the lead device is configured in slave mode, CCLK  
must be routed to the lead device and to all of the  
daisy-chained devices.  
An upstream FPGA that has received the preamble  
and length count outputs a high on DOUT until it has  
received the appropriate number of data frames so that  
downstream FPGAs do not receive frame start bit  
pairs. After loading and retransmitting the preamble  
and length count to a daisy-chain of slave devices, the  
lead device loads its configuration data frames.  
CCLK  
CCLK  
CCLK  
DIN  
DOUT  
DIN  
DOUT  
A[17:0]  
DOUT  
A[17:0]  
EPROM  
ORCA  
SERIES  
FPGA  
ORCA  
SERIES  
FPGA  
ORCA  
SERIES  
FPGA  
D[7:0]  
D[7:0]  
DONE  
MASTER  
SLAVE #1  
SLAVE #2  
VDD  
OE  
CE  
DONE  
PRGM  
DONE  
PRGM  
PRGM  
VDD  
INIT  
INIT  
VDD  
INIT  
PROGRAM  
VDD  
VDD OR  
GND  
M2  
M1  
M0  
HDC  
LDC  
RCLK  
HDC  
LDC  
RCLK  
VDD  
M2  
M1  
M0  
M2  
M1  
M0  
HDC  
LDC  
RCLK  
5-4488(F  
Figure 63. Daisy-Chain Configuration Schematic  
As seen in Figure 63, the INIT pins for all of the FPGAs are connected together. This is required to guarantee that  
powerup and initialization will work correctly. In general, the DONE pins for all of the FPGAs are also connected  
together as shown to guarantee that all of the FPGAs enter the start-up state simultaneously. This may not be  
required, depending upon the start-up sequence desired.  
98  
Lucent Technologies Inc.  
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
FPGA Configuration Modes (continued)  
Daisy-Chaining with Boundary Scan  
Multiple FPGAs can be configured through the JTAG ports by using a daisy-chain of the FPGAs. This daisy-chain-  
ing operation is available upon initial configuration after powerup, after a power-on reset, after pulling the program  
pin to reset the chip, or during a reconfiguration if the EN_JTAG RAM has been set.  
All daisy-chained FPGAs are connected in series. Each FPGA reads and shifts the preamble and length count in  
on the positive TCK and out on the negative TCK edges.  
An upstream FPGA that has received the preamble and length count outputs a high on TDO until it has received  
the appropriate number of data frames so that downstream FPGAs do not receive frame start bit pairs. After load-  
ing and retransmitting the preamble and length count to a daisy-chain of downstream devices, the lead device  
loads its configuration data frames.  
The loading of configuration data continues after the lead device had received its configuration read into TDI of  
downstream devices on the positive edge of TCK, and shifted out TDO on the negative edge of TCK. Figure 63  
shows the connections for loading multiple FPGAs in a JTAG daisy-chain configuration.  
Lucent Technologies Inc.  
99  
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
Absolute Maximum Ratings  
Stresses in excess of the absolute maximum ratings can cause permanent damage to the device. These are abso-  
lute stress ratings only. Functional operation of the device is not implied at these or any other conditions in excess  
of those given in the operations sections of this data sheet. Exposure to absolute maximum ratings for extended  
periods can adversely affect device reliability.  
The ORCA Series FPGAs include circuitry designed to protect the chips from damaging substrate injection cur-  
rents and to prevent accumulations of static charge. Nevertheless, conventional precautions should be observed  
during storage, handling, and use to avoid exposure to excessive electrical stress.  
Table 35. Absolute Maximum Ratings  
Parameter  
Storage Temperature  
Symbol  
Min  
–65  
–0.5  
–0.5  
–0.5  
Max  
150  
7.0  
Unit  
°C  
V
stg  
T
DD  
V
Supply Voltage with Respect to Ground  
Input Signal with Respect to Ground  
Signal Applied to High-impedance Output  
Maximum Package Body Temperature  
DD  
V
V
+ 0.3  
+ 0.3  
V
DD  
V
220  
°C  
Recommended Operating Conditions  
Table 36. Recommended Operating Conditions  
OR3Cxx  
OR3Txxx  
Temperature  
Range  
(Ambient)  
Temperature  
Range  
Mode  
Supply Voltage  
Supply Voltage  
DD  
(V  
DD  
)
)
(V  
(Ambient)  
Commercial  
Industrial  
0 °C to 70 °C  
5 V ± 5%  
0 °C to 70 °C  
3.0 V to 3.6 V  
3.0 V to 3.6 V  
–40 °C to +85 °C  
5 V ± 10%  
–40 °C to +85 °C  
Note: The maximum recommended junction temperature (TJ) during operation is 125 °C.  
100  
Lucent Technologies Inc.  
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
Electrical Characteristics  
Table 37.  
Electrical Characteristics  
OR3Cxx Commercial: = 5.0 V ± 5%, 0 °C < TA < 70 °C; Industrial:  
= 5.0 V ± 10%, –40 °C < TA < +85 °C.  
= 3.0 V to 3.6 V, 40 °C < TA < +85 °C.  
VDD  
VDD  
VDD  
= 3.0 V to 3.6 V, 0 °C < TA < 70 °C; Industrial:  
OR3Txxx Commercial:  
VDD  
OR3Cxx  
Min Max  
OR3Txxx  
Min Max  
Sym-  
bol  
Parameter  
Test Conditions  
Unit  
Input Voltage:  
High  
Low  
Input configured as CMOS  
(includes OR3Txxx)  
50% VDD VDD + 0.5 50% VDD VDD + 0.5  
GND – 0.5 20% VDD GND – 0.5 30% VDD  
V
V
VIH  
VIL  
Input Voltage:  
High  
Low  
OR3Txxx 5 V Tolerant  
50% VDD  
5.8 V  
VIH  
VIL  
V
V
GND – 0.5 30% VDD  
Input Voltage:  
High  
Low  
Input configured as TTL  
(not valid for OR3Txxx)  
VIH  
VIL  
2.0  
–0.5  
VDD +  
0.8  
0.3  
V
V
Output Voltage:  
High  
Low  
VOH  
VOL  
VDD = min, IOH = 6 mA or 3 mA  
VDD = min, IOL = 12 mA or 6 mA  
2.4  
0.4  
2.4  
0.4  
V
V
Input Leakage Current  
IL  
VDD = max, VIN = VSS or VDD  
–10  
10  
–10  
10  
µA  
Standby Current:  
OR3T20  
OR3T30  
OR3C/T55  
OR3C/T80  
OR3T125  
IDDSB  
OR3Cxx (TA = 25 °C,  
VDD = 5.0 V)  
OR3Txxx (TA = 25 °C,  
VDD = 3.3 V)  
4.06  
4.56  
4.70  
4.90  
5.30  
5.80  
6.70  
mA  
mA  
mA  
mA  
mA  
internal oscillator running, no out-  
put loads, inputs VDD or GND  
(after configuration)  
Standby Current:  
OR3T20  
OR3T30  
OR3C/T55  
OR3C/T80  
OR3T125  
IDDSB  
OR3Cxx (TA = 25 °C,  
VDD = 5.0 V)  
OR3Txxx (TA = 25 °C,  
VDD = 3.3 V)  
3.05  
3.42  
3.52  
3.68  
3.98  
4.35  
5.02  
mA  
mA  
mA  
mA  
mA  
internal oscillator stopped, no  
output loads, inputs VDD or GND  
(after configuration)  
Powerup Current:  
OR3T20  
OR3T30  
OR3C/T55  
OR3C/T80  
OR3T125  
Ipp  
Power supply current @ approxi-  
mately 1 V, within a recommended  
power supply ramp rate of  
1 ms—200 ms  
3.2  
5.4  
1.2  
1.6  
2.7  
4.0  
6.5  
mA  
mA  
mA  
mA  
mA  
Data Retention Voltage  
Input Capacitance  
VDR  
CIN  
TA = 25 °C  
2.3  
9
2.3  
8
V
OR3Cxx (TA = 25 °C,  
VDD = 5.0 V)  
pF  
OR3Txxx (TA = 25 °C,  
VDD = 3.3 V)  
Test frequency = 1 MHz  
Output Capacitance  
COUT  
OR3Cxx (TA = 25 °C,  
VDD = 5.0 V)  
9
8
pF  
OR3Txxx (TA = 25 °C,  
VDD = 3.3 V)  
Test frequency = 1 MHz  
Lucent Technologies Inc.  
101  
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
Electrical Characteristics (continued)  
Table 37. Electrical Characteristics (continued)  
OR3Cxx Commercial:  
= 5.0 V ± 5%, 0 °C < TA < 70 °C; Industrial:  
= 5.0 V ± 10%, –40 °C < TA < +85 °C.  
VDD  
VDD  
OR3Txxx Commercial:  
= 3.0 V to 3.6 V, 0 °C < TA < 70 °C; Industrial:  
= 3.0 V to 3.6 V, 40 °C < TA < +85 °C.  
VDD  
VDD  
OR3Cxx  
OR3Txxx  
Parameter  
Symbol  
Test Conditions  
Unit  
Min  
Max  
Min  
Max  
DONE Pull-up  
Resistor*  
RDONE  
RM  
100  
100  
100  
14.4  
k
k
M[3:0] Pull-up  
Resistors*  
100  
I/O Pad Static Pull-up  
Current*  
IPU  
OR3Cxx (VDD = 5.25 V,  
VIN = VSS, TA = 0 °C)  
OR3Txxx (VDD = 3.6 V,  
VIN = VSS, TA = 0 °C)  
14.4  
50.9  
50.9  
µA  
I/O Pad Static  
Pull-down Current  
IPD  
OR3Cxx (VDD = 5.25 V,  
VIN = VSS, TA = 0 °C)  
OR3Txxx (VDD = 3.6 V,  
VIN = VSS, TA = 0 °C)  
26  
103  
26  
103  
µA  
I/O Pad Pull-up  
Resistor*  
RPU  
RPD  
VDD = all, VIN = VSS, TA = 0 °C  
100  
50  
100  
50  
k
I/O Pad Pull-down  
Resistor  
VDD = all, VIN = VDD, TA = 0 °C  
k
* On the OR3Txxx devices, the pull-up resistor will externally pull the pin to a level 1.0 V below VDD.  
Note: For 3T devices driven to 5 V.  
102  
Lucent Technologies Inc.  
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
mercial and industrial devices. Table 40 provides the  
same information for the OR3Txxx devices (both com-  
mercial and industrial). The delay values in this data  
sheet and reported by ORCA Foundry are shown as  
1.00 in the tables. The method for determining the  
maximum junction temperature is defined in the Pack-  
age Thermal Characteristics section. Taken cumula-  
tively, the range of parameter values for best-case vs.  
worst-case processing, supply voltage, and junction  
temperature can approach 3 to 1.  
Timing Characteristics  
Description  
To define speed grades, the ORCA Series part number  
designation (see Ordering Information) uses a single-  
digit number to designate a speed grade. This number  
is not related to any single ac parameter. Higher num-  
bers indicate a faster set of timing parameters. The  
actual speed sorting is based on testing the delay in a  
path consisting of an input buffer, combinatorial delay  
through all PLCs in a row, and an output buffer. Other  
tests are then done to verify other delay parameters,  
such as routing delays, setup times to FFs, etc.  
Table 38  
. Derating for Commercial Devices  
(OR3Cxx)  
Power Supply Voltage  
J
T
The most accurate timing characteristics are reported  
by the timing analyzer in the ORCA Foundry Develop-  
ment System. A timing report provided by the develop-  
ment system after layout divides path delays into logic  
and routing delays. The timing analyzer can also pro-  
vide logic delays prior to layout. While this allows rout-  
ing budget estimates, there is wide variance in routing  
delays associated with different layouts.  
(°C)  
4.75 V  
5.0 V  
5.25 V  
0
25  
85  
100  
125  
0.81  
0.85  
1.00  
1.05  
1.12  
0.79  
0.83  
0.97  
1.02  
1.09  
0.77  
0.81  
0.95  
1.00  
1.07  
Table 39  
. Derating for Industrial Devices (OR3Cxx)  
The logic timing parameters noted in the Electrical  
Characteristics section of this data sheet are the same  
as those in the design tools. In the PFU timing given in  
Table 41—Table 48, symbol names are generally a  
concatenation of the PFU operating mode (as defined  
in Table 3) and the parameter type. The setup, hold,  
and propagation delay parameters, defined below, are  
designated in the symbol name by the SET, HLD, and  
DEL characters, respectively.  
Power Supply Voltage  
J
T
(°C)  
4.5 V 4.75 V 5.0 V 5.25 V 5.5 V  
–40  
0
25  
85  
100  
125  
0.71  
0.80  
0.84  
1.00  
1.05  
1.12  
0.70  
0.78  
0.82  
0.97  
1.01  
1.09  
0.68  
0.76  
0.80  
0.94  
0.99  
1.06  
0.66  
0.74  
0.78  
0.93  
0.97  
1.04  
0.65  
0.73  
0.77  
0.91  
0.95  
1.02  
The values given for the parameters are the same as  
those used during production testing and speed bin-  
ning of the devices. The junction temperature and sup-  
ply voltage used to characterize the devices are listed  
in the delay tables. Actual delays at nominal tempera-  
ture and voltage for best-case processes can be much  
better than the values given.  
Table 40.  
Derating for Commercial/Industrial  
Devices (OR3Txxx)  
Power Supply Voltage  
J
T
(°C)  
3.0 V  
3.3 V  
3.6 V  
–40  
0
25  
85  
100  
125  
0.73  
0.82  
0.87  
1.00  
1.04  
1.10  
0.66  
0.73  
0.78  
0.90  
0.94  
1.00  
0.61  
0.68  
0.72  
0.83  
0.87  
0.92  
It should be noted that the junction temperature used in  
the tables is generally 85 °C. The junction temperature  
for the FPGA depends on the power dissipated by the  
device, the package thermal characteristics (ΘJA), and  
the ambient temperature, as calculated in the following  
equation and as discussed further in the Package  
Thermal Characteristics section:  
Note: The derating tables shown above are for a typical critical path  
that contains 33% logic delay and 66% routing delay. Since the  
routing delay derates at a higher rate than the logic delay, paths  
with more than 66% routing delay will derate at a higher rate  
than shown in the table. The approximate derating values vs.  
temperature are 0.26% per °C for logic delay and 0.45% per °C  
for routing delay. The approximate derating values vs. voltage  
are 0.13% per mV for both logic and routing delays at 25 °C.  
ΘJA  
) °C  
Jmax = Amax  
T
T
+ (P •  
Note: The user must determine this junction tempera-  
ture to see if the delays from ORCA Foundry  
should be derated based on the following derat-  
ing tables.  
Table 38 and Table 39 provide approximate power sup-  
ply and junction temperature derating for OR3Cxx com-  
Lucent Technologies Inc.  
103  
 
 
 
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
The waveform test points are given in the Input/Output  
Buffer Measurement Conditions section of this data  
sheet. The timing parameters given in the electrical  
characteristics tables in this data sheet follow industry  
practices, and the values they reflect are described  
below.  
Timing Characteristics (continued)  
In addition to supply voltage, process variation, and  
operating temperature, circuit and process improve-  
ments of the ORCA Series FPGAs over time will result  
in significant improvement of the actual performance  
over those listed for a speed grade. Even though lower  
speed grades may still be available, the distribution of  
yield to timing parameters may be several speed  
grades higher than that designated on a product brand.  
Design practices need to consider best-case timing  
parameters (e.g., delays = 0), as well as worst-case  
timing.  
Propagation Delay—The time between the specified  
reference points. The delays provided are the worst  
case of the tphh and tpll delays for noninverting func-  
tions, tplh and tphl for inverting functions, and tphz and  
tplz for 3-state enable.  
Setup Time—The interval immediately preceding the  
transition of a clock or latch enable signal, during which  
the data must be stable to ensure it is recognized as  
the intended value.  
The routing delays are a function of fan-out and the  
capacitance associated with the CIPs and metal inter-  
connect in the path. The number of logic elements that  
can be driven (fan-out) by PFUs is unlimited, although  
the delay to reach a valid logic level can exceed timing  
requirements. It is difficult to make accurate routing  
delay estimates prior to design compilation based on  
fan-out. This is because the CAE software may delete  
redundant logic inserted by the designer to reduce fan-  
out, and/or it may also automatically reduce fan-out by  
net splitting.  
Hold Time—The interval immediately following the  
transition of a clock or latch enable signal, during which  
the data must be held stable to ensure it is recognized  
as the intended value.  
3-State Enable—The time from when a 3-state control  
signal becomes active and the output pad reaches the  
high-impedance state.  
PFU Timing  
Table 41. Combinatorial PFU Timing Characteristics  
DD = 5.0 V ± 5%, 0 °C < TA < 70 °C; Industrial: VDD = 5.0 V ± 10%, –40 °C < TA < +85 °C.  
OR3Cxx Commercial: V  
OR3Txxx Commercial: V  
<
<
<
<
A
T +85 °C.  
DD  
A
T
DD  
= 3.0 V to 3.6 V, 0 °C  
70 °C; Industrial: V  
= 3.0 V to 3.6 V, 40 °C  
Speed  
Parameter  
Symbol  
Unit  
-4  
-5  
-6  
-7  
Min Max Min Max Min Max Min Max  
J
DD  
= min):  
Combinatorial Delays (T = +85 °C, V  
Four-input Variables (Kz[3:0] to F[z])*  
F4_DEL  
F5_DEL  
SWL2_DEL  
SWL2F5_DEL  
SWL3_DEL  
2.34  
2.11  
4.87  
4.69  
6.93  
6.89  
3.47  
1.80  
1.57  
3.66  
3.51  
5.15  
5.08  
2.65  
1.32  
1.23  
2.58  
2.48  
3.63  
3.54  
1.79  
1.05  
0.99  
2.03  
1.94  
2.82  
2.75  
1.43  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
Five-input Variables (F5[A:D] to F[0, 2, 4, 6])  
Two-level LUT Delay (Kz[3:0] to F w/feedbk)*  
Two-level LUT Delay (F5[A:D] to F w/feedbk)  
Three-level LUT Delay (Kz[3:0] to F w/feedbk)*  
Three-level LUT Delay (F5[A:D] to F w/feedbk) SWL3F5_DEL  
IN  
C
OUT  
to C Delay (logic mode)  
CO_DEL  
* Four-input variables’ (KZ[3:0]) path delays are valid for LUTs in both F4 (four-input LUT) and F5 (five-input LUT) modes.  
104  
Lucent Technologies Inc.  
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
Timing Characteristics (continued)  
FDBK–DEL  
PFU  
F[7:0]  
F4_DEL  
LUT  
8
4
KZ[3:0]  
F[6, 4,  
2, 0]  
F5–DEL  
LUT  
KZ[3:0], F5[A:D]  
F4_DEL/  
F5_DEL  
LUT  
F[7:0]  
F4_DEL/  
LUT  
KZ[3:0]  
SWL2_DEL  
F4_DEL/  
F5_DEL  
LUT  
F[7:0]  
OMUX_DEL  
O[9:0]  
F4_DEL/  
F5_DEL  
LUT  
F4_DEL/  
LUT  
KZ[3:0]  
SWL3_DEL  
F4_DEL/  
F5_DEL  
LUT  
F[7:0]  
F4_DEL/  
F5_DEL  
LUT  
F5[A:D]  
SWL2F5_DEL  
F4_DEL/  
F5_DEL  
LUT  
F[7:0]  
F4_DEL/  
F5_DEL  
LUT  
F4_DEL/  
F5_DEL  
LUT  
F5[A:D]  
SWL3F5_DEL  
Note: See Table 46 for an explanation of FDBK_DEL and OMUX_DEL.  
5-5751(F)  
Figure 64. Combinatorial PFU Timing  
Lucent Technologies Inc.  
105  
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
Timing Characteristics (continued)  
Table 42.  
Sequential PFU Timing Characteristics  
OR3Cxx Commercial: VDD = 5.0 V ± 5%, 0 °C < TA < 70 °C; Industrial: VDD = 5.0 V ± 10%, –40 °C < TA < +85 °C.  
< TA <  
VDD  
< TA <  
= 3.0 V to 3.6 V, 40 °C +85 °C.  
OR3Txxx Commercial: VDD = 3.0 V to 3.6 V, 0 °C  
70 °C; Industrial:  
Speed  
Parameter  
Symbol  
Unit  
-4  
-5  
-6  
-7  
Min Max Min Max Min Max Min Max  
Input Requirements  
Clock Low Time  
CLKL_MPW  
CLKH_MPW  
GSR_MPW  
LSR_MPW  
3.36  
1.61  
3.36  
3.36  
2.07  
1.06  
2.07  
2.07  
0.94  
0.54  
0.94  
0.94  
0.72  
0.45  
0.72  
0.72  
ns  
ns  
ns  
ns  
Clock High Time  
Global S/R Pulse Width (GSRN)  
Local S/R Pulse Width  
J
DD  
Combinatorial Setup Times (T = +85 °C, V = min):  
Four-input Variables to Clock (Kz[3:0] to CLK)*  
Five-input Variables to Clock (F5[A:D] to CLK)  
Data In to Clock (DIN[7:0] to CLK)  
F4_SET  
F5_SET  
1.99  
1.79  
0.47  
1.25  
2.86  
1.68  
1.86  
1.37  
3.98  
4.06  
6.49  
6.39  
1.47  
1.33  
0.32  
0.99  
2.15  
1.30  
1.36  
1.00  
2.99  
2.97  
4.81  
4.73  
1.08  
1.03  
0.18  
0.71  
1.80  
0.95  
0.86  
0.92  
2.13  
2.29  
3.42  
3.34  
0.85  
0.81  
0.16  
0.58  
1.37  
0.77  
0.68  
0.70  
1.63  
1.68  
2.64  
2.57  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
DIN_SET  
CINDIR_SET  
CE1_SET  
Carry-in to Clock, DIRECT to REGCOUT (CIN to CLK)  
Clock Enable to Clock (CE to CLK)  
Clock Enable to Clock (ASWE to CLK)  
Local Set/Reset to Clock (SYNC) (LSR to CLK)  
Data Select to Clock (SEL to CLK)  
Two-level LUT to Clock (Kz[3:0] to CLK w/feedbk)*  
Two-level LUT to Clock (F5[A:D] to CLK w/feedbk)  
Three-level LUT to Clock (Kz[3:0] to CLK w/feedbk)*  
Three-level LUT to Clock (F5[A:D] to CLK w/feedbk)  
CE2_SET  
LSR_SET  
SEL_SET  
SWL2_SET  
SWL2F5_SET  
SWL3_SET  
SWL3F5_SET  
J
DD  
Combinatorial Hold Times (T = all, V = all):  
DIN_HLD  
Data In (DIN[7:0] from CLK)  
Carry-in from Clock, DIRECT to REGCOUT (CIN from  
CLK)  
0.00  
0.00  
0.00  
0.00  
0.00  
0.00  
0.00  
0.00  
ns  
ns  
CINDIR_HLD  
CE1_HLD  
CE2_HLD  
LSR_HLD  
SEL_HLD  
Clock Enable (CE from CLK)  
0.00  
0.00  
0.00  
0.00  
0.00  
0.00  
0.00  
0.00  
0.00  
0.00  
0.00  
0.00  
0.00  
0.00  
0.00  
0.00  
0.00  
0.00  
0.00  
0.00  
ns  
ns  
ns  
ns  
ns  
Clock Enable from Clock (ASWE from CLK)  
Local Set/Reset from Clock (sync) (LSR from CLK)  
Data Select from Clock (SEL from CLK)  
All Others  
Output Characteristics  
J
DD  
Sequential Delays (T = +85 °C, V = min):  
Local S/R (async) to PFU Out (LSR to Q[7:0], REG-  
COUT)  
LSR_DEL  
7.02  
5.29  
3.64  
2.90 ns  
GSR_DEL  
REG_DEL  
Global S/R to PFU Out (GSRN to Q[7:0], REGCOUT)  
Clock to PFU Out—Register (CLK to Q[7:0], REG-  
COUT)  
5.21  
2.38  
3.90  
1.75  
2.55  
1.26  
2.00 ns  
0.97 ns  
LTCH_DEL  
Clock to PFU Out—Latch (CLK to Q[7:0])  
Transparent Latch (DIN[7:0] to Q[7:0])  
2.51  
2.73  
1.88  
2.10  
1.21  
1.38  
0.96 ns  
1.12 ns  
LTCHD_DEL  
* Four-input variables’ (KZ[3:0]) setup times are valid for LUTs in both F4 (four-input LUT) and F5 (five-input LUT) modes.  
ORCA  
Note: The table shows worst-case delays.  
Foundry reports the delays for individual paths within a group of paths representing the same  
timing parameter and may accurately report delays that are less than those listed.  
106  
Lucent Technologies Inc.  
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
Timing Characteristics (continued)  
Table 43. Ripple Mode PFU Timing Characteristics  
OR3Cxx Commercial: VDD = 5.0 V ± 5%, 0 °C < TA < 70 °C; Industrial: VDD = 5.0 V ± 10%, –40 °C < TA < +85 °C.  
VDD  
< TA <  
VDD  
< TA <  
+85 °C.  
OR3Txxx Commercial:  
= 3.0 V to 3.6 V, 0 °C  
70 °C; Industrial:  
= 3.0 V to 3.6 V, –40 °C  
Speed  
Parameter  
Symbol  
Unit  
-4  
-5  
-6  
-7  
J
DD  
(T = +85 °C, V = min)  
Min Max Min Max Min Max Min Max  
Full Ripple Setup Times (byte wide):  
RIP_SET  
FRIP_SET  
FCIN_SET  
CIN_SET  
AS_SET  
Operands to Clock (Kz[1:0] to CLK)  
Bitwise Operands to Clock (Kz[1:0] to CLK at F[z])  
Fast Carry-in to Clock (FCIN to CLK)  
Carry-in to Clock (CIN to CLK)  
Add/Subtract to Clock (ASWE to CLK)  
Operands to Clock (Kz[1:0] to CLK at REGCOUT)  
Fast Carry-in to Clock (FCIN to CLK at REGCOUT)  
Carry-in to Clock (CIN to CLK at REGCOUT)  
Add/Subtract to Clock (ASWE to CLK at REGCOUT)  
3.50  
1.99  
2.55  
3.80  
8.82  
2.09  
2.29  
3.09  
8.14  
2.50  
1.47  
1.87  
2.79  
6.18  
1.61  
1.76  
2.36  
5.73  
1.96  
1.08  
1.34  
1.97  
4.68  
1.19  
1.28  
1.73  
4.54  
1.48  
0.85  
1.04  
1.56  
3.50  
0.93  
1.02  
1.35  
3.39  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
RIPRC_SET  
FCINRC_SET  
CINRC_SET  
ASRC_SET  
J
DD  
Full Ripple Hold Times (T = all, V = all):  
FCINRC_HLD  
Fast Carry-in from Clock (FCIN from CLK at REG-  
COUT)  
All Others  
0.00  
0.00  
0.00  
0.00  
0.00  
0.00  
0.00  
0.00  
ns  
ns  
GENERIC_HLD  
Half Ripple Setup Times (nibble wide):  
HRIP_SET  
HFRIP_SET  
HFCIN_SET  
HCIN_SET  
Operands to Clock (Kz[1:0] to CLK)  
Bitwise Operands to Clock (Kz[1:0] to CLK at F[z])  
Fast Carry-in to Clock (FCIN to CLK)  
Carry-in to Clock (CIN to CLK)  
Add/Subtract to Clock (ASWE to CLK)  
Operands to Clock (Kz[1:0] to CLK at REGCOUT)  
Fast Carry-in to Clock (FCIN to CLK at REGCOUT)  
Carry-in to Clock (CIN to CLK at REGCOUT)  
Add/Subtract to Clock (ASWE to CLK at REGCOUT)  
3.91  
1.99  
2.55  
3.80  
8.82  
3.03  
2.29  
3.09  
8.14  
2.81  
1.47  
1.87  
2.79  
6.18  
2.31  
1.76  
2.36  
5.73  
2.21  
1.08  
1.34  
1.97  
4.68  
1.68  
1.28  
1.73  
4.54  
1.66  
0.85  
1.04  
1.56  
3.50  
1.32  
1.02  
1.35  
3.39  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
HAS_SET  
HRIPRC_SET  
HFCINRC_SET  
HCINRC_SET  
HASRC_SET  
J
DD  
Half Ripple Hold Times (T = all, V = all):  
HFCINRC_HLD  
GENERIC_HLD  
Fast Carry-in from Clock (HFCIN from CLK at REG-  
COUT)  
All Others  
0.00  
0.00  
0.00  
0.00  
0.00  
0.00  
0.00  
0.00  
ns  
ns  
ORCA  
Note: The table shows worst-case delay for the ripple chain.  
will be less than or equal to those listed above.  
Foundry reports the delay for individual paths within the ripple chain that  
Lucent Technologies Inc.  
107  
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
Timing Characteristics (continued)  
(continued)  
Table 43. Ripple Mode PFU Timing Characteristics  
OR3Cxx Commercial: VDD = 5.0 V ± 5%, 0 °C < TA < 70 °C; Industrial: VDD = 5.0 V ± 10%, –40 °C < TA < +85 °C.  
OR3Txxx Commercial: VDD = 3.0 V to 3.6 V, 0 °C < TA < 70 °C; Industrial: VDD = 3.0 V to 3.6 V, 40 °C < TA < +85 °C.  
Speed  
Parameter  
Unit  
Symbol  
-4  
-5  
-6  
-7  
J
DD  
(T = +85 °C, V = min)  
Min Max Min Max Min Max Min Max  
Full Ripple Delays (byte wide):  
RIPCO_DEL  
RIPFCO_DEL  
RIP_DEL  
Operands to Carry-out (Kz[1:0] to COUT)  
Operands to Carry-out (Kz[1:0] to FCOUT)  
Operands to PFU Out (Kz[1:0] to F[7:0])  
Bitwise Operands to PFU Out (Kz[1:0] to F[z])  
Fast Carry-in to Carry-out (FCIN to COUT)  
Fast Carry-in to Fast Carry-out (FCIN to FCOUT)  
Carry-in to Carry-out (CIN to COUT)  
Carry-in to Fast Carry-out (CIN to FCOUT)  
Fast Carry-in PFU Out (FCIN to F[7:0])  
Carry-in PFU Out (CIN to F[7:0])  
5.32  
5.30  
7.37  
2.34  
2.59  
2.57  
3.47  
3.46  
6.03  
6.91  
8.28  
8.11  
10.66  
4.11  
4.10  
5.60  
1.80  
1.99  
1.98  
2.65  
2.64  
4.55  
5.21  
5.89  
5.78  
7.55  
2.98  
2.98  
4.18  
1.32  
1.43  
1.41  
1.79  
1.78  
3.21  
3.53  
4.58  
4.48  
5.85  
2.32 ns  
2.32 ns  
3.10 ns  
1.05 ns  
1.14 ns  
1.13 ns  
1.43 ns  
1.43 ns  
2.51 ns  
3.05 ns  
3.45 ns  
3.38 ns  
4.38 ns  
FRIP_DEL  
FCINCO_DEL  
FCINFCO_DEL  
CINCO_DEL  
CINFCO_DEL  
FCIN_DEL  
CIN_DEL  
ASCO_DEL  
ASFCO_DEL  
AS_DEL  
Add/Subtract to Carry-out (ASWE to COUT)  
Add/Subtract to Carry-out (ASWE to FCOUT)  
Add/Subtract to PFU Out (ASWE to F[7:0])  
Half Ripple Delays (nibble wide):  
HRIPCO_DEL  
HRIPFCO_DEL  
HRIP_DEL  
Operands to Carry-out (Kz[1:0] to COUT)  
Operands to Fast Carry-out (Kz[1:0] to FCOUT)  
Operands to PFU Out (Kz[1:0] to F[3:0])  
Bitwise Operands to PFU Out (Kz[1:0] to F[z])  
Fast Carry-in to Carry-out (FCIN to COUT)  
Fast Carry-in to Fast Carry-out (FCIN to FCOUT)  
Carry-in to Carry-out (CIN to COUT)  
Carry-in to Carry-out (CIN to FCOUT)  
Fast Carry-in PFU Out (FCIN to F[3:0])  
Carry-in PFU Out (CIN to F[3:0])  
5.32  
5.30  
5.50  
2.34  
2.59  
2.57  
3.47  
3.46  
3.76  
4.65  
8.28  
8.11  
9.12  
4.11  
4.10  
4.07  
1.80  
1.99  
1.98  
2.65  
2.64  
2.84  
3.50  
5.89  
5.78  
6.49  
2.98  
2.98  
3.20  
1.32  
1.43  
1.41  
1.79  
1.78  
2.01  
2.33  
4.58  
4.48  
4.86  
2.32 ns  
2.32 ns  
2.40 ns  
1.05 ns  
1.14 ns  
1.13 ns  
1.43 ns  
1.43 ns  
1.58 ns  
2.12 ns  
3.45 ns  
3.38 ns  
3.69 ns  
HFRIP_DEL  
HFCINCO_DEL  
HFCINFCO_DEL  
HCINCO_DEL  
HCINFCO_DEL  
HFCIN_DEL  
HCIN_DEL  
HASCO_DEL  
HASFCO_DEL  
HAS_DEL  
Add/Subtract to Carry-out (ASWE to COUT)  
Add/Subtract to Carry-out (ASWE to FCOUT)  
Add/Subtract to PFU Out (ASWE to F[3:0])  
ORCA  
Note: The table shows worst-case delay for the ripple chain.  
be less than or equal to those listed above.  
Foundry reports the delay for individual paths within the ripple chain that will  
108  
Lucent Technologies Inc.  
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
Timing Characteristics (continued)  
Table 44. Synchronous Memory Write Characteristics  
DD = 5.0 V ± 5%, 0 °C < TA < 70 °C; Industrial: VDD = 5.0 V ± 10%, –40 °C < TA < +85 °C.  
OR3Cxx Commercial: V  
OR3Txxx Commercial: V  
DD = 3.0 V to 3.6 V, 0 °C < TA < 70 °C; Industrial: VDD = 3.0 V to 3.6 V, –40 °C < TA < +85 °C.  
Speed  
Unit  
Parameter  
Symbol  
-4  
-5  
-6  
-7  
Min  
Max  
Min  
Max  
Min  
Max  
Min  
Max  
Write Operation for RAM Mode:  
Maximum Frequency  
Clock Low Time  
Clock High Time  
Clock to Data Valid (CLK to F[6, 4, 2, 0])*  
SMCLK_FRQ  
SMCLKL_MPW  
SMCLKH_MPW  
MEM_DEL  
2.34  
3.79  
151.00  
1.80  
2.77  
197.00  
1.32  
2.13  
254.00  
1.05  
1.62  
315.00 MHz  
ns  
ns  
10.00  
7.14  
5.00  
4.08  
ns  
Write Operation Setup Time:  
Address to Clock (CIN to CLK)  
Address to Clock (DIN[7, 5, 3, 1] to CLK)  
Data to Clock (DIN[6, 4, 2, 0] to CLK)  
Write Enable (WREN) to Clock (ASWE to CLK)  
Write-port Enable 0 (WPE0) to Clock (CE to  
CLK)  
WA4_SET  
WA_SET  
1.25  
0.72  
0.02  
0.18  
2.25  
0.99  
0.52  
0.06  
0.16  
1.69  
0.71  
0.35  
0.00  
0.14  
1.16  
0.58  
0.28  
0.00  
0.12  
0.84  
ns  
ns  
ns  
ns  
ns  
WD_SET  
WE_SET  
WPE0_SET  
Write-port Enable 1 (WPE1) to Clock (LSR to  
CLK)  
2.79  
2.13  
1.58  
1.31  
ns  
WPE1_SET  
Write Operation Hold Time:  
Address from Clock (CIN from CLK)  
Address from Clock (DIN[7, 5, 3, 1] from CLK)  
Data from Clock (DIN[6, 4, 2, 0] from CLK)  
Write Enable (WREN) from Clock (ASWE from  
CLK)  
WA4_HLD  
WA_HLD  
WD_HLD  
WE_HLD  
0.00  
0.00  
0.59  
0.03  
0.00  
0.00  
0.42  
0.00  
0.00  
0.00  
0.40  
0.08  
0.00  
0.00  
0.32  
0.06  
ns  
ns  
ns  
ns  
Write-port Enable 0 (WPE0) from Clock (CE  
from CLK)  
Write-port Enable 1 (WPE1) from Clock (LSR  
from CLK)  
0.00  
0.00  
0.00  
0.00  
0.00  
0.00  
0.00  
0.00  
ns  
ns  
WPE0_HLD  
WPE1_HLD  
* The RAM is written on the inactive clock edge following the active edge that latches the address, data, and control signals.  
ORCA  
Note: The table shows worst-case delays.  
Foundry reports the delays for individual paths within a group of paths representing the same  
timing parameter and may accurately report delays that are less than those listed.  
WA4_SET  
WA_SET  
WA4_HLD  
WA_HLD  
CIN, DIN[7, 5, 3, 1]  
DIN[6, 4, 2, 0]  
WD_SET  
WD_HLD  
WE_HLD  
WE_SET  
ASWE (WREN)  
WPE0_HLD  
WPE1_HLD  
WPE0_SET  
WPE1_SET  
CE (WPE0),  
LSR (WPE1)  
TSCH  
TSCL  
CK  
MEM_DEL  
F[6, 4, 2, 0]  
5-4621(F)  
Figure 65.  
Synchronous Memory Write Characteristics  
Lucent Technologies Inc.  
109  
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
Timing Characteristics (continued)  
Table 45.  
Synchronous Memory Read Characteristics  
<
<
<
<
T +85 °C.  
DD  
A
DD  
A
OR3Cxx Commercial: V  
= 5.0 V ± 5%, 0 °C  
= 3.0 V to 3.6 V, 0 °C  
T
70 °C; Industrial: V  
= 5.0 V ± 10%, –40 °C  
DD  
<
<
<
<
T +85 °C.  
DD  
A
A
OR3Txxx Commercial: V  
T
70 °C; Industrial: V  
= 3.0 V to 3.6 V, 40 °C  
Speed  
Parameter  
Unit  
Symbol  
-4  
-5  
-6  
-7  
J
DD  
= min)  
(T = 85 °C, V  
Min Max Min Max Min Max Min Max  
Read Operation:  
Data Valid After Address (Kz[3:0] to F[6, 4, 2, 0])  
Data Valid After Address (F5[A:D] to F[6, 4, 2, 0])  
RA_DEL  
2.34  
2.11  
1.80  
1.57  
1.32  
1.23  
1.05 ns  
0.99 ns  
RA4_DEL  
Read Operation, Clocking Data into Latch/FF:  
Address to Clock Setup Time (Kz[3:0] to CLK)  
Address to Clock Setup Time (F5[A:D] to CLK)  
Address from Clock Hold Time (Kz[3:0] from CLK)  
Address from Clock Hold Time (F5[A:D] from CLK)  
Clock to PFU Output—Register (CLK to Q[6, 4, 2, 0])  
Read Cycle Delay  
RA_SET  
RA4_SET  
RA_HLD  
1.99  
1.79  
0.00  
0.00  
2.38  
10.48  
1.47  
1.33  
0.00  
0.00  
1.75  
7.66  
1.08  
1.03  
0.00  
0.00  
1.26  
7.53  
0.85  
0.81  
0.00  
0.00  
ns  
ns  
ns  
ns  
RA4_HLD  
REG_DEL  
SMRD_CYC  
0.97 ns  
5.78 ns  
ORCA  
Note: The table shows worst-case delays.  
Foundry reports the delays for individual paths within a group of paths representing the same  
timing parameter and may accurately report delays that are less than those listed.  
Kz[3:0], F5[A:D]  
RA_DEL  
RA4_DEL  
F[6, 4, 2, 0]  
CLK  
RA_HLD  
RA4_HLD  
RA_SET  
RA4_SET  
REG_DEL  
SMRD_CYC  
Q[3:0]  
5-4622(F)  
Figure 66. Synchronous Memory Read Cycle  
110  
Lucent Technologies Inc.  
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
Timing Characteristics (continued)  
PLC Timing  
Table 46.  
PFU Output MUX and Direct Routing Timing Characteristics  
<
<
<
<
DD  
A
DD  
A
T
OR3Cxx Commercial: V  
= 5.0 V ± 5%, 0 °C  
= 3.0 V to 3.6 V, 0 °C  
T
70 °C; Industrial: V  
= 5.0 V ± 10%, –40 °C  
DD  
+85 °C.  
<
<
<
<
DD  
A
A
T
OR3Txxx Commercial: V  
T
70 °C; Industrial: V  
= 3.0 V to 3.6 V, –40 °C  
+85 °C.  
Speed  
Parameter  
Unit  
Symbol  
-7  
Min Max Min Max Min Max Min Max  
-4  
-5  
-6  
J
DD  
(T = 85 °C, V = min)  
PFU Output MUX (Fan-out = 1)  
OMUX_DEL  
COO9_DEL  
RCOO8_DEL  
Output MUX Delay (F[7:0]/Q[7:0] to O[9:0])  
Carry-out MUX Delay (COUT to O9)  
Registered Carry-out MUX Delay (REGCOUT  
to O8)  
0.50  
0.34  
0.34  
0.39  
0.26  
0.26  
0.35  
0.24  
0.24  
0.28  
0.18  
0.18  
ns  
ns  
ns  
Direct Routing  
FDBK_DEL  
ODIR_DEL  
DDIR_DEL  
PFU Feedback (xSW)*  
PFU to Orthogonal PFU Delay (xSW to xSW)  
PFU to Diagonal PFU Delay (xBID to xSW)  
1.74  
2.21  
2.69  
1.41  
1.77  
2.19  
1.48  
1.75  
2.53  
1.14  
1.39  
1.98  
ns  
ns  
ns  
* This is general feedback using switching segments. See the combinatorial PFU timing table for softwired look-up table feedback timing.  
SLIC Timing  
.
Table 47 Supplemental Logic and Interconnect Cell (SLIC) Timing Characteristics  
DD = 5.0 V ± 5%, 0 °C < TA < 70 °C; Industrial: VDD = 5.0 V ± 10%, –40 °C < TA < +85 °C.  
OR3Cxx Commercial: V  
OR3Txxx Commercial: V  
<
<
<
<
A
T +85 °C.  
DD  
A
T
DD  
= 3.0 V to 3.6 V, 0 °C  
70 °C; Industrial: V  
= 3.0 V to 3.6 V, –40 °C  
Speed  
Parameter  
Unit  
Symbol  
-4  
-5  
-6  
-7  
J
DD  
(T = 85 °C, V = min)  
Min Max Min Max Min Max Min Max  
3-Statable BIDIs  
BUF_DEL  
OBUF_DEL  
TRI_DEL  
BIDI Delay (BRx to BLx, BLx to BRx)  
BIDI Delay (Ox to BRx, Ox to BLx)  
BIDI 3-state Enable/Disable Delay (TRI to BL, BR)  
BIDI 3-state Enable/Disable Delay  
0.84  
0.72  
2.55  
3.59  
0.70  
0.61  
1.90  
2.65  
0.94  
0.87  
1.31  
1.91  
0.77 ns  
0.70 ns  
1.01 ns  
1.48 ns  
DECTRI_DEL  
(BL, BR via DEC, TRI to BL, BR)  
Decoder  
DEC98_DEL  
DEC_DEL  
Decoder Delay (BR[9:8], BL[9:8] to DEC)  
Decoder Delay (BR[7:0], BL[7:0] to DEC)  
2.39  
2.35  
1.85  
1.82  
1.27  
1.23  
1.02 ns  
0.99 ns  
Lucent Technologies Inc.  
111  
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
Timing Characteristics (continued)  
PIO Timing  
Table 48.  
Programmable I/O (PIO) Timing Characteristics  
<
<
<
<
T +85 °C.  
DD  
A
DD  
A
OR3Cxx Commercial: V  
= 5.0 V ± 5%, 0 °C  
= 3.0 V to 3.6 V, 0 °C  
T
70 °C; Industrial: V  
= 5.0 V ± 10%, –40 °C  
DD  
<
<
<
<
T +85 °C.  
DD  
A
A
OR3Txxx Commercial: V  
T
70 °C; Industrial: V  
= 3.0 V to 3.6 V, 40 °C  
Speed  
Parameter  
Symbol  
Unit  
-4  
-5  
-6  
-7  
Min Max Min Max Min Max Min Max  
Input Delays (TJ = 85 °C, VDD = min)  
Input Rise Time  
500  
500  
500  
500  
500  
500  
500  
500  
ns  
ns  
IN_RIS  
IN_FAL  
Input Fall Time  
PIO Direct Delays:  
1.41  
2.16  
9.05  
1.26  
1.87  
7.83  
0.64  
1.28  
6.64  
0.41  
0.90  
7.27  
ns  
ns  
ns  
Pad to In (pad to CLK IN)  
Pad to In (pad to IN1, IN2)  
Pad to In Delayed (pad to IN1, IN2)  
CKIN_DEL  
IN_DEL  
IND_DEL  
PIO Transparent Latch Delays:  
Pad to In (pad to IN1, IN2)  
Pad to In Delayed (pad to IN1, IN2)  
4.11  
10.58  
3.25  
9.05  
2.52  
7.67  
1.82  
7.65  
ns  
ns  
LATCH_DEL  
LATCHD_DEL  
Input Latch/FF Setup Timing:  
Pad to ExpressCLK (fast-capture latch/FF)  
Pad Delayed to ExpressCLK  
INREGE_SET 5.93  
INREGED_SET 12.86  
4.82  
11.03  
3.63  
9.18  
3.23  
9.68  
ns  
ns  
(fast-capture latch/FF)  
Pad to Clock (input latch/FF)  
Pad Delayed to Clock (input latch/FF)  
Clock Enable to Clock (CE to CLK)  
Local Set/Reset (sync) to Clock (LSR to CLK)  
INREG_SET  
INREGD_SET 8.57  
INCE_SET  
INLSR_SET  
1.62  
1.42  
7.36  
1.64  
1.45  
0.71  
5.91  
1.29  
1.14  
0.50  
7.06  
1.00  
0.89  
ns  
ns  
ns  
ns  
2.03  
1.79  
Input FF/Latch Hold Timing:  
INREGE_HLD 0.00  
INREGED_HLD 0.00  
0.00  
0.00  
0.00  
0.00  
0.00  
0.00  
ns  
ns  
Pad from ExpressCLK (fast-capture latch/FF)  
Pad Delayed from ExpressCLK  
(fast-capture latch/FF)  
Pad from Clock (input latch/FF)  
Pad Delayed from Clock (input latch/FF)  
Clock Enable from Clock (CE from CLK)  
Local Set/Reset (sync) from Clock  
(LSR from CLK)  
INREG_HLD  
0.00  
0.00  
0.00  
0.00  
0.00  
0.00  
0.00  
0.00  
0.00  
0.00  
0.00  
0.00  
0.00  
ns  
ns  
ns  
ns  
INREGD_HLD 0.00  
INCE_HLD  
INLSR_HLD  
0.00  
0.00  
INREG_DEL  
INLTCH_DEL  
INLSR_DEL  
INLSRL_DEL  
4.05  
4.08  
6.11  
5.89  
3.14  
3.19  
4.76  
4.66  
2.53  
2.62  
3.81  
3.57  
2.05  
2.14  
3.17  
2.98  
ns  
ns  
ns  
ns  
Clock-to-in Delay (FF CLK to IN1, IN2)  
Clock-to-in Delay (latch CLK to IN1, IN2)  
Local S/R (async) to IN (LSR to IN1, IN2)  
Local S/R (async) to IN (LSR to IN1, IN2)  
LatchFF in Latch Mode  
INGSR_DEL  
5.38  
4.22  
3.44  
2.88  
ns  
Global S/R to In (GSRN to IN1, IN2)  
Note: The delays for all input buffers assume an input rise/fall time of <1 V/ns.  
112  
Lucent Technologies Inc.  
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
Timing Characteristics (continued)  
Table 48.  
(continued)  
Programmable I/O (PIO) Timing Characteristics  
<
<
<
<
T +85 °C.  
DD  
A
DD  
A
OR3Cxx Commercial: V  
= 5.0 V ± 5%, 0 °C  
T
70 °C; Industrial: V  
= 5.0 V ± 10%, –40 °C  
OR3Txxx Commercial: VDD = 3.0 V to 3.6 V, 0 °C < TA < 70 °C; Industrial: VDD = 3.0 V to 3.6 V, 40 °C < TA < +85 °C.  
Speed  
Parameter  
Symbol  
Unit  
-4  
-5  
-6  
-7  
Min Max Min Max Min Max Min Max  
Output Delays (TJ = 85 °C, VDD = min, CL = 50 pF)  
Output to Pad (OUT2, OUT1 direct to pad):  
Fast  
Slewlim  
Sinklim  
OUTF_DEL  
OUTSL_DEL  
OUTSI_DEL  
5.09  
7.86  
9.41  
4.21  
6.49  
7.98  
2.63  
3.49  
8.08  
2.17 ns  
2.91 ns  
7.32 ns  
3-state Enable/Disable Delay (TS to pad):  
Fast  
Slewlim  
Sinklim  
TSF_DEL  
TSSL_DEL  
TSSI_DEL  
4.93  
7.70  
9.25  
4.09  
6.37  
7.86  
2.33  
3.00  
7.95  
1.88 ns  
2.41 ns  
7.23 ns  
Local Set/Reset (async) to Pad (LSR to pad):  
Fast  
Slewlim  
Sinklim  
OUTLSRF_DEL  
OUTLSRSL_DEL  
OUTLSRSI_DEL  
9.03  
11.79  
13.35  
7.25  
9.53  
11.02  
4.96  
5.82  
10.38  
3.94 ns  
4.67 ns  
9.10 ns  
Global Set/Reset to Pad (GSRN to pad):  
Fast  
Slewlim  
Sinklim  
OUTGSRF_DEL  
OUTGSRSL_DEL  
OUTGSRSI_DEL  
8.30  
11.06  
12.62  
6.69  
8.97  
10.46  
4.39  
5.07  
10.02  
3.46 ns  
3.99 ns  
8.81 ns  
Output FF Setup Timing:  
Out to ExpressCLK (OUT[2:1] to ECLK)  
Out to Clock (OUT[2:1] to CLK)  
Clock Enable to Clock (CE to CLK)  
Local Set/Reset (sync) to Clock (LSR to CLK)  
OUTE_SET  
OUT_SET  
OUTCE_SET  
OUTLSR_SET  
0.00  
0.00  
0.91  
0.41  
0.00  
0.00  
0.67  
0.32  
0.00  
0.00  
0.56  
0.26  
0.00  
0.00  
0.45  
0.24  
ns  
ns  
ns  
ns  
Output FF Hold Timing:  
OUTE_HLD  
OUT_HLD  
OUTCE_HLD  
OUTLSR_HLD  
Out from ExpressCLK (OUT[2:1] from ECLK)  
Out from Clock (OUT[2:1] from CLK)  
Clock Enable from Clock (CE from CLK)  
Local Set/Reset (sync) from Clock (LSR from  
CLK)  
0.73  
0.73  
0.00  
0.00  
0.58  
0.58  
0.00  
0.00  
0.36  
0.36  
0.00  
0.00  
0.29  
0.29  
0.00  
0.00  
ns  
ns  
ns  
ns  
Clock to Pad Delay (ECLK, SCLK to pad):  
Fast  
Slewlim  
Sinklim  
OUTREGF_DEL  
OUTREGSL_DEL  
OUTREGSI_DEL  
6.71  
9.47  
11.03  
5.44  
7.71  
9.20  
3.56  
4.42  
8.98  
2.78 ns  
3.52 ns  
7.94 ns  
OD_DEL  
Additional Delay If Using Open Drain  
0.20  
0.16  
0.10  
0.08 ns  
Note: The delays for all input buffers assume an input rise/fall time of <1 V/ns.  
Lucent Technologies Inc.  
113  
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
Timing Characteristics (continued)  
Table 48.  
(continued)  
Programmable I/O (PIO) Timing Characteristics  
<
<
<
<
T +85 °C.  
DD  
A
DD  
A
OR3Cxx Commercial: V  
= 5.0 V ± 5%, 0 °C  
= 3.0 V to 3.6 V, 0 °C  
T
70 °C; Industrial: V  
= 5.0 V ± 10%, –40 °C  
DD  
<
<
<
<
T +85 °C.  
DD  
A
A
OR3Txxx Commercial: V  
T
70 °C; Industrial: V  
= 3.0 V to 3.6 V, 40 °C  
Speed  
Parameter  
Symbol  
Unit  
-4  
-5  
-6  
-7  
Min Max Min Max Min Max Min Max  
PIO Logic Block Delays  
Out to Pad (OUT[2:1] via logic to pad):  
Fast  
Slewlim  
Sinklim  
OUTLF_DEL  
OUTLSL_DEL  
OUTLSI_DEL  
5.09  
7.86  
9.41  
4.21  
6.49  
7.98  
2.63  
3.49  
8.08  
2.17  
2.91  
7.32  
ns  
ns  
ns  
Outreg to Pad (OUTREG via logic to pad):  
Fast  
Slewlim  
Sinklim  
OUTRF_DEL  
OUTRSL_DEL  
OUTRSI_DEL  
6.71  
9.47  
11.03  
5.44  
7.71  
9.20  
3.56  
4.42  
8.98  
2.78  
3.52  
7.94  
ns  
ns  
ns  
Clock to Pad (ECLK, CLK via logic to pad):  
Fast  
Slewlim  
Sinklim  
OUTCF_DEL  
OUTCSL_DEL  
OUTCSI_DEL  
6.97  
9.74  
11.29  
5.68  
7.96  
9.45  
3.71  
4.57  
9.13  
2.91  
3.64  
8.07  
ns  
ns  
ns  
3-State FF Delays  
3-state Enable/Disable Delay (TS direct to  
pad):  
Fast  
Slewlim  
Sinklim  
TSF_DEL  
TSSL_DEL  
TSSI_DEL  
4.93  
7.70  
9.25  
4.09  
6.37  
7.86  
2.33  
3.00  
7.95  
1.88  
2.41  
7.23  
ns  
ns  
ns  
Local Set/Reset (async) to Pad (LSR to  
pad):  
Fast  
Slewlim  
Sinklim  
TSLSRF_DEL  
TSLSRSL_DEL  
TSLSRSI_DEL  
8.25  
11.01  
12.57  
6.65  
8.92  
10.41  
4.24  
4.92  
9.87  
3.39  
3.92  
8.74  
ns  
ns  
ns  
Global Set/Reset to Pad (GSRN to pad):  
Fast  
Slewlim  
Sinklim  
TSGSRF_DEL  
TSGSRSL_DEL  
TSGSRSI_DEL  
7.52  
10.28  
11.84  
6.09  
8.36  
9.85  
3.88  
4.55  
9.51  
3.11  
3.64  
8.45  
ns  
ns  
ns  
3-State FF Setup Timing:  
TS to ExpressCLK (TS to ECLK)  
TS to Clock (TS to CLK)  
Local Set/Reset (sync) to Clock (LSR to  
CLK)  
TSE_SET  
TS_SET  
TSLSR_SET  
0.00  
0.00  
0.28  
0.00  
0.00  
0.21  
0.00  
0.00  
0.17  
0.00  
0.00  
0.18  
ns  
ns  
ns  
3-State FF Hold Timing:  
TSE_HLD  
TS_HLD  
TSLSR_HLD  
TS from ExpressCLK (TS from ECLK)  
TS from Clock (TS from CLK)  
Local Set/Reset (sync) from Clock  
(LSR from CLK)  
0.85  
0.85  
0.00  
0.68  
0.68  
0.00  
0.44  
0.44  
0.00  
0.34  
0.34  
0.00  
ns  
ns  
ns  
Clock to Pad Delay (ECLK, SCLK to pad):  
Fast  
Slewlim  
Sinklim  
TSREGF_DEL  
TSREGSL_DEL  
TSREGSI_DEL  
5.94  
8.70  
10.26  
4.82  
7.10  
8.59  
2.84  
3.52  
8.47  
2.23  
2.76  
7.58  
ns  
ns  
ns  
Note: The delays for all input buffers assume an input rise/fall time of <1 V/ns.  
114  
Lucent Technologies Inc.  
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
Timing Characteristics (continued)  
Special Function Blocks Timing  
Table 49. Microprocessor Interface (MPI) Timing Characteristics  
DD = 5.0 V ± 5%, 0 °C < TA < 70 °C; Industrial: VDD = 5.0 V ± 10%, –40 °C < TA < +85 °C.  
OR3Cxx Commercial: V  
OR3Txxx Commercial: V  
<
<
<
<
A
T +85 °C.  
DD  
A
T
DD  
= 3.0 V to 3.6 V, 0 °C  
70 °C; Industrial: V  
= 3.0 V to 3.6 V, –40 °C  
Speed  
Parameter  
Symbol  
–4  
–5  
–6  
–7  
Unit  
Min Max Min Max Min Max Min Max  
Interface Timing (TJ = 85 °C, VDD = min)  
PowerPC  
Transfer Acknowledge Delay (CLK to TA)  
Burst Inhibit Delay (CLK to BIN)  
Transfer Acknowledge Delay to High Impedance  
Burst Inhibit Delay to High Impedance  
Write Data Setup Time (data to TS)  
Write Data Hold Time (data from CLK while MPI_ACK low)  
Address Setup Time (addr to TS)  
Address Hold Time (addr from CLK while MPI_ACK low)  
Read/Write Setup Time (R/W to TS)  
Read/Write Hold Time (R/W from CLK while MPI_ACK low)  
Chip Select Setup Time (CS0, CS1 to TS)  
Chip Select Hold Time (CS0, CS1 from CLK)  
User Address Delay (pad to UA[3:0])  
TA_DEL  
BI_DEL  
TA_DELZ  
BI_DELZ  
WD_SET  
WD_HLD  
A_SET  
A_HLD  
RW_SET  
RW_HLD  
CS_SET  
CS_HLD  
UA_DEL  
11.6  
11.6  
(2)  
9.3  
9.3  
(2)  
8.0  
8.0  
(2)  
6.8 ns  
6.8 ns  
(2)  
ns  
ns  
(2)  
(2)  
(2)  
(2)  
0.0  
0.0  
0.0  
0.0  
0.0  
0.0  
0.3  
0.0  
3.3  
7.0  
0.0  
0.0  
0.0  
0.0  
0.0  
0.0  
.25  
0.0  
2.6  
5.4  
0.0  
0.0  
0.0  
0.0  
0.0  
0.0  
.14  
0.0  
2.3  
4.2  
0.0  
0.0  
0.0  
0.0  
0.0  
0.0  
.12  
0.0  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
1.9 ns  
3.6 ns  
User Read/Write Delay (pad to URDWR_DEL)  
URDWR_DEL  
Interface Timing (TJ = 85 °C, VDD = min)  
i960  
Addr/Data Select to ALE (ADS, to ALE low)  
Addr/Data Select to ALE (ADS, from ALE low)  
Ready/Receive Delay (CLK to RDYRCV)  
Ready/Receive Delay to High Impedance  
Write Data Setup Time  
ADSN_SET  
ADSN_HLD  
RDYRCV_DEL  
RDYRCV_DELZ  
WD_SET  
2.0  
0.0  
1.8  
0.0  
1.6  
0.0  
1.4  
0.0  
ns  
ns  
11.6  
9.3  
8.0  
6.8 ns  
(2)  
(2)  
(2)  
(2)  
ns  
ns  
ns  
(3)  
(3)  
(3)  
(3)  
6.6  
7.0  
4.3  
5.4  
4.1  
4.2  
(4)  
(4)  
(4)  
(4)  
Write Data Hold Time  
WD_HLD  
A_SET  
A_HLD  
BE_SET  
BE_HLD  
RW_SET  
RW_HLD  
CS_SET  
Address Setup Time (addr to ALE low)  
Address Hold Time (addr from ALE low)  
Byte Enable Setup Time (BE0, BE1 to ALE low)  
Byte Enable Hold Time (BE0, BE1 from ALE low)  
Read/Write Setup Time  
2.0  
2.0  
2.0  
1.8  
1.8  
1.8  
0.50  
0.51  
0.50  
0.42 ns  
0.44 ns  
0.42 ns  
0.44 ns  
2.0  
1.8  
0.51  
(3)  
(3)  
(3)  
(3)  
ns  
ns  
(4)  
(4)  
(4)  
(4)  
Read/Write Hold Time  
Chip Select Setup Time (CS0, CS1 to CLK)(1)  
Chip Select Hold Time (CS0, CS1 from CLK)(1)  
User Address Delay (CLK low to UA[3:0])  
User Read/Write Delay (pad to URDWR_DEL)  
2.0  
0.0  
1.8  
0.0  
0.45  
0.0  
0.0  
0.38 ns  
ns  
CS_HLD  
UA_DEL  
URDWR_DEL  
3.5 ns  
3.6 ns  
1. For user system flexibility, CS0 and CS1 may be set up to any one of the three rising clock edges, beginning with the rising clock edge when  
MPI_STRB is low. If both chip selects are valid and the setup time is met, the MPI will latch the chip select state, and CS0 and CS1 may go  
inactive before the end of the read/write cycle.  
2. 0.5 MPI_CLK.  
3. Write data and W/R have to be valid starting from the clock cycle after both ADS and CS0 and CS1 are recognized.  
4. Write data and W/R have to be held until the microprocessor receives a valid RDYRCV.  
Notes:  
PowerPC i960  
Read and write descriptions are referenced to the host microprocessor; e.g., a read is a read by the host (  
,
) from the FPGA.  
PowerPC i960  
and  
timings to/from the clock are relative to the clock at the FPGA microprocessor interface clock pin (MPI_CLK).  
Lucent Technologies Inc.  
115  
 
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
Timing Characteristics (continued)  
Table 49. Microprocessor Interface (MPI) Timing Characteristics (continued)  
DD = 5.0 V ± 5%, 0 °C < TA < 70 °C; Industrial: VDD = 5.0 V ± 10%, –40 °C < TA < +85 °C.  
OR3Cxx Commercial: V  
OR3Txxx Commercial: V  
<
<
<
<
A
T +85 °C.  
DD  
A
T
DD  
= 3.0 V to 3.6 V, 0 °C  
70 °C; Industrial: V  
= 3.0 V to 3.6 V, 40 °C  
Speed  
Parameter  
Symbol  
–4  
–5  
–6  
–7  
Unit  
Min Max Min Max Min Max Min Max  
User Logic Delay(5)  
User Logic Delay  
USTART_DEL  
3.6  
7.5  
3.4  
7.3  
3.3  
7.1  
2.8  
6.0  
ns  
ns  
ns  
ns  
User Start Delay (MPI_CLK falling to USTART)(6)  
User Start Clear Delay (MPI_CLK to USTART)  
User End Delay (USTART low to UEND low)(7)  
Synchronous User Timing:  
USTARTCLR_DEL  
UEND_DEL  
User End Setup (UEND to MPI_CLK)  
User End Hold (UEND to MPI_CLK)  
Data Setup for Read (D[7:0] to MPI_CLK)(9)  
Data Hold for Read (D[7:0] from MPI_CLK)(9)  
Asynchronous User Timing:  
UEND_SET  
UEND_HLD  
RDS_SET  
RDS_HLD  
0.00  
1.0  
0.00  
0.95  
0.00  
0.88  
0.00  
0.75  
ns  
ns  
ns  
ns  
User End to Read Data Delay (UEND to  
D[7:0])(10)  
RDA_DEL  
ns  
Data Hold from User Start (low)(9)  
Interrupt Request Pulse Width(8)  
RDA_HLD  
ns  
ns  
TUIRQ_PW  
1. For user system flexibility, CS0 and CS1 may be set up to any one of the three rising clock edges, beginning with the rising clock edge when  
MPI_STRB is low. If both chip selects are valid and the setup time is met, the MPI will latch the chip select state, and CS0 and CS1 may go  
inactive before the end of the read/write cycle.  
2. 0.5 MPI_CLK.  
3. Write data and W/R have to be valid starting from the clock cycle after both ADS and CS0 and CS1 are recognized.  
4. Write data and W/R have to be held until the microprocessor receives a valid RDYRCV.  
5. User Logic Delay has no predefined value. The user must generate a UEND signal to complete the cycle.  
6. USTART_DEL is based on the falling clock edge.  
7. There is no specific time associated with this delay. The user must assert UEND low to complete this cycle.  
8. The user must assert interrupt request low until a service routine is executed.  
9. This should be at least one MPI_CLK cycle.  
10. User should set up read data so that RDS_SET and RDS_HLD can be met for the microprocessor timing.  
Notes:  
PowerPC i960  
Read and write descriptions are referenced to the host microprocessor; e.g., a read is a read by the host (  
,
) from the FPGA.  
i960  
timings to/from the clock are relative to the clock at the FPGA microprocessor interface clock pin (MPI_CLK).  
PowerPC  
and  
116  
Lucent Technologies Inc.  
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
Timing Characteristics (continued)  
UEND_SET  
RDS_HLD  
CS_SET  
RW_SET  
A_SET  
CS_HLD  
A_HLD  
RW_HLD  
RDS_SET  
MPI_CLK  
A[4:0]  
MPI_RW (RD/WR)  
CS0, CS1  
RDA_DEL  
RDA_HLD  
D[7:0]  
MPI_STRB (TS)  
UA[3:0]  
UA_DEL  
URDWR_DEL  
URDWRN  
USTARTCLR_DEL  
USTART_DEL  
USTART  
USER LOGIC DELAY  
UEND_DEL  
TA_DEL  
UEND  
TA_DELZ  
TA_DEL  
MPI_ACK (TA)  
MPI_BI (BI)  
BI_DEL  
BI_DEL  
BI_DELZ  
5-5832(F)  
Figure 67. MPI PowerPC User Space Read Timing  
CS_SET  
RW_SET  
A_SET  
WD_HLD  
CS_HLD  
RW_HLD  
UEND_SET  
A_HLD  
MPI_CLK  
A[4:0]  
MPI_RW (RD/WR)  
CS0, CS1  
WD_SET  
D[7:0]  
MPI_STRB (TS)  
UA[3:0]  
UA_DEL  
URDWR_DEL  
URDWRN  
USTART  
USTARTCLR_DEL  
USTART_DEL  
USER LOGIC DELAY  
UEND_DEL  
TA_DEL  
UEND  
TA_DELZ  
TA_DEL  
MPI_ACK (TA)  
MPI_BI (BI)  
BI_DEL  
BI_DEL  
BI_DELZ  
5-5840(F)  
Figure 68. MPI PowerPC User Space Write Timing  
Lucent Technologies Inc.  
117  
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
Timing Characteristics (continued)  
UEND_SET  
RDS_HLD  
CS_HLD  
CS_SET  
RW_SET  
A_SET  
A_HLD  
RW_HLD  
RDS_SET  
MPI_CLK  
A[4:0]  
MPI_RW (RD/WR)  
CS0, CS1  
RDA_DEL  
RDA_HLD  
D[7:0]  
MPI_STRB (TS)  
UA_DEL  
UA[3:0]  
URDWR_DEL  
URDWRN  
TA_DELZ  
TA_DEL  
TA_DEL  
BI_DEL  
MPI_ACK (TA)  
MPI_BI (BI)  
BI_DEL  
BI_DELZ  
5-5832(F).c  
Figure 69.  
MPI PowerPC Internal Read Timing  
CS_SET  
RW_SET  
A_SET  
WD_HLD  
CS_HLD  
RW_HLD  
A_HLD  
MPI_CLK  
A[4:0]  
MPI_RW (RD/WR)  
CS0, CS1  
WD_SET  
D[7:0]  
MPI_STRB (TS)  
UA[3:0]  
UA_DEL  
URDWR_DEL  
URDWRN  
TA_DELZ  
TA_DEL  
BI_DEL  
TA_DEL  
BI_DEL  
MPI_ACK (TA)  
MPI_BI (BI)  
BI_DELZ  
5-5840(F).e  
Figure 70.  
MPI PowerPC Internal Write Timing  
118  
Lucent Technologies Inc.  
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
Timing Characteristics (continued)  
CS_SET  
A_HLD  
A_SET  
ADSN_HLD  
RDS_HLD  
ADSN_SET  
RDS_SET  
RW_HLD  
CS_HLD  
UEND_SET  
RW_SET  
MPI_CLK  
RDA_DEL  
RDA_HLD  
ADDR  
DATA  
D[7:0]  
MPI_RW (W/R)  
CS0, CS1  
BE0, BE1  
BE_SET  
BE_HLD  
MPI_ALE (ALE)  
MPI_STRB (ADS)  
UA[3:0]  
UA_DEL  
URDWR_DEL  
URDWRN  
USTART  
USTARTCLR_DEL  
USTART_DEL  
UEND_DEL  
USER LOGIC DELAY  
UEND  
RDYRCV_DELZ  
RDYRCV_DEL  
RDYRCV_DEL  
MPI_ACK (RDYRCV)  
5-5831(F).b  
Figure 71.  
Timing  
MPI i960 User Space Read  
CS_SET  
A_HLD  
WD_HLD  
RW_HLD  
CS_HLD  
A_SET  
ADSN_HLD  
ADSN_SET  
RW_SET  
WD_SET  
UEND_SET  
MPI_CLK  
D[7:0]  
ADDR  
DATA  
MPI_RW (W/R)  
CS0, CS1  
MPI_ALE (ALE)  
MPI_STRB (ADS)  
UA[3:0]  
UA_DEL  
URDWR_DEL  
URDWRN  
USTART  
USTARTCLR_DEL  
USTART_DEL  
UEND_DEL  
USER LOGIC DELAY  
UEND  
RDYRCV_DEL  
RDYRCV_DELZ  
RDYRCV_DEL  
MPI_ACK (RDYRCV)  
5-5830(F).b  
Figure 72.  
MPI i960 User Space Write Timing  
Lucent Technologies Inc.  
119  
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
Timing Characteristics (continued)  
CS_SET  
A_HLD  
A_SET  
ADSN_HLD  
RDS_HLD  
RW_HLD  
ADSN_SET  
RW_SET  
RDS_SET  
RDA_DEL  
CS_HLD  
MPI_CLK  
RDA_HLD  
ADDR  
DATA  
D[7:0]  
MPI_RW (W/R)  
CS0, CS1  
BE0, BE1  
BE_SET  
BE_HLD  
MPI_ALE (ALE)  
MPI_STRB (ADS)  
UA[3:0]  
UA_DEL  
URDWR_DEL  
URDWRN  
RDYRCV_DELZ  
RDYRCV_DEL  
RDYRCV_DEL  
MPI_ACK (RDYRCV)  
5-5831(F).c  
Figure 73.  
Timing  
MPI i960 Internal Read  
CS_SET  
A_HLD  
WD_HLD  
RW_HLD  
CS_HLD  
ADSN_HLD  
A_SET  
ADSN_SET  
RW_SET  
WD_SET  
MPI_CLK  
D[7:0]  
ADDR  
DATA  
MPI_RW (W/R)  
CS0, CS1  
MPI_ALE (ALE)  
MPI_STRB (ADS)  
UA[3:0]  
UA_DEL  
URDWR_DEL  
RDYRCV_DEL  
URDWRN  
RDYRCV_DEL  
RDYRCV_DELZ  
MPI_ACK (RDYRCV)  
5-5830(F).c  
Figure 74.  
MPI i960 Internal Write Timing  
120  
Lucent Technologies Inc.  
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
Timing Characteristics (continued)  
Table 50. Programmable Clock Manager (PCM) Timing Characteristics (Preliminary Information)  
DD  
A < 70 °C; Industrial: VDD = 5.0 V ± 10%, –40 °C < TA < +85 °C.  
T
OR3Cxx Commercial: V  
= 5.0 V ± 5%, 0 °C  
DD = 3.0 V to 3.6 V, 0 °C < TA < 70 °C; Industrial: VDD = 3.0 V to 3.6 V, –40 °C < TA < +85 °C.  
OR3Txxx Commercial: V  
Speed  
Parameter  
Symbol  
-4  
-5  
-6  
-7  
Unit  
Min  
Max  
Min  
Max  
Min  
Max  
Min  
Max  
Input Clock Frequency:  
OR3Cxx  
FPCMI  
5
133  
5
5
133  
133  
5
5
MHz  
OR3Txxx  
133  
133 MHz  
Output Clock Frequency:  
OR3Cxx  
FPCMO  
5
135  
5
5
135  
100  
5
5
MHz  
OR3Txxx  
100  
100 MHz  
Input Clock Duty Cycle  
Output Clock Duty Cycle  
Input Frequency Tolerance*  
PCMI_DUTY  
PCMO_DUTY  
FTOL  
30.00 70.00 30.00 70.00 30.00 70.00 30.00 70.00  
3.13 96.90 3.13 96.90 3.13 96.90 3.13 96.90  
%
%
26400  
100  
26400  
100  
26400  
100  
26400 ppm  
PCM Acquisition Time (CLK In to  
LOCK)  
PCM_ACQ†  
36  
36  
36  
36  
100  
µs  
PCM Off Delay (config. Done-L, WE to  
PCM power off)  
PCMOFF_DEL  
PCMDLL-DEL  
PCMPLL_DEL  
PCMBYE_DEL  
PCMBYS_DEL  
RTCKD_DEL  
100.0  
1.95  
0.00  
0.47  
0.47  
1.30  
2.70  
100.0  
1.82  
0.00  
0.36  
0.36  
1.10  
2.20  
100.0  
1.63  
0.00  
0.26  
0.26  
0.90  
1.90  
100.0 ns  
PCM Delay in DLL Mode (propagation  
delay)  
1.50  
0.00  
0.24  
0.24  
TBD  
ns  
ns  
ns  
ns  
ns  
PCM Delay in PLL Mode (propagation  
delay)  
PCM Clock In to PCM Clock Out  
(CLK In to ECLK)‡  
PCM Clock In to PCM Clock Out  
(CLK In to SCLK)‡  
Routed Clock-in Delay (routing to PCM  
phase detect, using DIV0)  
System Clock-out Delay (PCM oscilla- PCMSCK_DEL  
tor to SCLK output at PCM)  
TBD ns  
Parameter  
Symbol  
fOUT (MHz)  
PLL Mode  
DLL Mode  
Unit  
Output Jitter  
OUTJIT  
5—20  
21—30  
31—40  
41—50  
51—60  
61—70  
71—80  
81—90  
91—100  
250  
210  
180  
155  
130  
110  
95  
200  
170  
145  
123  
105  
90  
75  
65  
55  
ps  
ps  
ps  
ps  
ps  
ps  
ps  
ps  
ps  
80  
70  
* Input frequency tolerance is the allowed input clock frequency change in parts per million.  
† See Table 29 and Table 30 for acquisition times for individual frequencies.  
‡ PLL mode, divider reg = 1111111 (input freq. = output freq.).  
Note: All timing values for the PCM are preliminary information.  
Lucent Technologies Inc.  
121  
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
Timing Characteristics (continued)  
Table 51. Boundary-Scan Timing Characteristics  
<
<
<
<
+85 °C.  
DD  
A
DD  
A
OR3Cxx Commercial: V  
= 5.0 V ± 5%, 0 °C  
T
70 °C; Industrial: V  
= 5.0 V ± 10%, –40 °C  
T
DD = 3.0 V to 3.6 V, 0 °C < TA < 70 °C; Industrial: VDD = 3.0 V to 3.6 V, 40 °C < TA < +85 °C.  
OR3Txxx Commercial: V  
Parameter  
Symbol  
Min  
25.0  
0.0  
Max  
Unit  
ns  
S
TDI/TMS to TCK Setup Time  
TDI/TMS Hold Time from TCK  
TCK Low Time  
T
T
H
ns  
CL  
T
50.0  
50.0  
ns  
CH  
TCK High Time  
T
ns  
D
TCK to TDO Delay  
TCK Frequency  
T
20.0  
10.0  
ns  
TCK  
T
MHz  
TCK  
TS  
TH  
TMS  
TDI  
TD  
TDO  
5-6764(F)  
Figure 75. Boundary-Scan Timing Diagram  
122  
Lucent Technologies Inc.  
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
Timing Characteristics (continued)  
Clock Timing  
.
Table 52 ExpressCLK (ECLK) and Fast Clock (FCLK) Timing Characteristics  
<
<
<
<
T +85 °C.  
DD  
A
DD  
A
OR3Cxx Commercial: V  
= 5.0 V ± 5%, 0 °C  
T
70 °C; Industrial: V  
= 5.0 V ± 10%, –40 °C  
DD = 3.0 V to 3.6 V, 0 °C < TA < 70 °C; Industrial: VDD = 3.0 V to 3.6 V, –40 °C < TA < +85 °C.  
OR3Txxx Commercial: V  
Speed  
Device  
Symbol  
Unit  
-4  
-5  
-6  
-7  
J
DD  
(T = 85 °C, V = min)  
Min Max Min Max Min Max Min Max  
ECLKC_DEL  
ECLKM_DEL  
Clock Control Timing Delay Through  
CLKCNTRL (input from corner)  
0.31  
1.54  
0.31  
1.17  
0.31  
1.00  
0.31  
0.92  
ns  
ns  
Delay Through CLKCNTRL (input from inter-  
nal clock controller PAD)  
Clock Shutoff Timing:  
OFFM_SET  
OFFM_HLD  
OFFC_SET  
OFFC_HLD  
ECLKM_DEL  
Setup from Middle ECLK (shut off to CLK)  
Hold from Middle ECLK (shut off from CLK)  
Setup from Corner ECLK (shut off to CLK)  
Hold from Corner ECLK (shut off from CLK)  
0.77  
0.00  
0.77  
0.00  
0.51  
0.00  
0.51  
0.00  
0.44  
0.00  
0.44  
0.00  
0.41  
0.00  
0.41  
0.00  
ns  
ns  
ns  
ns  
ECLK Delay (middle pad):  
OR3T20  
3.50  
3.67  
2.56  
2.62  
2.74  
2.86  
3.06  
2.05  
2.08  
2.13  
2.19  
2.29  
1.78  
1.80  
1.85  
1.90  
1.98  
ns  
ns  
ns  
ns  
ns  
OR3T30  
OR3C/T55  
OR3C/T80  
OR3T125  
ECLKC_DEL  
FCLKM_DEL  
FCLKC_DEL  
ECLK Delay (corner pad):  
OR3T20  
OR3T30  
OR3C/T55  
OR3C/T80  
5.47  
5.64  
4.48  
4.53  
4.64  
4.77  
4.96  
3.85  
3.97  
4.22  
4.47  
4.85  
3.36  
3.47  
3.69  
3.92  
4.27  
ns  
ns  
ns  
ns  
ns  
OR3T125  
FCLK Delay (middle pad):  
OR3T20  
OR3T30  
OR3C/T55  
OR3C/T80  
8.24  
8.87  
5.91  
6.12  
6.59  
7.11  
7.98  
4.59  
4.66  
4.83  
5.01  
5.33  
3.81  
3.89  
4.06  
4.26  
4.59  
ns  
ns  
ns  
ns  
ns  
OR3T125  
FCLK Delay (corner pad):  
OR3T20  
OR3T30  
OR3C/T55  
OR3C/T80  
10.34  
11.01  
7.88  
8.11  
8.60  
9.15  
10.07  
6.41  
6.58  
6.95  
7.34  
7.96  
5.40  
5.58  
5.94  
6.33  
6.94  
ns  
ns  
ns  
ns  
ns  
OR3T125  
Notes:  
The ECLK delays are to all of the PICs on one side of the device for middle pin input, or two sides of the device for corner pin input. The delay  
includes both the input buffer delay and the clock routing to the PIC clock input.  
The FCLK delays are for a fully routed clock tree that uses the ExpressCLK input into the fast clock network. It includes both the input buffer  
delay and the clock routing to the PFU CLK input. The delay will be reduced if any of the clock branches are not used.  
Lucent Technologies Inc.  
123  
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
Timing Characteristics (continued)  
.
Table 53 General-Purpose Clock Timing Characteristics (Internally Generated Clock)  
<
<
<
<
T +85 °C.  
DD  
A
DD  
A
OR3Cxx Commercial: V  
= 5.0 V ± 5%, 0 °C  
= 3.0 V to 3.6 V, 0 °C  
T
70 °C; Industrial: V  
= 5.0 V ± 10%, –40 °C  
DD  
<
<
<
<
T +85 °C.  
DD  
A
A
OR3Txxx Commercial: V  
T
70 °C; Industrial: V  
= 3.0 V to 3.6 V, 40 °C  
Speed  
Device  
Symbol  
Min  
Unit  
-4  
-5  
-6  
-7  
J
DD  
(T = 85 °C, V = min)  
Max  
Min  
Max  
Min  
Max  
3.46  
3.48  
3.53  
3.57  
3.71  
Min  
Max  
2.84  
2.87  
2.93  
2.98  
3.13  
OR3T20  
OR3T30  
CLK_DEL  
CLK_DEL  
CLK_DEL  
CLK_DEL  
CLK_DEL  
4.22  
4.29  
4.41  
4.52  
4.80  
ns  
ns  
ns  
ns  
ns  
OR3C/T55  
OR3C/T80  
OR3T125  
5.34  
5.49  
Notes:  
This table represents the delay for an internally generated clock from the clock tree input in one of the four middle PICs (using pSW routing) on  
any side of the device which is then distributed to the PFU/PIO clock inputs. If the clock tree input used is located at any other PIC, see the  
ORCA  
results reported by  
Foundry.  
This clock delay is for a fully routed clock tree that uses the general clock network. The delay will be reduced if any of the clock branches are not  
used. See pin-to-pin timing in Table 56 for clock delays of clocks input on general I/O pins.  
124  
Lucent Technologies Inc.  
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
Timing Characteristics (continued)  
Table 54. OR3Cxx ExpressCLK to Output Delay (Pin-to-Pin)  
<
<
<
<
<
DD  
A
DD  
A
L
OR3Cxx Commercial: V  
= 5.0 V ± 5%, 0 °C  
= 3.0 V to 3.6 V, 0 °C  
T
70 °C; Industrial: V  
= 5.0 V ± 10%, –40 °C  
DD  
T
+85 °C; C = 50 pF.  
<
<
<
DD  
A
A
T
L =  
OR3Txxx Commercial: V  
50 pF.  
T
70 °C; Industrial: V  
= 3.0 V to 3.6 V, –40 °C  
+85 °C;C  
Speed  
Description  
Device  
Unit  
-4  
-5  
-6  
-7  
J
DD  
(T = 85 °C, V = min)  
Min  
Max  
Min  
Max  
Min  
Max  
Min  
Max  
ECLK Middle Input Pin OUTPUT Pin  
(Fast)  
OR3T20  
OR3T30  
OR3C/T55  
OR3C/T80  
OR3T125  
9.93  
10.10  
7.78  
7.84  
7.96  
8.08  
8.28  
5.40  
5.43  
5.48  
5.54  
5.64  
4.38  
4.40  
4.44  
4.49  
4.58  
ns  
ns  
ns  
ns  
ns  
ECLK Middle Input Pin OUTPUT Pin  
(Slewlim)  
OR3T20  
OR3T30  
OR3C/T55  
OR3C/T80  
OR3T125  
12.37  
12.54  
9.77  
9.83  
9.95  
10.07  
10.27  
6.07  
6.10  
6.15  
6.21  
6.31  
4.91  
4.93  
4.97  
5.02  
5.11  
ns  
ns  
ns  
ns  
ns  
ECLK Middle Input Pin OUTPUT Pin  
(Sinklim)  
OR3T20  
OR3T30  
OR3C/T55  
OR3C/T80  
OR3T125  
13.73  
13.90  
11.12  
11.18  
11.30  
11.42  
11.62  
10.92  
10.95  
11.00  
11.06  
11.16  
9.65  
9.67  
9.71  
9.76  
9.85  
ns  
ns  
ns  
ns  
ns  
Additional Delay if ECLK Corner Pin Used  
OR3T20  
OR3T30  
OR3C/T55  
OR3C/T80  
OR3T125  
1.97  
1.97  
1.91  
1.91  
1.91  
1.91  
1.90  
1.80  
1.90  
2.09  
2.28  
2.57  
1.58  
1.67  
1.84  
2.02  
2.29  
ns  
ns  
ns  
ns  
ns  
Notes:  
Timing is without the use of the programmable clock manager (PCM).  
This clock delay is for a fully routed clock tree that uses the ExpressCLK network. It includes both the input buffer delay, the clock routing to the  
PIO CLK input, the clock Q of the FF, and the delay through the output buffer. The given timing requires that the input clock pin be located at  
one of the six ExpressCLK inputs of the device, and that a PIO FF be used.  
PIO FF  
D
Q
OUTPUT (50 pF LOAD)  
CLKCNTRL  
ECLK  
ECLK  
5-4846(F).a  
Figure 76. ExpressCLK to Output Delay  
Lucent Technologies Inc.  
125  
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
Timing Characteristics (continued)  
.
Table 55 OR3Cxx Fast Clock (FCLK) to Output Delay (Pin-to-Pin)  
<
<
<
<
<
DD  
A
DD  
A
L
OR3Cxx Commercial: V  
= 5.0 V ± 5%, 0 °C  
= 3.0 V to 3.6 V, 0 °C  
T
70 °C; Industrial: V  
= 5.0 V ± 10%, –40 °C  
DD  
T
+85 °C; C = 50 pF.  
<
<
<
DD  
A
A
T
OR3Txxx Commercial: V  
T
70 °C; Industrial: V  
= 3.0 V to 3.6 V, 40 °C  
+85 °C;  
L =  
C
50 pF.  
Speed  
Description  
Device  
Unit  
-4  
-5  
-6  
-7  
J
DD  
(T = 85 °C, V = min)  
Min  
Max  
Min  
Max  
Min  
Max  
Min  
Max  
Output Not on Same Side of Device As Input Clock (Fast Clock Delays Using ExpressCLK Inputs)  
ECLK Middle Input Pin OUTPUT Pin  
(Fast)  
OR3T20  
OR3T30  
OR3C/T55  
OR3C/T80  
OR3T125  
14.68  
15.30  
11.13  
11.35  
11.81  
12.33  
13.20  
7.94  
8.01  
8.18  
8.36  
8.68  
6.40  
6.48  
6.66  
6.85  
7.19  
ns  
ns  
ns  
ns  
ns  
ECLK Middle Input Pin OUTPUT Pin  
(Slewlim)  
OR3T20  
OR3T30  
OR3C/T55  
OR3C/T80  
OR3T125  
17.11  
17.74  
13.12  
13.33  
13.80  
14.32  
15.19  
8.61  
8.68  
8.85  
9.04  
9.35  
6.93  
7.01  
7.19  
7.38  
7.72  
ns  
ns  
ns  
ns  
ns  
ECLK Middle Input Pin OUTPUT Pin  
(Sinklim)  
OR3T20  
OR3T30  
OR3C/T55  
OR3C/T80  
OR3T125  
18.47  
19.10  
14.47  
14.68  
15.15  
15.67  
16.54  
13.46  
13.53  
13.70  
13.88  
14.20  
11.67 ns  
11.75 ns  
11.93 ns  
12.12 ns  
12.46 ns  
Additional Delay if ECLK Corner Pin  
Used  
OR3T20  
OR3T30  
OR3C/T55  
OR3C/T80  
OR3T125  
2.10  
2.14  
1.97  
1.99  
2.01  
2.04  
2.09  
1.82  
1.92  
2.12  
2.33  
2.63  
1.60  
1.69  
1.88  
2.07  
2.39  
ns  
ns  
ns  
ns  
ns  
Notes:  
Timing is without the use of the programmable clock manager (PCM).  
This clock delay is for a fully routed clock tree that uses the primary clock network. It includes both the input buffer delay, the clock routing to the  
PIO CLK input, the clock Q of the FF, and the delay through the output buffer. The delay will be reduced if any of the clock branches are not  
used. The given timing requires that the input clock pin be located at one of the six ExpressCLK inputs of the device and that a PIO FF be used.  
PIO FF  
D
Q
OUTPUT (50 pF LOAD)  
CLKCNTRL  
FCLK  
ECLK  
5-4846(F).b  
Figure 77. Fast Clock to Output Delay  
126  
Lucent Technologies Inc.  
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
Timing Characteristics (continued)  
.
Table 56 OR3Cxx General System Clock (SCLK) to Output Delay (Pin-to-Pin)  
<
<
<
<
<
DD  
A
DD  
A
L
OR3Cxx Commercial: V  
= 5.0 V ± 5%, 0 °C  
= 3.0 V to 3.6 V, 0 °C  
T
70 °C; Industrial: V  
= 5.0 V ± 10%, –40 °C  
DD  
T
+85 °C; C = 50 pF.  
<
<
<
DD  
A
A
T
OR3Txxx Commercial: V  
T
70 °C; Industrial: V  
= 3.0 V to 3.6 V, –40 °C  
+85 °C;  
L =  
C
50 pF.  
Speed  
Description  
Device  
Unit  
-4  
-5  
-6  
-7  
J
DD  
(T = 85 °C, V = min)  
Min Max Min Max Min Max Min Max  
Output On Same Side of Device As Input Clock (System Clock Delays Using General User I/O Inputs)  
Clock Input Pin (mid-PIC) OUTPUT Pin (Fast) OR3T20  
14.91  
15.71  
11.35  
11.63  
12.17  
12.80  
13.69  
7.74  
7.93  
8.28  
8.66  
9.24  
6.10 ns  
6.27 ns  
6.59 ns  
6.95 ns  
7.49 ns  
OR3T30  
OR3C/T55  
OR3C/T80  
OR3T125  
Clock Input Pin (mid-PIC) OUTPUT Pin  
(Slewlim)  
OR3T20  
OR3T30  
OR3C/T55  
OR3C/T80  
OR3T125  
17.34  
18.14  
13.34  
13.62  
14.16  
14.79  
15.68  
8.42  
8.60  
8.95  
9.34  
9.91  
6.63 ns  
6.80 ns  
7.12 ns  
7.48 ns  
8.02 ns  
Clock Input Pin (mid-PIC) OUTPUT Pin  
(Sinklim)  
OR3T20  
OR3T30  
OR3C/T55  
OR3C/T80  
OR3T125  
18.70  
19.51  
14.69  
14.97  
15.51  
16.14  
17.03  
13.26  
13.45  
13.80  
14.18  
14.76  
11.37 ns  
11.54 ns  
11.86 ns  
12.22 ns  
12.76 ns  
Additional Delay if Non-mid-PIC Used as Clock  
Pin  
OR3T20  
OR3T30  
OR3C/T55  
OR3C/T80  
OR3T125  
0.41  
0.63  
0.16  
0.20  
0.36  
0.55  
1.11  
0.18  
0.21  
0.37  
0.57  
1.05  
0.17 ns  
0.20 ns  
0.35 ns  
0.55 ns  
1.02 ns  
Output Not on Same Side of Device As Input Clock (System Clock Delays Using General User I/O Inputs)  
Additional Delay if Output Not on Same Side as  
Input Clock Pin  
OR3T20  
OR3T30  
OR3C/T55  
OR3C/T80  
OR3T125  
0.41  
0.63  
0.16  
0.20  
0.36  
0.55  
1.11  
0.18  
0.21  
0.37  
0.57  
1.05  
0.17 ns  
0.20 ns  
0.35 ns  
0.55 ns  
1.02 ns  
Note:  
This clock delay is for a fully routed clock tree that uses the primary clock network. It includes both the input buffer delay, the clock routing to the  
PIO CLK input, the clock Q of the FF, and the delay through the output buffer. The delay will be reduced if any of the clock branches are not  
used. The given timing requires that the input clock pin be located at one of the four center PICs on any side of the device and that a PIO FF be  
ORCA  
used. For clock pins located at any other PIO, see the results reported by  
Foundry.  
PIO FF  
D
Q
OUTPUT (50 pF LOAD)  
SCLK  
5-4846(F)  
Figure 78. System Clock to Output Delay  
Lucent Technologies Inc.  
127  
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
Timing Characteristics (continued)  
.
Table 57 OR3C/Txxx Input to ExpressCLK (ECLK) Fast-Capture Setup/Hold Time (Pin-to-Pin)  
DD  
<
A
<
DD  
<
A
<
OR3Cxx Commercial: V = 5.0 V ± 5%, 0 °C  
T
70 °C; Industrial: V = 5.0 V ± 10%, –40 °C  
T
+85 °C.  
DD  
<
A
<
DD  
<
A
T
<
OR3Txxx Commercial: V = 3.0 V to 3.6 V, 0 °C  
T
70 °C; Industrial: V = 3.0 V to 3.6 V, 40 °C  
+85 °C.  
Speed  
Description  
Device  
Unit  
Max  
-4  
-5  
-6  
-7  
J
DD  
(T = 85 °C, V = min)  
Min  
Max  
Min  
Max  
Min  
Max  
Min  
Input to ECLK Setup Time (middle  
ECLK pin)  
ns  
ns  
ns  
ns  
ns  
OR3T20  
OR3T30  
OR3C/T55  
OR3C/T80  
OR3T125  
1.36  
1.25  
1.34  
1.30  
1.22  
1.14  
1.03  
0.88  
0.86  
0.83  
0.80  
0.76  
0.83  
0.82  
0.80  
0.77  
0.74  
Input to ECLK Setup Time (middle  
ECLK pin, delayed data input)  
ns  
ns  
ns  
ns  
ns  
OR3T20  
OR3T30  
OR3C/T55  
OR3C/T80  
OR3T125  
6.91  
6.79  
6.30  
6.27  
6.19  
6.11  
6.00  
5.32  
5.30  
5.27  
5.24  
5.20  
5.98  
5.97  
5.95  
5.93  
5.90  
Input to ECLK Setup Time (corner  
ECLK pin)  
ns  
ns  
ns  
ns  
ns  
OR3T20  
OR3T30  
OR3C/T55  
OR3C/T80  
OR3T125  
0.00  
0.00  
0.00  
0.00  
0.00  
0.00  
0.00  
0.00  
0.00  
0.00  
0.00  
0.00  
0.00  
0.00  
0.00  
0.00  
0.00  
Input to ECLK Setup Time (corner  
ECLK pin, delayed data input)  
ns  
ns  
ns  
ns  
ns  
OR3T20  
OR3T30  
OR3C/T55  
OR3C/T80  
OR3T125  
4.94  
4.82  
4.39  
4.35  
4.28  
4.21  
4.10  
3.51  
3.40  
3.18  
2.98  
2.63  
4.41  
4.31  
4.11  
3.91  
3.61  
Input to ECLK Hold Time (middle  
ECLK pin)  
ns  
ns  
ns  
ns  
ns  
OR3T20  
OR3T30  
OR3C/T55  
OR3C/T80  
OR3T125  
0.00  
0.00  
0.00  
0.00  
0.00  
0.00  
0.00  
0.00  
0.00  
0.00  
0.00  
0.00  
0.00  
0.00  
0.00  
0.00  
0.00  
Input to ECLK Hold Time (middle  
ECLK pin, delayed data input)  
ns  
ns  
ns  
ns  
ns  
OR3T20  
OR3T30  
OR3C/T55  
OR3C/T80  
OR3T125  
0.00  
0.00  
0.00  
0.00  
0.00  
0.00  
0.00  
0.00  
0.00  
0.00  
0.00  
0.00  
0.00  
0.00  
0.00  
0.00  
0.00  
Note:  
ORCA  
The pin-to-pin timing parameters in this table should be used instead of results reported by  
Foundry.  
The ECLK delays are to all of the PIOs on one side of the device for middle pin input, or two sides of the device for corner pin input. The delay  
includes both the input buffer delay and the clock routing to the PIO clock input.  
128  
Lucent Technologies Inc.  
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
Timing Characteristics (continued)  
.
(continued)  
<
T +85 °C.  
Table 57 OR3C/Txxx Input to ExpressCLK (ECLK) Fast-Capture Setup/Hold Time (Pin-to-Pin)  
DD  
<
A
<
DD  
<
A
<
OR3Cxx Commercial: V = 5.0 V ± 5%, 0 °C  
OR3Txxx Commercial: V = 3.0 V to 3.6 V, 0 °C  
T
70 °C; Industrial: V = 5.0 V ± 10%, –40 °C  
T +85 °C.  
DD  
<
A
<
DD  
<
A
T
70 °C; Industrial: V = 3.0 V to 3.6 V, 40 °C  
Speed  
Description  
Device  
Unit  
-4  
-5  
-6  
-7  
J
DD  
(T = 85 °C, V = min)  
Min  
Max  
Min  
Max  
Min  
Max  
Min  
Max  
Input to ECLK Hold Time (corner  
ECLK pin)  
ns  
ns  
ns  
ns  
ns  
OR3T20  
OR3T30  
OR3C/T55  
OR3C/T80  
OR3T125  
0.00  
0.00  
0.00  
0.00  
0.00  
0.00  
0.00  
0.00  
0.00  
0.80  
0.00  
0.00  
0.00  
0.00  
1.10  
0.00  
0.00  
Input to ECLK Hold Time (corner  
ECLK pin, delayed data input)  
ns  
ns  
ns  
ns  
ns  
OR3T20  
OR3T30  
OR3C/T55  
OR3C/T80  
OR3T125  
0.00  
0.00  
0.00  
0.00  
0.00  
0.00  
0.00  
0.00  
0.00  
0.00  
0.00  
0.00  
0.00  
0.00  
0.00  
0.00  
0.00  
Notes:  
ORCA  
The pin-to-pin timing parameters in this table should be used instead of results reported by  
Foundry.  
The ECLK delays are to all of the PIOs on one side of the device for middle pin input, or two sides of the device for corner pin input. The delay  
includes both the input buffer delay and the clock routing to the PIO clock input.  
PIO ECLK LATCH  
INPUT  
CLK  
D
Q
CLKCNTRL  
ECLK  
5-4847(F).b  
Figure 79. Input to ExpressCLK Setup/Hold Time  
Lucent Technologies Inc.  
129  
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
Timing Characteristics (continued)  
Table 58. OR3C/Txxx Input to Fast Clock Setup/Hold Time (Pin-to-Pin)  
DD  
5
<
A
<
DD  
<
A
<
OR3Cxx Commercial: V = .0 V ± 5%, 0 °C  
T
70 °C; Industrial: V = 5.0 V ± 10%, –40 °C  
T
+85 °C.  
DD  
<
A
<
DD  
<
A
<
OR3Txxx Commercial: V = 3.0 V to 3.6 V, 0 °C  
T
70 °C; Industrial: V = 3.0 V to 3.6 V, 40 °C  
T
+85 °C.  
Speed  
Description  
Device  
Unit  
Max  
-4  
-5  
-6  
-7  
J
DD  
(T = 85 °C, V = min)  
Min  
Max  
Min  
Max  
Min  
Max  
Min  
Output Not on Same Side of Device As Input Clock (Fast Clock Delays Using ExpressCLK Inputs)  
Input to FCLK Setup Time (middle  
ECLK pin)  
ns  
ns  
ns  
ns  
ns  
OR3T20  
OR3T30  
OR3C/T55  
OR3C/T80  
OR3T125  
0.00  
0.00  
0.00  
0.00  
0.00  
0.00  
0.00  
0.00  
0.00  
0.00  
0.00  
0.00  
0.00  
0.00  
0.00  
0.00  
0.00  
Input to FCLK Setup Time (middle  
ECLK pin, delayed data input)  
ns  
ns  
ns  
ns  
ns  
OR3T20  
OR3T30  
OR3C/T55  
OR3C/T80  
OR3T125  
0.29  
0.14  
0.80  
0.74  
0.62  
0.50  
0.22  
0.58  
0.55  
0.51  
0.46  
0.33  
2.20  
2.17  
2.11  
2.06  
1.90  
Input to FCLK Setup Time (corner  
ECLK pin)  
ns  
ns  
ns  
ns  
ns  
OR3T20  
OR3T30  
OR3C/T55  
OR3C/T80  
OR3T125  
0.00  
0.00  
0.00  
0.00  
0.00  
0.00  
0.00  
0.00  
0.00  
0.00  
0.00  
0.00  
0.00  
0.00  
0.00  
0.00  
0.00  
Input to FCLK Setup Time (corner  
ECLK pin, delayed data input)  
ns  
ns  
ns  
ns  
ns  
OR3T20  
OR3T30  
OR3C/T55  
OR3C/T80  
OR3T125  
0.00  
0.00  
0.00  
0.00  
0.00  
0.00  
0.00  
0.00  
0.00  
0.00  
0.00  
0.00  
0.00  
0.00  
0.00  
0.00  
0.00  
Input to FCLK Hold Time (middle  
ECLK pin)  
ns  
ns  
ns  
ns  
ns  
OR3T20  
OR3T30  
OR3C/T55  
OR3C/T80  
OR3T125  
6.33  
6.95  
4.29  
4.50  
4.97  
5.49  
6.36  
3.72  
3.80  
3.96  
4.15  
4.47  
3.27  
3.35  
3.52  
3.72  
4.05  
Notes:  
ORCA  
The pin-to-pin timing parameters in this table should be used instead of results reported by  
Foundry.  
The FCLK delays are for a fully routed clock tree that uses the ExpressCLK input into the fast clock network. It includes both the input buffer  
delay and the clock routing to the PFU CLK input. The delay will be reduced if any of the clock branches are not used.  
130  
Lucent Technologies Inc.  
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
Timing Characteristics (continued)  
Table 58. OR3C/Txxx Input to Fast Clock Setup/Hold Time (Pin-to-Pin) (continued)  
DD  
5
<
A
<
DD  
<
A
<
OR3Cxx Commercial: V = .0 V ± 5%, 0 °C  
T
70 °C; Industrial: V = 5.0 V ± 10%, –40 °C  
T
+85 °C.  
DD  
<
A
<
DD  
<
A
<
OR3Txxx Commercial: V = 3.0 V to 3.6 V, 0 °C  
T
70 °C; Industrial: V = 3.0 V to 3.6 V, 40 °C  
T
+85 °C.  
Speed  
Description  
Device  
Unit  
-4  
-5  
-6  
-7  
J
DD  
(T = 85 °C, V = min)  
Min  
Max  
Min  
Max  
Min  
Max  
Min  
Max  
Input to FCLK Hold Time (middle  
ECLK pin, delayed data input)  
ns  
ns  
ns  
ns  
ns  
OR3T20  
OR3T30  
OR3C/T55  
OR3C/T80  
OR3T125  
0.00  
0.00  
0.00  
0.00  
0.00  
0.00  
0.00  
0.00  
0.00  
0.00  
0.00  
0.00  
0.00  
0.00  
0.00  
0.00  
0.00  
Input to FCLK Hold Time (corner  
ECLK pin)  
ns  
ns  
ns  
ns  
ns  
OR3T20  
OR3T30  
OR3C/T55  
OR3C/T80  
OR3T125  
8.43  
9.09  
6.26  
6.49  
6.98  
7.53  
8.45  
5.54  
5.72  
6.09  
6.47  
7.10  
4.88  
5.04  
5.40  
5.79  
6.40  
Input to FCLK Hold Time (corner  
ECLK pin, delayed data input)  
ns  
ns  
ns  
ns  
ns  
OR3T20  
OR3T30  
OR3C/T55  
OR3C/T80  
OR3T125  
0.00  
0.00  
0.00  
0.00  
0.00  
0.00  
0.00  
0.00  
0.00  
0.00  
0.00  
0.00  
0.00  
0.00  
0.00  
0.00  
0.00  
Notes:  
ORCA  
The pin-to-pin timing parameters in this table should be used instead of results reported by  
Foundry.  
The FCLK delays are for a fully routed clock tree that uses the ExpressCLK input into the fast clock network. It includes both the input buffer  
delay and the clock routing to the PFU CLK input. The delay will be reduced if any of the clock branches are not used.  
PIO FF  
INPUT  
ECLK  
D
Q
CLKCNTRL  
FCLK  
5-4847(F).a  
Figure 80. Input to Fast Clock Setup/Hold Time  
Lucent Technologies Inc.  
131  
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
Timing Characteristics (continued)  
Table 59. OR3C/Txxx Input to General System Clock (SCLK) Setup/Hold Time (Pin-to-Pin)  
DD  
<
A
<
DD  
<
A
<
OR3Cxx Commercial: V = 5.0 V ± 5%, 0 °C  
T
70 °C; Industrial: V = 5.0 V ± 10%, –40 °C  
T +85 °C.  
DD  
<
A
<
DD  
<
A
<
OR3Txxx Commercial: V = 3.0 V to 3.6 V, 0 °C  
T
70 °C; Industrial: V = 3.0 V to 3.6 V, 40 °C  
T +85 °C.  
Speed  
Description  
Device  
Unit  
Max  
-4  
-5  
-6  
-7  
J
DD  
(T = 85 °C, V = min)  
Min  
Max  
Min  
Max  
Min  
Max  
Min  
Input to SCLK Setup Time  
ns  
ns  
ns  
ns  
ns  
OR3T20  
OR3T30  
OR3C/T55  
OR3C/T80  
OR3T125  
0.00  
0.00  
0.00  
0.00  
0.00  
0.00  
0.00  
0.00  
0.00  
0.00  
0.00  
0.00  
0.00  
0.00  
0.00  
0.00  
0.00  
Input to SCLK Setup Time  
(delayed data input)  
ns  
ns  
ns  
ns  
ns  
OR3T20  
OR3T30  
OR3C/T55  
OR3C/T80  
OR3T125  
0.99  
0.79  
1.33  
1.22  
1.09  
0.93  
0.78  
1.47  
1.40  
1.33  
1.26  
1.19  
3.09  
3.03  
2.97  
2.91  
2.86  
Input to SCLK Hold Time  
ns  
ns  
ns  
ns  
ns  
OR3T20  
OR3T30  
OR3C/T55  
OR3C/T80  
OR3T125  
6.82  
7.62  
4.74  
5.01  
5.56  
6.19  
7.07  
3.64  
3.83  
4.18  
4.56  
5.14  
3.04  
3.22  
3.54  
3.89  
4.44  
Input to SCLK Hold Time  
(delayed data input)  
ns  
ns  
ns  
ns  
ns  
OR3T20  
OR3T30  
OR3C/T55  
OR3C/T80  
OR3T125  
0.00  
0.00  
0.00  
0.00  
0.00  
0.00  
0.00  
0.00  
0.00  
0.00  
0.00  
0.00  
0.00  
0.00  
0.00  
0.00  
0.00  
Additional Hold Time if Non-  
mid-PIC Used as SCLK Pin  
(no delay on data input)  
ns  
ns  
ns  
ns  
ns  
OR3T20  
OR3T30  
OR3C/T55  
OR3C/T80  
OR3T125  
0.41  
0.63  
0.16  
0.20  
0.36  
0.55  
1.11  
0.18  
0.21  
0.37  
0.57  
1.05  
0.17  
0.20  
0.35  
0.55  
1.02  
Notes:  
ORCA  
The pin-to-pin timing parameters in this table should be used instead of results reported by  
Foundry.  
This clock delay is for a fully routed clock tree that uses the clock network. It includes both the input buffer delay and the clock routing to the PIO  
FF CLK input. The delay will be reduced if any of the clock branches are not used. The given setup (delayed and no delay) and hold (delayed)  
timing allows the input clock pin to be located in any PIO on any side of the device, but a PIO FF must be used. The hold (no delay) timing  
assumes the clock pin is located at one of the four middle PICs on any side of the device and that a PIO FF is used. If the clock pin is located  
elsewhere, then the last parameter in the table must be added to the hold (no delay) timing.  
PIO FF  
INPUT  
SCLK  
D
Q
5-4847(F)  
Figure 81. Input to System Clock Setup/Hold Time  
132  
Lucent Technologies Inc.  
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
Timing Characteristics (continued)  
Configuration Timing  
Table 60. General Configuration Mode Timing Characteristics  
DD = 5.0 V ± 5%, 0 °C < TA < 70 °C; Industrial: VDD = 5.0 V ± 10%, –40 °C < TA < +85 °C.  
OR3Cxx Commercial: V  
OR3Txxx Commercial: V  
<
<
<
<
A
T
DD  
A
T
DD  
= 3.0 V to 3.6 V, 0 °C  
70 °C; Industrial: V  
= 3.0 V to 3.6 V, –40 °C  
+85 °C.  
Parameter  
All Configuration Modes  
Symbol  
Min  
Max  
Unit  
M[3:0] Setup Time to INIT High  
M[3:0] Hold Time from INIT High  
TSMODE  
THMODE  
TRW  
0.00  
ns  
ns  
ns  
ns  
600.00  
50.00  
50.00  
RESET Pulse Width Low to Start Reconfiguration  
PRGM Pulse Width Low to Start Reconfiguration  
Master and Asynchronous Peripheral Modes  
TPGW  
Power-on Reset Delay  
TPO  
15.70  
60.00  
52.40  
200.00  
1600.00  
ms  
ns  
ns  
CCLK Period (M3 = 0)  
(M3 = 1)  
TCCLK  
480.00  
Configuration Latency (autoincrement mode):  
TCL  
OR3T20  
(M3 = 0)  
(M3 = 1)  
(M3 = 0)  
(M3 = 1)  
(M3 = 0)  
(M3 = 1)  
(M3 = 0)  
(M3 = 1)  
(M3 = 0)  
(M3 = 1)  
11.50  
92.10  
15.10  
121.00  
23.20  
185.00  
33.70  
270.00  
52.30  
418.00  
38.40*  
307.00*  
50.40*  
403.30*  
77.40*  
619.00*  
113.00*  
900.00*  
175.00*  
1395.00*  
ms  
ms  
ms  
ms  
ms  
ms  
ms  
ms  
ms  
ms  
OR3T30  
OR3C/T55  
OR3C/T80  
OR3T125  
Microprocessor (MPI) Mode  
Power-on Reset Delay  
TPO  
TCL  
15.70  
52.40  
ms  
Configuration Latency (autoincrement mode):  
OR3T20  
OR3T30  
OR3C/T55  
OR3C/T80  
OR3T125  
27413  
35445  
53341  
76317  
116581  
write cycles  
write cycles  
write cycles  
write cycles  
write cycles  
Partial Reconfiguration (explicit mode):  
TPR  
OR3T20  
32  
36  
43  
51  
62  
write cycles  
write cycles  
write cycles  
write cycles  
write cycles  
OR3T30  
OR3C/T55  
OR3C/T80  
OR3T125  
Slave Serial Mode  
Power-on Reset Delay  
TPO  
3.90  
13.10  
ms  
CCLK Period  
TCCLK  
OR3Cxx  
OR3Txxx  
40  
15  
ns  
ns  
Configuration Latency (autoincrement mode):  
TCL  
OR3T20  
OR3T30  
OR3C55  
OR3T55  
OR3C80  
OR3T80  
OR3T125  
2.80  
3.80  
ms  
ms  
ms  
ms  
ms  
ms  
ms  
15.50  
5.80  
22.50  
8.40  
13.09  
* Not applicable to asynchronous peripheral mode.  
Lucent Technologies Inc.  
133  
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
Timing Characteristics (continued)  
(continued)  
Table 60. General Configuration Mode Timing Characteristics  
<
<
<
<
T +85 °C.  
DD  
A
DD  
A
OR3Cxx Commercial: V  
= 5.0 V ± 5%, 0 °C  
= 3.0 V to 3.6 V, 0 °C  
T
70 °C; Industrial: V  
= 5.0 V ± 10%, –40 °C  
DD  
<
<
<
<
A
T
DD  
A
OR3Txxx Commercial: V  
T
70 °C; Industrial: V  
= 3.0 V to 3.6 V, 40 °C  
+85 °C.  
Parameter  
Slave Parallel Mode  
Symbol  
Min  
Max  
Unit  
PO  
Power-on Reset Delay  
T
3.90  
13.10  
ms  
CCLK  
T
CCLK Period:  
OR3Cxx  
OR3Txxx  
40.00  
15.00  
ns  
ns  
Configuration Latency (normal mode):  
CL  
T
OR3T20  
OR3T30  
OR3C55  
OR3T55  
OR3C80  
OR3T80  
OR3T125  
0.36  
0.47  
1.94  
0.72  
2.81  
1.05  
1.64  
ms  
ms  
ms  
ms  
ms  
ms  
ms  
PR  
T
Partial Reconfiguration (explicit mode):  
OR3T20  
OR3T30  
OR3C55  
OR3T55  
OR3C80  
OR3T80  
OR3T125  
0.48  
0.54  
1.72  
0.65  
2.04  
0.77  
0.93  
µs/frame  
µs/frame  
µs/frame  
µs/frame  
µs/frame  
µs/frame  
µs/frame  
INIT Timing  
INIT_CCLK  
T
INIT High to CCLK Delay:  
Slave Parallel  
Slave Serial  
Master Serial:  
(M3 = 1)  
1.00  
1.00  
µs  
µs  
1.00  
0.50  
3.40  
2.00  
µs  
µs  
(M3 = 0)  
Master Parallel:  
(M3 = 1)  
(M3 = 0)  
4.80  
1.00  
16.20  
3.60  
µs  
µs  
IL  
T
Initialization Latency (PRGM high to INIT high):  
ms  
ms  
ms  
ms  
ms  
OR3T20  
OR3T30  
OR3C/T55  
OR3C/T80  
OR3T125  
0.21  
0.24  
0.30  
0.36  
0.45  
0.68  
0.79  
1.00  
1.20  
1.50  
INIT_WR  
INIT High to WR, Asynchronous Peripheral  
T
2.00  
µs  
Note: TPO is triggered when VDD reaches between 3.0 V to 4.0 V for the OR3Cxx and between 2.7 V and 3.0 V for the OR3Txxx.  
134  
Lucent Technologies Inc.  
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
Timing Characteristics (continued)  
VDD  
TPO + TIL  
PRGM  
TPGW  
TIL  
INIT  
TINIT_CLK  
TCCLK  
CCLK  
THMODE  
TSMODE  
M[3:0]  
TCL  
DONE  
5-4531(F)  
Figure 82. General Configuration Mode Timing Diagram  
Lucent Technologies Inc.  
135  
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
Timing Characteristics (continued)  
.
Table 61 Master Serial Configuration Mode Timing Characteristics  
<
<
<
<
T +85 °C.  
DD  
A
DD  
A
OR3Cxx Commercial: V  
= 5.0 V ± 5%, 0 °C  
= 3.0 V to 3.6 V, 0 °C  
T
70 °C; Industrial: V  
= 5.0 V ± 10%, –40 °C  
DD  
<
<
<
<
T +85 °C.  
DD  
A
A
OR3Txxx Commercial: V  
T
70 °C; Industrial: V  
= 3.0 V to 3.6 V, 40 °C  
Parameter  
DIN Setup Time*  
Symbol  
Min  
60.00  
0.00  
5.00  
0.63  
Max  
Unit  
ns  
S
T
H
T
C
F
C
F
D
T
DIN Hold Time  
ns  
CCLK Frequency (M3 = 0)  
CCLK Frequency (M3 = 1)  
CCLK to DOUT Delay  
16.67  
2.08  
5.00  
MHz  
MHz  
ns  
* Data gets clocked out from an external serial ROM. The clock to data delay of the serial ROM must be less than the CCLK frequency since  
the data available out of the serial ROM must be setup and waiting to be clocked into the FPGA before the next CCLK rising edge.  
Note: Serial configuration data is transmitted out on DOUT on the falling edge of CCLK after it is input on DIN.  
CCLK  
TS  
TH  
BIT N  
DIN  
TD  
DOUT  
BIT N  
5-4532(F)  
Figure 83. Master Serial Configuration Mode Timing Diagram  
136  
Lucent Technologies Inc.  
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
Timing Characteristics (continued)  
.
Table 62 Master Parallel Configuration Mode Timing Characteristics  
<
<
<
<
DD  
A
DD  
A
T
OR3Cxx Commercial: V  
= 5.0 V ± 5%, 0 °C  
= 3.0 V to 3.6 V, 0 °C  
T
70 °C; Industrial: V  
= 5.0 V ± 10%, –40 °C  
DD  
+85 °C.  
<
<
<
<
DD  
A
A
T
OR3Txxx Commercial: V  
T
70 °C; Industrial: V  
= 3.0 V to 3.6 V, –40 °C  
+85 °C.  
Parameter  
Symbol  
Min  
Max  
60.00  
Unit  
ns  
AV  
T
RCLK to Address Valid  
S
H
D[7:0] Setup Time to RCLK High  
D[7:0] Hold Time to RCLK High  
RCLK Low Time (M3 = 0)  
RCLK High Time (M3 = 0)  
RCLK Low Time (M3 = 1)  
RCLK High Time (M3 = 1)  
CCLK to DOUT  
T
60.00  
0.00  
7.00  
1.00  
7.00  
1.00  
ns  
T
ns  
CL  
T
7.00  
1.00  
7.00  
1.00  
5.00  
CCLK cycles  
CCLK cycles  
CCLK cycles  
CCLK cycles  
ns  
CH  
T
CL  
CH  
T
T
D
T
Notes:  
The RCLK period consists of seven CCLKs for RCLK low and one CCLK for RCLK high.  
Serial data is transmitted out on DOUT 1.5 CCLK cycles after the byte is input on D[7:0].  
A[17:0]  
TAV  
TCH  
TCL  
RCLK  
TS  
BYTE N  
TH  
D[7:0]  
CCLK  
BYTE N + 1  
DOUT  
D0  
D1  
D2  
D3  
D4  
D5  
D6 D7  
TD  
5-6764(F)  
Figure 84. Master Parallel Configuration Mode Timing Diagram  
Lucent Technologies Inc.  
137  
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
Timing Characteristics (continued)  
.
Table 63 Asynchronous Peripheral Configuration Mode Timing Characteristics  
<
<
<
<
T +85 °C.  
DD  
A
DD  
A
OR3Cxx Commercial: V  
= 5.0 V ± 5%, 0 °C  
= 3.0 V to 3.6 V, 0 °C  
T
70 °C; Industrial: V  
= 5.0 V ± 10%, –40 °C  
DD  
<
<
<
<
T +85 °C.  
DD  
A
A
OR3Txxx Commercial: V  
T
70 °C; Industrial: V  
= 3.0 V to 3.6 V, 40 °C  
Parameter  
Symbol  
Min  
Max  
Unit  
WR  
T
WR, CS0, and CS1 Pulse Width  
50.00  
ns  
S
D[7:0] Setup Time:  
3Cxx  
T
20.00  
10.50  
ns  
ns  
3Txxx  
H
D[7:0] Hold Time  
T
0.00  
ns  
ns  
RDY  
RDY Delay  
T
40.00  
8.00  
B
RDY Low  
T
1.00  
0.00  
CCLK Periods  
WR2  
Earliest WR After RDY Goes High*  
RD to D7 Enable/Disable  
CCLK to DOUT  
T
ns  
ns  
ns  
DEN  
T
40.00  
5.00  
D
T
* This parameter is valid whether the end of not RDY is determined from the RDY pin or from the D7 pin.  
Notes:  
Serial data is transmitted out on DOUT on the falling edge of CCLK after the byte is input on D[7:0].  
D[6:0] timing is the same as the write data portion of the D7 waveform because D[6:0] are not enabled by RD.  
CS0  
CS1  
TWR  
WR  
TS  
TH  
TWR2  
D7  
WRITE DATA  
TDEN  
TDEN  
RD  
RDY  
TB  
TRDY  
CCLK  
DOUT  
TD  
D0  
D1  
D2  
PREVIOUS BYTE  
D3  
D7  
5-4533(F)  
Figure 85. Asynchronous Peripheral Configuration Mode Timing Diagram  
Lucent Technologies Inc.  
138  
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
Timing Characteristics (continued)  
Table 64. Slave Serial Configuration Mode Timing Characteristics  
<
<
<
<
+85 °C.  
DD  
A
DD  
A
OR3Cxx Commercial: V  
= 5.0 V ± 5%, 0 °C  
T
70 °C; Industrial: V  
= 5.0 V ± 10%, –40 °C  
T
DD = 3.0 V to 3.6 V, 0 °C < TA < 70 °C; Industrial: VDD = 3.0 V to 3.6 V, –40 °C < TA < +85 °C.  
OR3Txxx Commercial: V  
Parameter  
Symbol  
Min  
Max  
Unit  
S
DIN Setup Time:  
3Cxx  
T
20.00  
10.50  
ns  
ns  
3Txxx  
H
DIN Hold Time  
T
0.00  
ns  
CH  
CCLK High Time:  
3Cxx  
T
20.00  
7.00  
ns  
ns  
3Txxx  
CL  
T
CCLK Low Time:  
3Cxx  
20.00  
7.00  
ns  
ns  
3Txxx  
C
CCLK Frequency:  
3Cxx  
F
25.00  
66.00  
MHz  
MHz  
3Txxx  
D
CCLK to DOUT  
T
20.00  
ns  
Note: Serial configuration data is transmitted out on DOUT on the falling edge of CCLK after it is input on DIN.  
BIT N  
DIN  
TS  
TH  
CCLK  
DOUT  
TCL  
TCH  
TD  
BIT N  
5-4535(F).  
Figure 86. Slave Serial Configuration Mode Timing Diagram  
Lucent Technologies Inc.  
139  
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
Timing Characteristics (continued)  
Table 65. Slave Parallel Configuration Mode Timing Characteristics  
<
<
<
<
T +85 °C.  
DD  
A
DD  
A
OR3Cxx Commercial: V  
= 5.0 V ± 5%, 0 °C  
= 3.0 V to 3.6 V, 0 °C  
T
70 °C; Industrial: V  
= 5.0 V ± 10%, –40 °C  
DD  
<
<
<
<
T +85 °C.  
DD  
A
A
OR3Txxx Commercial: V  
T
70 °C; Industrial: V  
= 3.0 V to 3.6 V, 40 °C  
Parameter  
CS0, CS1, WR Setup Time  
CS0, CS1, WR Hold Time  
Symbol  
Min  
Max  
Unit  
ns  
S1  
T
H1  
T
S2  
T
40.00  
20.00  
ns  
D[7:0] Setup Time:  
3Cxx  
3Txxx  
20.00  
7.00  
ns  
ns  
H2  
D[7:0] Hold Time  
T
0.00  
ns  
CH  
T
CCLK High Time:  
3Cxx  
20.00  
7.00  
ns  
ns  
3Txxx  
CL  
CCLK Low Time:  
3Cxx  
T
20.00  
7.00  
ns  
ns  
3Txxx  
C
F
CCLK Frequency:  
3Cxx  
25.00  
66.00  
MHz  
MHz  
3Txxx  
Note: Daisy-chaining of FPGAs is not supported in this mode.  
CS0  
CS1  
WR  
TS1  
TH1  
TCH  
TCL  
CCLK  
TH2  
TS2  
D[7:0]  
5-2848(F)  
Figure 87. Slave Parallel Configuration Mode Timing Diagram  
140  
Lucent Technologies Inc.  
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
Timing Characteristics (continued)  
Microprocessor Interface (MPI) Configuration Timing Characteristics  
For configuration timing using the MPI, consult Table 49. See Figures 67 through 74 for MPI timing diagrams.  
Lucent Technologies Inc.  
141  
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
Timing Characteristics (continued)  
Readback Timing  
.
Table 66 Readback Timing Characteristics  
<
<
<
<
T +85 °C.  
DD  
A
DD  
A
OR3Cxx Commercial: V  
= 5.0 V ± 5%, 0 °C  
= 3.0 V to 3.6 V, 0 °C  
T
70 °C; Industrial: V  
= 5.0 V ± 10%, –40 °C  
DD  
<
<
<
<
T +85 °C.  
DD  
A
A
OR3Txxx Commercial: V  
T
70 °C; Industrial: V  
= 3.0 V to 3.6 V, 40 °C  
Parameter  
Symbol  
Min  
50.00  
2
Max  
Unit  
S
RD_CFG to CCLK Setup Time  
RD_CFG High Width to Abort Readback  
CCLK Low Time  
T
ns  
RBA  
T
CCLK cycles  
CL  
T
40.00  
40.00  
ns  
ns  
CH  
CCLK High Time  
T
C
D
CCLK Frequency  
F
12.50  
40.00  
MHz  
ns  
CCLK to RD_DATA Delay  
T
TRBA  
RD_CFG  
TCL  
TS  
CCLK  
TCH  
TD  
BIT 0  
RD_DATA  
BIT 0  
BIT 1  
5-4536(F)  
Figure 88. Readback Timing Diagram  
142  
Lucent Technologies Inc.  
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
Input/Output Buffer Measurement Conditions  
V
CC  
GND  
1 k  
TO THE OUTPUT UNDER TEST  
50 pF  
TO THE OUTPUT UNDER TEST  
50 pF  
A. Load Used to Measure Propagation Delay  
B. Load Used to Measure Rising/Falling Edges  
Note: Switch to VDD for TPLZ/TPZL; switch to GND for TPHZ/TPZH.  
5-3234(F)  
Figure 89. ac Test Loads  
ts[i]  
PAD  
OUT  
out[i]  
ac TEST LOADS (SHOWN ABOVE)  
VDD  
VDD/2  
VSS  
out[i]  
PAD  
OUT  
1.5 V  
0.0 V  
TPLL  
TPHH  
5-3233.a(F)  
Figure 90. Output Buffer Delays  
PAD  
IN  
in[i]  
3.0 V  
PAD IN 1.5 V  
0.0 V  
VDD  
in[i] VDD/2  
VSS  
TPLL  
TPHH  
5-3235(F)  
Figure 91. Input Buffer Delays  
Lucent Technologies Inc.  
143  
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
Output Buffer Characteristics  
OR3Cxx  
70  
50  
40  
IOL  
I
OL  
60  
50  
40  
30  
30  
20  
IOH  
20  
I
OH  
10  
0
10  
0
0
1
2
3
4
5
0
1
2
3
4
5
OUTPUT VOLTAGE, VO (V)  
OUTPUT VOLTAGE, VO (V)  
5-4634(F)  
5-4635(C)  
J
DD  
Figure 92. Sinklim (T = 25 °C, V = 5.0 V)  
J
DD  
Figure 95. Sinklim (T = 125 °C, V = 4.5 V)  
150  
250  
225  
I
OL  
I
OL  
125  
100  
75  
200  
175  
150  
125  
100  
75  
I
OH  
50  
I
OH  
50  
25  
0
25  
0
0
1
2
3
4
0
1
2
3
4
5
OUTPUT VOLTAGE, V  
O
(V)  
OUTPUT VOLTAGE, VO (V)  
5-4636(F)  
5-4637(F)  
J
DD  
Figure 93. Slewlim (T = 25 °C, V = 5.0 V)  
J
DD  
Figure 96. Slewlim (T = 125 °C, V = 4.5 V)  
250  
225  
175  
150  
I
OL  
200  
175  
150  
125  
100  
75  
I
OL  
125  
100  
75  
50  
25  
0
I
OH  
I
OH  
50  
25  
0
0
1
2
3
4
5
0
1
2
3
4
OUTPUT VOLTAGE, VO (V)  
OUTPUT VOLTAGE, VO (V)  
5-4638(F)  
5-4639(F)  
J
DD  
Figure 94. Fast (T = 25 °C, V = 5.0 V)  
J
DD  
Figure 97. Fast (T = 125 °C, V = 4.5 V)  
144  
Lucent Technologies Inc.  
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
Output Buffer Characteristics (continued)  
OR3Txxx  
90  
80  
70  
60  
50  
40  
30  
110  
100  
IOL  
IOL  
90  
80  
70  
60  
IOH  
50  
IOH  
40  
30  
20  
20  
10  
0
10  
0
0.0 0.5  
1.0  
1.5  
2.0  
2.5  
3.0  
0.0 0.5 1.0  
1.5  
2.0  
2.5  
3.0 3.5  
OUTPUT VOLTAGE, VO (V)  
OUTPUT VOLTAGE, VO (V)  
5-6865(F)  
5-6866(F)  
J
DD  
Figure 98. Sinklim (T = 25 °C, V = 3.3 V)  
J
DD  
Figure 101. Sinklim (T = 125 °C, V = 3.0 V)  
120  
140  
IOL  
IOL  
120  
100  
80  
100  
80  
60  
60  
IOH  
IOH  
40  
40  
20  
0
20  
0
0.0 0.5  
1.0  
1.5  
2.0  
2.5 3.0  
0.0 0.5  
1.0  
1.5  
2.0  
2.5 3.0 3.5  
OUTPUT VOLTAGE, VO (V)  
OUTPUT VOLTAGE, VO (V)  
5-6967(F)  
5-6868(F)  
J
DD  
Figure 99. Slewlim (T = 25 °C, V = 3.3 V)  
J
DD  
Figure 102. Slewlim (T = 125 °C, V = 3.0 V)  
140  
120  
I
OL  
IOL  
120  
100  
80  
100  
80  
60  
60  
IOH  
IOH  
40  
40  
20  
0
20  
0
0.0 0.5  
1.0 1.5  
2.0  
2.5 3.0 3.5  
(V)  
0.0 0.5  
1.0  
1.5  
2.0  
2.5 3.0  
(V)  
OUTPUT VOLTAGE, V  
O
OUTPUT VOLTAGE, V  
O
5-6868(F)  
5-6867(F)  
J
DD  
Figure 103. Fast (T = 125 °C, V = 3.0 V)  
J
DD  
Figure 100. Fast (T = 25 °C, V = 3.3 V)  
Lucent Technologies Inc.  
145  
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
output. If a PIO is operating as an output, then there is  
a power dissipation component for PIN, as well as  
POUT. This is because the output feeds back to the  
input.  
Estimating Power Dissipation  
OR3Cxx  
The total operating power dissipated is estimated by  
summing the standby (IDDSB), internal, and external  
power dissipated. The internal and external power is  
the power consumed in the PLCs and PICs, respec-  
tively. In general, the standby power is small and may  
be neglected. The total operating power is as follows:  
The power dissipated by a TTL input buffer is estimated  
as:  
TTL  
P
= 2.2 mW + 0.17 mW/MHz  
The power dissipated by an input buffer is estimated  
as:  
Σ
Σ
P
T
PLC  
PIC  
P =  
P
+
CMOS  
P
= 0.17 mW/MHz  
The internal operating power is made up of two parts:  
clock generation and PFU output power. The PFU out-  
put power can be estimated based upon the number of  
PFU outputs switching when driving an average fan-out  
of two:  
The ac power dissipation from an output or bidirec-  
tional is estimated by the following:  
= (C + 8.8 pF) x V 2 x F Watts  
L DD  
OUT  
P
where the unit for CL is farads, and the unit for F is Hz.  
PFU  
P
= 0.136 mW/MHz  
As an example of estimating power dissipation, sup-  
pose that a fully utilized OR3C55 has an average of  
six outputs for each of the 324 PFUs, that 10 clock  
branches are used so that the clock is driven to the  
entire PLC array, that 150 of the 324 PFUs have FFs  
clocked at 40 MHz, and that the PFU outputs have an  
average activity factor of 20%.  
For each PFU output that switches, 0.136 mW/MHz  
needs to be multiplied times the frequency (in MHz)  
that the output switches. Generally, this can be esti-  
mated by using one-half the clock rate, multiplied by  
some activity factor; for example, 20%.  
The power dissipated by the clock generation circuitry  
is based upon four parts: the fixed clock power, the  
power/clock branch row or column, the clock power dis-  
sipated in each PFU that uses this particular clock, and  
the power from the subset of those PFUs that are con-  
figured as synchronous memory. Therefore, the clock  
power can be calculated for the four parts using the fol-  
lowing equations:  
Twenty TTL-configured inputs, 20 CMOS-configured  
inputs, 32 outputs driving 30 pF loads, and 16 bidirec-  
tional I/Os driving 50 pF loads are also generated from  
the 40 MHz clock with an average activity factor of  
20%. All of the output PIOs are registered, and 30 of  
the input PIOs are registered. The worst-case (VDD =  
5.25 V) power dissipation is estimated as follows:  
PFU  
P
= 324 x 6 (0.136 mW/MHz x 20 MHz x 20%)  
= 1057.54 mW  
OR3C55 Clock Power  
P
= [0.183 mW/MHz  
CLK  
P
= [0.183 mW/MHz + (0.235 mW/MHz – Branch)  
(10 Branches)  
+ (0.033 mW/MHz – PFU) (150 PFUs)  
+ (0.008 mW/MHz/PIO (58 PIOs)]  
= 317.88 mW  
+ (0.235 mW/MHz/Branch) (# Branches)  
+ (0.033 mW/MHz/PFU) (# PFUs)  
+ (0.008 mW/MHz/PIO (# PIOs)]  
For a quick estimate, the worst-case (typical circuit)  
OR3C55 clock power 14.64 mW/MHz.  
TTL  
P
P
P
P
= 20 x [2.2 mW + (0.17 mW/MHz x 20 MHz x 20%)]  
= 57.6 mW  
CMOS  
OUT  
BID  
= 20 x [0.17 mW x 20 MHz x 20%]  
= 13.6 mW  
= 32 x [(30 pF + 8.8 pF) x (5.25)2 x 20 MHz x 20%]  
OR3C80 Clock Power  
P
= [0.224 mW/MHz  
+ (0.288 mW/MHz/Branch) (# Branches)  
+ (0.033 mW/MHz/PFU) (# PFUs)  
+ (0.008 mW/MHz/PIO (# PIOs)]  
= 136.89 mW  
= 16 x [(50 pF + 8.8 pF) x (5.25)2 x 20 MHz x 20%]  
= 103.72 mW  
For a quick estimate, the worst-case (typical circuit)  
OR3C80 clock power 21.06 mW/MHz.  
Total  
= 1.69 W  
The power dissipated in a PIC is the sum of the power  
dissipated in the four PIOs in the PIC. This consists of  
power dissipated by inputs and ac power dissipated by  
outputs. The power dissipated in each PIO depends on  
whether it is configured as an input, output, or input/  
146  
Lucent Technologies Inc.  
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
OR3T55 Clock Power  
= [0.88 mW/MHz  
Estimating Power Dissipation (continued)  
P
OR3Txxx (Preliminary Information)  
+ (0.102 mW/MHz/Branch) (# Branches)  
+ (0.015 mW/MHz/PFU) (# PFUs)  
+ (0.004 mW/MHz/PIO (# PIOs)]  
The total operating power dissipated is estimated by  
summing the standby (IDDSB), internal, and external  
power dissipated. The internal and external power is  
the power consumed in the PLCs and PICs, respec-  
tively. In general, the standby power is small and may  
be neglected. The total operating power is as follows:  
For a quick estimate, the worst-case (typical circuit)  
OR3T55 clock power 6.58 mW/MHz.  
OR3T80 Clock Power  
P
= [0.107 mW/MHz  
Σ
Σ
P
T
PLC  
PIC  
P =  
P
+
+ (0.124 mW/MHz/Branch) (# Branches)  
+ (0.015 mW/MHz/PFU) (# PFUs)  
+ (0.004 mW/MHz/PIO (# PIOs)]  
The internal operating power is made up of two parts:  
clock generation and PFU output power. The PFU out-  
put power can be estimated based upon the number of  
PFU outputs switching when driving an average fan-out  
of two:  
For a quick estimate, the worst-case (typical circuit)  
OR3T80 clock power 9.47 mW/MHz.  
OR3T125 Clock Power  
PFU  
P
= 0.068 mW/MHz  
P
= [0.167 mW/MHz  
For each PFU output that switches, 0.068 mW/MHz  
needs to be multiplied times the frequency (in MHz)  
that the output switches. Generally, this can be esti-  
mated by using one-half the clock rate, multiplied by  
some activity factor; for example, 20%.  
+ (0.193 mW/MHz/Branch) (# Branches)  
+ (0.015 mW/MHz/PFU) (# PFUs)  
+ (0.004 mW/MHz/PIO (# PIOs)]  
For a quick estimate, the worst-case (typical circuit)  
OR3T125 clock power 15.44 mW/MHz.  
The power dissipated by the clock generation circuitry  
is based upon four parts: the fixed clock power, the  
power/clock branch row or column, the clock power dis-  
sipated in each PFU that uses this particular clock, and  
the power from the subset of those PFUs configured as  
synchronous memory. Therefore, the clock power can  
be calculated for the four parts using the following  
equations.  
The power dissipated in a PIC is the sum of the power  
dissipated in the four PIOs in the PIC. This consists of  
power dissipated by inputs and ac power dissipated by  
outputs. The power dissipated in each PIO depends on  
whether it is configured as an input, output, or input/  
output. If a PIO is operating as an output, then there is  
a power dissipation component for PIN, as well as  
POUT. This is because the output feeds back to the  
input.  
OR3T20 Clock Power  
P
= [0.38 mW/MHz  
The power dissipated by an input buffer (VIH = VDD –  
0.3 V or higher) is estimated as:  
+ (0.045 mW/MHz/Branch) (# Branches)  
+ (0.015 mW/MHz/PFU) (# PFUs)  
+ (0.004 mW/MHz/PIO (# PIOs)]  
IN  
P
= 0.09 mW/MHz  
The ac power dissipation from an output or bidirec-  
tional is estimated by the following:  
For a quick estimate, the worst-case (typical circuit)  
OR3T20 clock power 2.92 mW/MHz.  
= (C + 8.8 pF) x V 2 x F Watts  
where the unit for CL is farads, and the unit for F is Hz.  
OUT  
P
L
DD  
OR3T30 Clock Power  
P
= [0.53 mW/MHz  
+ (0.061 mW/MHz/Branch) (# Branches)  
+ (0.015 mW/MHz/PFU) (# PFUs)  
+ (0.004 mW/MHz/PIO (# PIOs)]  
For a quick estimate, the worst-case (typical circuit)  
OR3T30 clock power 3.98 mW/MHz.  
Lucent Technologies Inc.  
147  
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
Estimating Power Dissipation (continued)  
As an example of estimating power dissipation, suppose that a fully utilized OR3T80 has an average of  
six outputs for each of the 484 PFUs, that 12 clock branches are used so that the clock is driven to the entire PLC  
array, that 250 of the 484 PFUs have FFs clocked at 40 MHz, and that the PFU outputs have an average activity  
factor of 20%.  
Eighty inputs, 40 of them used as 5 V tolerant inputs, 50 outputs driving 30 pF loads, and 30 bidirectional  
I/Os driving 50 pF loads are also generated from the  
40 MHz clock with an average activity factor of 20%. All of the output PIOs are registered, and 30 of the input PIOs  
are registered.  
The worst-case (VDD = 3.6 V) power dissipation is estimated as follows:  
PFU  
P
= 484 x 6 (0.068 mW/MHz x 20 MHz x 20%)  
= 789.9 mW  
CLK  
P
= [0.107 mW/MHz + (0.09 mW/MHz – Branch)  
(12 Branches)  
+ (0.015 mW/MHz – PFU) (250 PFUs)  
+ (0.004 mW/MHz/PIO) (110 PIOs)]  
= 230.43 mW  
IN  
P
P
P
= 80 x [0.09 mW/MHz x 20 MHz x 20%]  
= 28.8 mW  
= 50 x [(30 pF + 8.8 pF) x (3.6)2 x 20 MHz x 20%]  
= 100.57 mW  
= 30 x [(50 pF + 8.8 pF) x (3.6)2 x 20 MHz x 20%]  
= 91.45 mW  
OUT  
BID  
TOTAL  
= 1.241 W  
148  
Lucent Technologies Inc.  
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
Pin Information  
Pin Descriptions  
This section describes the pins found on the Series 3 FPGAs. Any pin not described in this table is a user-program-  
mable I/O. During configuration, the user-programmable I/Os are 3-stated with an internal pull-up resistor enabled.  
If any pin is not used (or not bonded to a package pin), it is also 3-stated with an internal pull-up resistor enabled  
after configuration.  
Table 67. Pin Descriptions  
Symbol  
I/O  
Description  
Dedicated Pins  
DD  
V
Positive power supply.  
Ground supply.  
GND  
DD  
DD  
V
5
5 V tolerant select. V 5 pin locations are shown for package compatibility with  
OR2TxxA devices. Connections to 5 V power sources are not used for 5 V tolerant  
I/Os in the OR3Txxx devices.  
RESET  
CCLK  
I
I
During configuration, RESET forces the restart of configuration and a pull-up is  
enabled. After configuration, RESET can be used as a general FPGA input or as a  
direct input, which causes all PLC latches/FFs to be asynchronously set/reset.  
In the master and asynchronous peripheral modes, CCLK is an output which  
strobes configuration data in. In the slave or synchronous peripheral mode, CCLK  
is input synchronous with the data on DIN or D[7:0]. In microprocessor mode, CCLK  
is used internally and output for daisy-chain operation.  
DONE  
I
As an input, a low level on DONE delays FPGA start-up after configuration (see  
Note).  
O
I
As an active-high, open-drain output, a high level on this signal indicates that config-  
uration is complete. DONE has an optional pull-up resistor.  
PRGM  
PRGM is an active-low input that forces the restart of configuration and resets the  
boundary-scan circuitry. This pin always has an active pull-up.  
RD_CFG  
I
This pin must be held high during device initialization until the INIT pin goes high.  
This pin always has an active pull-up.  
During configuration, RD_CFG is an active-low input that activates the TS_ALL func-  
tion and 3-states all of the I/O.  
After configuration, RD_CFG can be selected (via a bit stream option) to activate the  
TS_ALL function as described above, or, if readback is enabled via a bit stream  
option, a high-to-low transition on RD_CFG will initiate readback of the configuration  
data, including PFU output states, starting with frame address 0.  
RD_DATA/TDO  
O
I
RD_DATA/TDO is a dual-function pin. If used for readback, RD_DATA provides con-  
figuration data out. If used in boundary scan, TDO is test data out.  
Special-Purpose Pins  
M0, M1, M2  
During powerup and initialization, M0—M2 are used to select the configuration  
mode with their values latched on the rising edge of INIT; see Table 34 for the config-  
uration modes. During configuration, a pull-up is enabled.  
I/O After configuration, these pins are user-programmable I/O (see Note).  
Note: The FPGA States of Operation section contains more information on how to control these signals during start-up. The timing of DONE  
release is controlled by one set of bit stream options, and the timing of the simultaneous release of all other configuration pins (and the  
activation of all user I/Os) is controlled by a second set of options.  
Lucent Technologies Inc.  
149  
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
Pin Information (continued)  
Table 67. Pin Descriptions  
(continued)  
Symbol  
I/O  
Description  
Special-Purpose Pins (continued)  
M3  
I
During powerup and initialization, M3 is used to select the speed of the internal oscillator dur-  
ing configuration with their values latched on the rising edge of INIT. When M3 is low, the  
oscillator frequency is 10 MHz. When M3 is high, the oscillator is 1.25 MHz. During configura-  
tion, a pull-up is enabled.  
I/O After configuration, this pin is a user-programmable I/O pin (see Note).  
TDI, TCK,  
TMS  
I
If boundary scan is used, these pins are test data in, test clock, and test mode select inputs. If  
boundary scan is not selected, all boundary-scan functions are inhibited once configuration is  
complete. Even if boundary scan is not used, either TCK or TMS must be held at logic 1 dur-  
ing configuration. Each pin has a pull-up enabled during configuration.  
I/O After configuration, these pins are user-programmable I/O (see Note).  
RDY/RCLK/  
MPI_ALE  
O
During configuration in peripheral mode, RDY/RCLK indicates another byte can be written to  
the FPGA. If a read operation is done when the device is selected, the same status is also  
available on D7 in asynchronous peripheral mode.  
O
I
During the master parallel configuration mode, RCLK is a read output signal to an external  
memory. This output is not normally used.  
i960  
In  
microprocessor mode, this pin acts as the address latch enable (ALE) input.  
I/O After configuration, if the MPI is not used, this pin is a user-programmable I/O pin (see Note).  
HDC  
LDC  
O
High During Configuration is output high until configuration is complete. It is used as a control  
output, indicating that configuration is not complete.  
I/O After configuration, this pin is a user-programmable I/O pin (see Note).  
O
Low During Configuration is output low until configuration is complete. It is used as a control out-  
put, indicating that configuration is not complete.  
I/O After configuration, this pin is a user-programmable I/O pin (see Note).  
INIT  
I/O INIT is a bidirectional signal before and during configuration. During configuration, a pull-up is  
enabled, but an external pull-up resistor is recommended. As an active-low open-drain out-  
put, INIT is held low during power stabilization and internal clearing of memory. As an active-  
low input, INIT holds the FPGA in the wait-state before the start of configuration.  
I/O After configuration, this pin is a user-programmable I/O pin (see Note).  
Note: The FPGA States of Operation section contains more information on how to control these signals during start-up. The timing of DONE  
release is controlled by one set of bit stream options, and the timing of the simultaneous release of all other configuration pins (and the  
activation of all user I/Os) is controlled by a second set of options.  
150  
Lucent Technologies Inc.  
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
Pin Information (continued)  
Table 67. Pin Descriptions  
(continued)  
Symbol  
I/O  
Description  
Special-Purpose Pins (continued)  
CS0, CS1  
I
CS0 and CS1 are used in the asynchronous peripheral, slave parallel, and microprocessor  
configuration modes. The FPGA is selected when CS0 is low and CS1 is high. During config-  
uration, a pull-up is enabled.  
I/O After configuration, these pins are user-programmable I/O pins (see Note).  
RD/  
MPI_STRB  
I
RD is used in the asynchronous peripheral configuration mode. A low on RD changes D7 into  
a status output. As a status indication, a high indicates ready, and a low indicates busy. WR  
and RD should not be used simultaneously. If they are, the write strobe overrides.  
I
This pin is also used as the microprocessor interface (MPI) data transfer strobe. For  
PowerPC  
, it is the transfer start (TS). For  
i960  
, it is the address/data strobe (ADS).  
I/O After configuration, if the MPI is not used, this pin is a user-programmable I/O pin (see Note).  
WR  
I
WR is used in the asynchronous peripheral configuration mode. When the FPGA is selected,  
a low on the write strobe, WR, loads the data on D[7:0] inputs into an internal data buffer. WR  
and RD should not be used simultaneously. If they are, the write strobe overrides.  
I/O After configuration, this pin is a user-programmable I/O pin (see Note).  
A[17:0]  
O
During master parallel configuration mode, A[17:0] address the configuration EPROM. In  
microprocessor interface (MPI) mode, many of the A[n] pins have alternate uses as described  
below. See the Special Function Blocks section for more MPI information. During configura-  
tion, if not in master parallel or an MPI configuration mode, these pins are 3-stated with a pull-  
up enabled.  
I/O After configuration, the pins are user-programmable I/O pins (see Note).  
Note: The FPGA States of Operation section contains more information on how to control these signals during start-up. The timing of DONE  
release is controlled by one set of bit stream options, and the timing of the simultaneous release of all other configuration pins (and the  
activation of all user I/Os) is controlled by a second set of options.  
Lucent Technologies Inc.  
151  
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
Pin Information (continued)  
Table 67. Pin Descriptions  
(continued)  
Symbol  
I/O  
Description  
Special-Purpose Pins (continued)  
A11/MPI_IRQ  
A10/MPI_BI  
A9/MPI_ACK  
O
I/O  
O
MPI active-low interrupt request output.  
After configuration, if the MPI is not used, this pin is a user-programmable I/O pin (see Note).  
PowerPC  
mode MPI burst inhibit output.  
After configuration, if the MPI is not used, this pin is a user-programmable I/O pin (see Note).  
I/O  
O
PowerPC  
In  
i960  
mode MPI operation, this is the active-high transfer acknowledge (TA) output. For  
MPI operation, it is the active-low ready/record (RDYRCV) output.  
I/O After configuration, if the MPI is not used, this pin is a user-programmable I/O pin (see Note).  
PowerPC  
A8/MPI_RW  
A7/MPI_CLK  
A[4:0]  
I
In  
mode MPI operation, this is the active-low write/active-high read control signals.  
i960  
For  
operation, it is the active-high write/active-low read control signal.  
After configuration, if the MPI is not used, this pin is a user-programmable I/O pin (see Note).  
I/O  
I
PowerPC  
This is the clock used for the synchronous MPI interface. For  
, it is the CLKOUT  
i960 i960  
signal. For  
, it is the system clock that is chosen for the  
external bus interface.  
After configuration, if the MPI is not used, this pin is a user-programmable I/O pin (see Note).  
I/O  
I
PowerPC  
PowerPC  
address inputs. The address bit mapping (in  
For  
operation, these are the  
PowerPC  
/FPGA notation) is A[31]/A[0], A[30]/A[1], A[29]/A[2], A[28]/A[3], A[27]/A[4]. Note  
i960  
that A[27]/A[4] is the MSB of the address. The A[4:2] inputs are not used in  
MPI mode.  
After configuration, if the MPI is not used, this pin is a user-programmable I/O pin (see Note).  
I/O  
I
i960  
i960  
byte enable signals, BE[1:0], that are used as  
A[1:0]/  
For  
operation, MPI_BE[1:0] provide the  
i960  
MPI_BE[1:0]  
address bits A[1:0] in  
byte-wide operation.  
After configuration, if the MPI is not used, this pin is a user-programmable I/O pin (see Note).  
I/O  
I
D[7:0]  
During master parallel, peripheral, and slave parallel configuration modes, D[7:0] receive  
configuration data, and each pin has a pull-up enabled. During serial configuration modes, D0  
PowerPC  
microprocessor mode.  
is the DIN input. D[7:0] are also the data pins for  
i960  
microprocessor mode and the  
address/data pins for  
After configuration, the pins are user-programmable I/O pins (see Note).  
I/O  
I
DIN  
During slave serial or master serial configuration modes, DIN accepts serial configuration  
data synchronous with CCLK. During parallel configuration modes, DIN is the D0 input. Dur-  
ing configuration, a pull-up is enabled.  
After configuration, this pin is a user-programmable I/O pin (see Note).  
I/O  
O
DOUT  
During configuration, DOUT is the serial data output that can drive the DIN of daisy-chained  
slave LCA devices. Data out on DOUT changes on the falling edge of CCLK.  
I/O  
After configuration, DOUT is a user-programmable I/O pin (see Note).  
Note: The FPGA States of Operation section contains more information on how to control these signals during start-up. The timing of DONE  
release is controlled by one set of bit stream options, and the timing of the simultaneous release of all other configuration pins (and the  
activation of all user I/Os) is controlled by a second set of options.  
152  
Lucent Technologies Inc.  
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
Pin Information (continued)  
Package Compatibility  
Table 68 provides the number of user I/Os available for the ORCA Series 3 FPGAs for each available package.  
Each package has six dedicated configuration pins.  
Tables 70—75 provide the package pin and pin function for the ORCA Series 3 FPGAs and packages. The bond  
pad name is identified in the PIC nomenclature used in the ORCA Foundry design editor.  
When the number of FPGA bond pads exceeds the number of package pins, bond pads are unused. When the  
number of package pins exceeds the number of bond pads, package pins are left unconnected (no connects).  
When a package pin is to be left as a no connect for a specific die, it is indicated as a note in the device pad column  
for the FPGA. The tables provide no information on unused pads.  
Table 68. ORCA I/Os Summary  
208-Pin  
SQFP/SQPF2  
240-Pin  
SQFP/SQFP2  
256-Pin  
PBGA  
352-Pin  
PBGA  
432-Pin  
EBGA  
600-Pin  
EBGA  
Device  
OR3T20  
User I/Os*  
171  
31  
6
192  
40  
6
192  
26  
6
192  
48  
6
DD SS  
V
/V  
Configuration  
Unused  
0
2
32  
106  
OR3T30  
User I/Os*  
171  
31  
6
192  
40  
6
221  
26  
6
224  
48  
6
DD SS  
V
/V  
Configuration  
Unused  
0
2
3
74  
OR3C/T55  
User I/Os*  
171  
31  
6
192  
42  
6
223  
26  
6
288  
48  
6
DD SS  
V
/V  
Configuration  
Unused  
0
0
1
10  
OR3C/T80  
User I/Os*  
171  
31  
6
192  
42  
6
298  
48  
6
342  
84  
6
DD SS  
V
/V  
Configuration  
Unused  
0
0
0
0
OR3T125  
User I/Os*  
171  
31  
6
192  
42  
6
298  
48  
6
342  
84  
6
448  
140  
6
DD SS  
V
/V  
Configuration  
Unused  
0
0
0
0
6
*User I/O count includes four ExpressCLK inputs.  
Lucent Technologies Inc.  
153  
 
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
Pin Information (continued)  
Compatibility with OR2C/TxxA Series  
The pinouts shown for the OR3Cxx and OR3Txxx devices are consistent with the OR2C/TxxA Series for all devices  
offered in the same packages. This includes the following pins: VDD, VSS, VDD5 (OR2TxxA Series only), and all  
configuration pins.  
The following restrictions apply:  
1. There are two configuration modes supported in the OR2C/TxxA Series that are not supported in Series 3: mas-  
ter parallel down and synchronous peripheral modes. The Series 3 FPGAs have two new microprocessor inter-  
face (MPI) configuration modes that are unavailable in the OR2C/TxxA Series.  
2. There are four pins—one per each device side—that are user I/O in the OR2C/TxxA Series which can only be  
used as fast dedicated clocks or global inputs in Series 3. These pins are also used to drive the ExpressCLK to  
the I/O FFs on their given side of the device. These four middle ExpressCLK pins should not be used to connect  
to a programmable clock manager (PCM). A corner ExpressCLK input should be used instead (see item 3  
below). See Table 69 for a list of these pins in each package.  
3. There are two other pins that are user I/O in both the OR2C/TxxA and Series 3 but also have optional added  
functionality. Each of these pins drives the ExpressCLKs on two sides of the device. They also have fast connec-  
tivity to the programmable clock manager (PCM). See Table 69 for a list of these pins in each package.  
Table 69. Series 3  
Pins  
ExpressCLK  
208-Pin  
Pin Name/  
Package  
240-Pin  
256-Pin  
PBGA  
352-Pin  
PBGA  
432-Pin  
EBGA  
600-Pin  
EBGA  
SQFP/SQFP2 SQFP/SQFP2  
I-ECKL  
I-ECKB  
22  
80  
26  
91  
K3  
W11  
K18  
B11  
W1  
N2  
AE14  
N23  
B14  
AB4  
A25  
R29  
AH16  
T2  
U33  
AM18  
V2  
I-ECKR  
131  
178  
49  
152  
207  
56  
I-ECKT  
C15  
AG29  
D5  
C17  
AK34  
D5  
I/O-SECKLL  
I/O-SECKUR  
159  
184  
A19  
154  
Lucent Technologies Inc.  
 
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
Pin Information (continued)  
Table 70. OR3T20, OR3T30, OR3C/T55, OR3C/T80, and OR3T125 208-Pin SQFP/SQFP2 Pinout  
OR3T20  
Pad  
OR3T30  
Pad  
OR3C/T55  
Pad  
OR3C/T80  
Pad  
OR3T125  
Pad  
Pin  
Function  
1
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
V
V
V
V
V
V
V
V
V
V
V
V
2
SS  
3
PL1D  
PL1A  
PL2D  
PL2C  
PL2A  
PL3D  
PL3C  
PL3B  
PL3A  
PL1D  
PL2D  
PL4D  
PL5D  
PL7D  
PL8A  
PL9D  
PL9B  
PL9A  
PL1D  
PL2D  
PL3D  
PL3A  
PL4A  
PL5A  
PL6D  
PL6B  
PL6A  
PL1D  
PL2D  
PL4D  
PL4A  
PL5A  
PL6A  
PL7D  
PL7B  
PL7A  
PL1D  
PL2D  
PL4D  
PL5D  
PL7D  
PL8A  
PL9D  
PL9B  
PL9A  
I/O  
4
I/O-A0/MPI_BE0  
5
I/O  
I/O  
6
7
I/O-A1/MPI_BE1  
I/O-A2  
I/O  
8
9
10  
11  
12  
13  
14  
15  
16  
17  
18  
19  
20  
21  
22  
23  
24  
25  
26  
27  
28  
29  
30  
31  
32  
33  
34  
35  
36  
37  
38  
39  
I/O  
I/O-A3  
DD  
DD  
DD  
DD  
DD  
DD  
V
V
V
V
V
V
PL4D  
PL4C  
PL4B  
PL4A  
PL5D  
PL5C  
PL5B  
PL5A  
PL10D  
PL10A  
PL11D  
PL11A  
PL12D  
PL12A  
PL13D  
PL13A  
PL7D  
PL7C  
PL7B  
PL7A  
PL8D  
PL8C  
PL8B  
PL8A  
PL8D  
PL8A  
PL10D  
PL10A  
PL11D  
PL11A  
PL12D  
PL12A  
PL13D  
PL13A  
I/O  
I/O  
PL9D  
PL9B  
I/O  
I/O-A4  
I/O-A5  
I/O  
PL9A  
PL10C  
PL10B  
PL10A  
I/O  
I/O-A6  
SS  
SS  
SS  
SS  
SS  
SS  
V
V
V
V
V
V
PECKL  
PL6C  
PL6B  
PL6A  
PECKL  
PL14C  
PL14B  
PL14A  
PECKL  
PL9C  
PL9B  
PL9A  
PECKL  
PL11C  
PL11B  
PL11A  
PECKL  
PL14C  
PL14B  
PL14A  
I-ECKL  
I/O  
I/O  
I/O-A7/MPI_CLK  
DD  
V
DD  
V
DD  
V
DD  
V
DD  
V
DD  
V
PL7D  
PL7C  
PL7B  
PL7A  
PL15D  
PL15C  
PL15B  
PL15A  
PL10D  
PL10C  
PL10B  
PL10A  
PL12D  
PL12C  
PL12B  
PL12A  
PL15D  
PL15C  
PL15B  
PL15A  
I/O  
I/O  
I/O  
I/O-A8/MPI_RW  
SS  
SS  
SS  
SS  
SS  
SS  
V
V
V
V
V
V
PL8D  
PL8C  
PL8B  
PL8A  
PL9D  
PL9C  
PL9B  
PL9A  
PL16D  
PL16A  
PL17D  
PL17A  
PL18D  
PL18A  
PL19D  
PL19A  
PL11D  
PL11C  
PL11B  
PL11A  
PL12D  
PL12C  
PL12B  
PL12A  
PL13D  
PL13B  
PL13A  
PL14C  
PL14B  
PL15C  
PL15B  
PL15A  
PL16D  
PL16A  
PL17D  
PL17A  
PL18D  
PL18A  
PL19D  
PL19A  
I/O-A9/MPI_ACK  
I/O  
I/O  
I/O-A10/MPI_BI  
I/O  
I/O  
I/O  
I/O-A11/MPI_IRQ  
Lucent Technologies Inc.  
155  
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
Pin Information (continued)  
Table 70. OR3T20, OR3T30, OR3C/T55, OR3C/T80, and OR3T125, 208-Pin SQFP/SQFP2 Pinout (continued)  
OR3T20  
Pad  
OR3T30  
Pad  
OR3C/T55  
Pad  
OR3C/T80  
Pad  
OR3T125  
Pad  
Pin  
Function  
DD  
DD  
DD  
DD  
DD  
DD  
V
40  
41  
42  
43  
44  
45  
46  
47  
48  
49  
50  
51  
52  
53  
54  
55  
56  
57  
58  
59  
60  
61  
62  
63  
64  
65  
66  
67  
68  
69  
70  
71  
72  
73  
74  
75  
76  
77  
78  
V
V
V
V
V
PL10D  
PL10C  
PL10B  
PL10A  
PL11D  
PL11A  
PL12D  
PL12C  
PL12B  
PL12A  
PL20D  
PL20B  
PL21D  
PL21B  
PL22D  
PL24A  
PL26D  
PL27D  
PL27A  
PL28A  
PL13D  
PL13B  
PL14D  
PL14B  
PL15D  
PL16D  
PL17D  
PL17A  
PL18C  
PL18A  
PL16D  
PL16B  
PL17D  
PL17B  
PL18D  
PL19D  
PL20D  
PL21D  
PL21A  
PL22A  
PL20D  
PL20B  
PL21D  
PL21B  
PL22D  
PL24A  
PL26D  
PL27D  
PL27A  
PL28A  
I/O-A12  
I/O  
I/O  
I/O-A13  
I/O  
I/O-A14  
I/O  
I/O  
I/O-SECKLL  
I/O-A15  
SS  
SS  
SS  
SS  
SS  
SS  
V
V
V
V
V
V
PCCLK  
PCCLK  
PCCLK  
PCCLK  
PCCLK  
CCLK  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
V
V
V
V
V
V
V
V
V
V
V
V
PB1A  
PB1B  
PB1C  
PB1D  
PB2A  
PB2D  
PB3A  
PB3B  
PB3C  
PB3D  
PB1A  
PB2A  
PB2D  
PB3D  
PB4D  
PB5D  
PB6D  
PB7D  
PB8D  
PB9D  
PB1A  
PB1D  
PB2A  
PB2D  
PB3D  
PB4D  
PB5B  
PB5D  
PB6B  
PB6D  
PB1A  
PB2A  
PB2D  
PB3D  
PB4D  
PB5D  
PB6B  
PB6D  
PB7B  
PB7D  
PB1A  
PB2A  
PB2D  
PB3D  
PB4D  
PB5D  
PB6D  
PB7D  
PB8D  
PB9D  
I/O-A16  
I/O  
I/O  
I/O  
I/O-A17  
I/O  
I/O  
I/O  
I/O  
I/O  
DD  
DD  
DD  
DD  
DD  
DD  
V
V
V
V
V
V
PB4A  
PB4B  
PB4C  
PB4D  
PB5A  
PB5B  
PB5C  
PB5D  
PB10A  
PB10D  
PB11A  
PB11D  
PB12A  
PB12D  
PB13A  
PB13D  
PB7A  
PB7B  
PB7C  
PB7D  
PB8A  
PB8B  
PB8C  
PB8D  
PB8A  
PB8D  
PB9A  
PB10A  
PB10D  
PB11A  
PB11D  
PB12A  
PB12D  
PB13A  
PB13D  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
PB9C  
PB9D  
PB10A  
PB10B  
PB10D  
SS  
SS  
SS  
SS  
SS  
SS  
V
V
V
V
V
V
PB6A  
PB6B  
PB6C  
PB6D  
PB14A  
PB14B  
PB14C  
PB14D  
PB9A  
PB9B  
PB9C  
PB9D  
PB11A  
PB11B  
PB11C  
PB11D  
PB14A  
PB14B  
PB14C  
PB14D  
I/O  
I/O  
I/O  
I/O  
156  
Lucent Technologies Inc.  
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
Pin Information (continued)  
Table 70. OR3T20, OR3T30, OR3C/T55, OR3C/T80, and OR3T125 208-Pin SQFP/SQFP2 Pinout (continued)  
OR3T20  
Pad  
OR3T30  
Pad  
OR3C/T55  
Pad  
OR3C/T80  
Pad  
OR3T125  
Pad  
Pin  
Function  
SS  
SS  
SS  
SS  
SS  
SS  
V
79  
80  
V
V
V
V
V
PECKB  
PB7B  
PB7C  
PB7D  
PECKB  
PB15B  
PB15C  
PB15D  
PECKB  
PB10B  
PB10C  
PB10D  
PECKB  
PB12B  
PB12C  
PB12D  
PECKB  
PB15B  
PB15C  
PB15D  
I-ECKB  
I/O  
81  
82  
I/O  
83  
I/O  
SS  
SS  
SS  
SS  
SS  
SS  
V
84  
V
V
V
V
V
85  
PB8A  
PB8B  
PB8C  
PB8D  
PB9A  
PB9B  
PB9C  
PB9D  
PB16A  
PB16D  
PB17A  
PB17D  
PB18A  
PB18D  
PB19A  
PB19D  
PB11A  
PB11B  
PB11C  
PB11D  
PB12A  
PB12B  
PB12C  
PB12D  
PB13A  
PB13B  
PB13C  
PB14A  
PB14B  
PB14D  
PB15A  
PB15D  
PB16A  
PB16D  
PB17A  
PB17D  
PB18A  
PB18D  
PB19A  
PB19D  
I/O  
I/O  
86  
87  
I/O  
88  
I/O  
89  
I/O-HDC  
I/O  
90  
91  
I/O  
92  
I/O  
DD  
V
DD  
V
DD  
V
DD  
V
DD  
V
DD  
V
93  
94  
PB10A  
PB10B  
PB10C  
PB10D  
PB11A  
PB11C  
PB11D  
PB12A  
PB12D  
PB20A  
PB21D  
PB22A  
PB23D  
PB24A  
PB25A  
PB26A  
PB27D  
PB28D  
PB13A  
PB13D  
PB14A  
PB14D  
PB15A  
PB16A  
PB17A  
PB18A  
PB18D  
PB16A  
PB16D  
PB17A  
PB17D  
PB18A  
PB19A  
PB20A  
PB21D  
PB22D  
PB20A  
PB21D  
PB22A  
PB23D  
PB24A  
PB25A  
PB26A  
PB27D  
PB28D  
I/O-LDC  
I/O  
95  
96  
I/O  
97  
I/O  
98  
I/O-INIT  
I/O  
99  
100  
101  
102  
103  
104  
105  
106  
107  
108  
109  
110  
111  
112  
113  
114  
115  
116  
117  
I/O  
I/O  
I/O  
SS  
SS  
SS  
SS  
SS  
SS  
V
V
V
V
V
V
PDONE  
PDONE  
PDONE  
PDONE  
PDONE  
DONE  
SS  
SS  
SS  
SS  
SS  
SS  
V
V
V
V
V
V
PRESETN  
PPRGMN  
PR12A  
PR12D  
PR11A  
PR11B  
PR10A  
PR10B  
PR10C  
PR10D  
PRESETN  
PPRGMN  
PR28A  
PR27A  
PR26A  
PR25A  
PR22D  
PR21A  
PR21D  
PR20A  
PRESETN  
PPRGMN  
PR18A  
PR18D  
PR17B  
PR16A  
PR15D  
PR14A  
PR14D  
PR13A  
PRESETN  
PPRGMN  
PR22A  
PR21A  
PR20A  
PR19A  
PR18D  
PR17A  
PR17D  
PR16A  
PRESETN  
PPRGMN  
PR28A  
PR27A  
PR26A  
PR25A  
PR22D  
PR21A  
PR21D  
PR20A  
RESET  
PRGM  
I/O-M0  
I/O  
I/O  
I/O  
I/O-M1  
I/O  
I/O  
I/O  
DD  
V
DD  
V
DD  
V
DD  
V
DD  
V
DD  
V
PR9A  
Lucent Technologies Inc.  
PR19A  
PR12A  
PR15A  
PR19A  
I/O-M2  
157  
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
Pin Information (continued)  
Table 70. OR3T20, OR3T30, OR3C/T55, OR3C/T80, and OR3T125 208-Pin SQFP/SQFP2 Pinout (continued)  
OR3T20  
Pad  
OR3T30  
Pad  
OR3C/T55  
Pad  
OR3C/T80  
Pad  
OR3T125  
Pad  
Pin  
Function  
118  
119  
120  
121  
122  
123  
124  
125  
126  
127  
128  
129  
130  
131  
132  
133  
134  
135  
136  
137  
138  
139  
140  
141  
142  
143  
144  
145  
146  
147  
148  
149  
150  
151  
152  
153  
154  
155  
156  
PR9B  
PR9C  
PR9D  
PR8A  
PR8B  
PR8C  
PR8D  
PR19D  
PR18A  
PR18D  
PR17A  
PR17D  
PR16A  
PR16D  
PR12B  
PR12C  
PR12D  
PR11A  
PR11B  
PR11C  
PR11D  
PR15D  
PR14A  
PR14C  
PR14D  
PR13A  
PR13B  
PR13D  
PR19D  
PR18A  
PR18D  
PR17A  
PR17D  
PR16A  
PR16D  
I/O  
I/O  
I/O  
I/O-M3  
I/O  
I/O  
I/O  
SS  
SS  
SS  
SS  
SS  
SS  
V
V
V
V
V
V
PR7A  
PR7B  
PR7C  
PR7D  
PR15A  
PR15B  
PR15C  
PR15D  
PR10A  
PR10B  
PR10C  
PR10D  
PR12A  
PR12B  
PR12C  
PR12D  
PR15A  
PR15B  
PR15C  
PR15D  
I/O  
I/O  
I/O  
I/O  
DD  
DD  
DD  
DD  
DD  
DD  
V
V
V
V
V
V
PECKR  
PR6B  
PR6C  
PR6D  
PECKR  
PR14B  
PR14C  
PR14D  
PECKR  
PR9B  
PR9C  
PR9D  
PECKR  
PR11B  
PR11C  
PR11D  
PECKR  
PR14B  
PR14C  
PR14D  
I-ECKR  
I/O  
I/O  
I/O  
SS  
SS  
SS  
SS  
SS  
SS  
V
V
V
V
V
V
PR5A  
PR5B  
PR5C  
PR5D  
PR4A  
PR4B  
PR4C  
PR4D  
PR13A  
PR13D  
PR12A  
PR12D  
PR11A  
PR11D  
PR10A  
PR10D  
PR8A  
PR8B  
PR8C  
PR8D  
PR7A  
PR7B  
PR7C  
PR7D  
PR10A  
PR10C  
PR10D  
PR9B  
PR9C  
PR9D  
PR8A  
PR8D  
PR13A  
PR13D  
PR12A  
PR12D  
PR11A  
PR11D  
PR10A  
PR10D  
I/O  
I/O  
I/O  
I/O  
I/O-CS1  
I/O  
I/O  
I/O  
DD  
DD  
DD  
DD  
DD  
DD  
V
V
V
V
V
V
PR3A  
PR3B  
PR3C  
PR3D  
PR2A  
PR2C  
PR2D  
PR1A  
PR1C  
PR1D  
PR9A  
PR9B  
PR8B  
PR8D  
PR7A  
PR5A  
PR4A  
PR3A  
PR2A  
PR1A  
PR6A  
PR6B  
PR5B  
PR5D  
PR4A  
PR4D  
PR3A  
PR2A  
PR2C  
PR1A  
PR7A  
PR7B  
PR6B  
PR6D  
PR5A  
PR5D  
PR4A  
PR3A  
PR2A  
PR1A  
PR9A  
PR9B  
PR8B  
PR8D  
PR7A  
PR5A  
PR4A  
PR3A  
PR2A  
PR1A  
I/O-CS0  
I/O  
I/O  
I/O  
I/O-RD/MPI_STRB  
I/O  
I/O  
I/O-WR  
I/O  
I/O  
SS  
SS  
SS  
SS  
SS  
SS  
V
V
V
V
V
V
PRD_CFGN  
PRD_CFGN  
PRD_CFGN  
PRD_CFGN  
PRD_CFGN  
RD_CFG  
158  
Lucent Technologies Inc.  
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
Pin Information (continued)  
Table 70. OR3T20, OR3T30, OR3C/T55, OR3C/T80, and OR3T125 208-Pin SQFP/SQFP2 Pinout (continued)  
OR3T20  
Pad  
OR3T30  
Pad  
OR3C/T55  
Pad  
OR3C/T80  
Pad  
OR3T125  
Pad  
Pin  
Function  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
157  
158  
159  
160  
161  
162  
163  
164  
165  
166  
167  
168  
169  
170  
171  
172  
173  
174  
175  
176  
177  
178  
179  
180  
181  
182  
183  
184  
185  
186  
187  
188  
189  
190  
191  
192  
193  
194  
195  
196  
V
V
V
V
V
V
V
V
V
V
V
V
SS  
PT12D  
PT12A  
PT11D  
PT11C  
PT11A  
PT10D  
PT10C  
PT10B  
PT10A  
PT28D  
PT27A  
PT25D  
PT25A  
PT24D  
PT23D  
PT22D  
PT21D  
PT20D  
PT18D  
PT17D  
PT16D  
PT16A  
PT15D  
PT14D  
PT14A  
PT13D  
PT13B  
PT22D  
PT21A  
PT19D  
PT19A  
PT18D  
PT17D  
PT17A  
PT16D  
PT16B  
PT28D  
PT27A  
PT25D  
PT25A  
PT24D  
PT23D  
PT22D  
PT21D  
PT20D  
I/O-SECKUR  
I/O-RDY/RCLK/MPI_ALE  
I/O  
I/O  
I/O-D7  
I/O  
I/O  
I/O  
I/O-D6  
DD  
DD  
DD  
DD  
DD  
DD  
V
V
V
V
V
V
PT9D  
PT9C  
PT9B  
PT9A  
PT8D  
PT8C  
PT8B  
PT8A  
PT19D  
PT19A  
PT18D  
PT18A  
PT17D  
PT17A  
PT16D  
PT16A  
PT12D  
PT12C  
PT12B  
PT12A  
PT11D  
PT11C  
PT11B  
PT11A  
PT15D  
PT15B  
PT15A  
PT14C  
PT14B  
PT13D  
PT13C  
PT13A  
PT19D  
PT19A  
PT18D  
PT18A  
PT17D  
PT17A  
PT16D  
PT16A  
I/O  
I/O  
I/O  
I/O-D5  
I/O  
I/O  
I/O  
I/O-D4  
SS  
SS  
SS  
SS  
SS  
SS  
V
V
V
V
V
V
PECKT  
PT7C  
PT7B  
PT7A  
PECKT  
PT15C  
PT15B  
PT15A  
PECKT  
PT10C  
PT10B  
PT10A  
PECKT  
PT12C  
PT12B  
PT12A  
PECKT  
PT15C  
PT15B  
PT15A  
I-ECKT  
I/O  
I/O  
I/O-D3  
SS  
SS  
SS  
SS  
SS  
SS  
V
V
V
V
V
V
PT6D  
PT6C  
PT6B  
PT6A  
PT14D  
PT14C  
PT14B  
PT14A  
PT9D  
PT9C  
PT9B  
PT9A  
PT11D  
PT11C  
PT11B  
PT11A  
PT14D  
PT14C  
PT14B  
PT14A  
I/O  
I/O  
I/O  
I/O-D2  
SS  
SS  
SS  
SS  
SS  
SS  
V
V
V
V
V
V
PT5D  
PT5C  
PT5B  
PT5A  
PT4D  
PT4C  
PT4B  
PT4A  
PT13D  
PT13A  
PT12D  
PT12A  
PT11D  
PT11A  
PT10D  
PT10A  
PT8D  
PT8C  
PT8B  
PT8A  
PT7D  
PT7C  
PT7B  
PT7A  
PT10D  
PT10B  
PT10A  
PT9C  
PT9B  
PT8D  
PT8C  
PT8A  
PT13D  
PT13A  
PT12D  
PT12A  
PT11D  
PT11A  
PT10D  
PT10A  
I/O-D1  
I/O  
I/O  
I/O-D0/DIN  
I/O  
I/O  
I/O  
I/O-DOUT  
DD  
DD  
DD  
DD  
DD  
DD  
V
V
V
V
V
V
Lucent Technologies Inc.  
159  
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
Pin Information (continued)  
Table 70. OR3T20, OR3T30, OR3C/T55, OR3C/T80, and OR3T125 208-Pin SQFP/SQFP2 Pinout (continued)  
OR3T20  
Pad  
OR3T30  
Pad  
OR3C/T55  
Pad  
OR3C/T80  
Pad  
OR3T125  
Pad  
Pin  
Function  
197  
198  
199  
200  
201  
202  
203  
204  
205  
206  
207  
208  
PT3D  
PT3C  
PT3B  
PT3A  
PT2D  
PT2A  
PT1D  
PT1C  
PT1B  
PT1A  
PT9D  
PT8A  
PT7A  
PT6A  
PT5A  
PT4A  
PT3A  
PT2A  
PT1D  
PT1A  
PT6D  
PT6A  
PT5C  
PT5A  
PT4A  
PT3A  
PT2C  
PT2A  
PT1D  
PT1A  
PT7D  
PT7A  
PT6C  
PT6A  
PT5A  
PT4A  
PT3A  
PT2A  
PT1D  
PT1A  
PT9D  
PT8A  
PT7A  
PT6A  
PT5A  
PT4A  
PT3A  
PT2A  
PT1D  
PT1A  
I/O  
I/O  
I/O  
I/O-TDI  
I/O  
I/O-TMS  
I/O  
I/O  
I/O  
I/O-TCK  
SS  
SS  
SS  
SS  
SS  
SS  
V
V
V
V
V
V
PRD_DATA  
PRD_DATA  
PRD_DATA  
PRD_DATA  
PRD_DATA  
RD_DATA/TDO  
160  
Lucent Technologies Inc.  
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
Pin Information (continued)  
Table 71. OR3T20, OR3T30, OR3C/T55, OR3C/T80, and OR3T125 240-Pin SQFP/SQFP2 Pinout  
OR3T20  
Pad  
OR3T30  
Pad  
OR3C/T55  
Pad  
OR3C/T80  
Pad  
OR3T125  
Pad  
Pin  
Function  
1
SS  
SS  
SS  
SS  
SS  
SS  
V
V
V
V
V
V
2
DD  
V
DD  
V
DD  
V
DD  
V
DD  
V
DD  
V
3
PL1D  
PL1C  
PL1B  
PL1A  
PL1D  
PL1B  
PL1A  
PL2D  
PL1D  
PL1C  
PL1B  
PL2D  
PL1D  
PL1C  
PL1B  
PL2D  
PL1D  
PL1C  
PL1B  
PL2D  
I/O  
4
I/O  
I/O  
5
6
I/O-A0/MPI_BE0  
SS  
SS  
SS  
SS  
SS  
SS  
V
7
V
V
V
V
V
8
PL2D  
PL2C  
PL2B  
PL2A  
PL3D  
PL3C  
PL3B  
PL3A  
PL3D  
PL3C  
PL3B  
PL3A  
PL4D  
PL4C  
PL4B  
PL4A  
PL3D  
PL3A  
PL4D  
PL4A  
PL5A  
PL6D  
PL6B  
PL6A  
PL4D  
PL4A  
PL5D  
PL5A  
PL6A  
PL7D  
PL7B  
PL7A  
PL4D  
PL5D  
PL6D  
PL7D  
PL8A  
PL9D  
PL9B  
PL9A  
I/O  
9
I/O  
I/O  
10  
11  
12  
13  
14  
15  
16  
17  
18  
19  
20  
21  
22  
23  
24  
25  
26  
27  
28  
29  
30  
31  
32  
33  
34  
35  
36  
37  
38  
39  
I/O-A1/MPI_BE1  
I/O-A2  
I/O  
I/O  
I/O-A3  
DD  
V
DD  
V
DD  
V
DD  
V
DD  
V
DD  
V
PL4D  
PL4C  
PL4B  
PL4A  
PL5D  
PL5C  
PL5B  
PL5A  
PL5D  
PL5C  
PL5B  
PL5A  
PL6D  
PL6C  
PL6B  
PL6A  
PL7D  
PL7C  
PL7B  
PL7A  
PL8D  
PL8C  
PL8B  
PL8A  
PL8D  
PL8A  
PL10D  
PL10A  
PL11D  
PL11A  
PL12D  
PL12A  
PL13D  
PL13A  
I/O  
I/O  
PL9D  
PL9B  
I/O  
I/O-A4  
I/O-A5  
I/O  
PL9A  
PL10C  
PL10B  
PL10A  
I/O  
I/O-A6  
SS  
SS  
SS  
SS  
SS  
SS  
V
V
V
V
V
V
PECKL  
PL6C  
PL6B  
PL6A  
PECKL  
PL7C  
PL7B  
PL7A  
PECKL  
PL9C  
PL9B  
PL9A  
PECKL  
PL11C  
PL11B  
PL11A  
PECKL  
PL14C  
PL14B  
PL14A  
I-ECKL  
I/O  
I/O  
I/O-A7/MPI_CLK  
DD  
V
DD  
V
DD  
V
DD  
V
DD  
V
DD  
V
PL7D  
PL7C  
PL7B  
PL7A  
PL8D  
PL8C  
PL8B  
PL8A  
PL10D  
PL10C  
PL10B  
PL10A  
PL12D  
PL12C  
PL12B  
PL12A  
PL15D  
PL15C  
PL15B  
PL15A  
I/O  
I/O  
I/O  
I/O-A8/MPI_RW  
SS  
SS  
SS  
SS  
SS  
SS  
V
V
V
V
V
V
PL8D  
PL8C  
PL8B  
PL8A  
PL9D  
PL9C  
PL9B  
PL9A  
PL11D  
PL11C  
PL11B  
PL11A  
PL13D  
PL13B  
PL13A  
PL14C  
PL16D  
PL16A  
PL17D  
PL17A  
I/O-A9/MPI_ACK  
I/O  
I/O  
I/O-A10/MPI_BI  
Lucent Technologies Inc.  
161  
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
Pin Information (continued)  
Table 71. OR3T20, OR3T30, OR3C/T55, OR3C/T80, and OR3T125 240-Pin SQFP/SQFP2 Pinout (continued)  
OR3T20  
Pad  
OR3T30  
Pad  
OR3C/T55  
Pad  
OR3C/T80  
Pad  
OR3T125  
Pad  
Pin  
Function  
40  
41  
42  
43  
44  
45  
46  
47  
48  
49  
50  
51  
52  
53  
54  
55  
56  
57  
58  
59  
60  
61  
62  
63  
64  
65  
66  
67  
68  
69  
70  
71  
72  
73  
74  
75  
76  
77  
78  
PL9D  
PL9C  
PL9B  
PL9A  
PL10D  
PL10C  
PL10B  
PL10A  
PL12D  
PL12C  
PL12B  
PL12A  
PL14B  
PL15C  
PL15B  
PL15A  
PL18D  
PL18A  
PL19D  
PL19A  
I/O  
I/O  
I/O  
I/O-A11/MPI_IRQ  
DD  
DD  
DD  
DD  
DD  
DD  
V
V
V
V
V
V
PL10D  
PL10C  
PL10B  
PL10A  
PL11D  
PL11C  
PL11B  
PL11A  
PL11D  
PL11C  
PL11B  
PL11A  
PL12D  
PL12C  
PL12B  
PL12A  
PL13D  
PL13B  
PL14D  
PL14B  
PL14A  
PL15D  
PL15B  
PL16D  
PL16D  
PL16B  
PL17D  
PL17B  
PL17A  
PL18D  
PL18B  
PL19D  
PL20D  
PL20B  
PL21D  
PL21B  
PL21A  
PL22D  
PL23D  
PL24A  
I/O-A12  
I/O  
I/O  
I/O-A13  
I/O  
I/O  
I/O  
I/O-A14  
SS  
SS  
SS  
SS  
SS  
SS  
V
V
V
V
V
V
PL12D  
PL12C  
PL12B  
PL12A  
PL13D  
PL13A  
PL14C  
PL14A  
PL17D  
PL17A  
PL18C  
PL18A  
PL20D  
PL21D  
PL21A  
PL22A  
PL26D  
PL27D  
PL27A  
PL28A  
I/O  
I/O  
I/O-SECKLL  
I/O-A15  
SS  
SS  
SS  
SS  
SS  
SS  
V
V
V
V
V
V
PCCLK  
PCCLK  
PCCLK  
PCCLK  
PCCLK  
CCLK  
DD  
DD  
DD  
DD  
DD  
DD  
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
PB1A  
PB1B  
PB1C  
PB1D  
PB1A  
PB1D  
PB2A  
PB2D  
PB1A  
PB1D  
PB2A  
PB2D  
PB1A  
PB2A  
PB2D  
PB3D  
PB1A  
PB2A  
PB2D  
PB3D  
I/O-A16  
I/O  
I/O  
I/O  
SS  
SS  
SS  
SS  
SS  
SS  
V
V
V
V
V
V
PB2A  
PB2B  
PB2C  
PB2D  
PB3A  
PB3B  
PB3C  
PB3D  
PB3A  
PB3B  
PB3C  
PB3D  
PB4A  
PB4B  
PB4C  
PB4D  
PB3D  
PB4D  
PB5A  
PB5B  
PB5D  
PB6A  
PB6B  
PB6D  
PB4D  
PB5D  
PB6A  
PB6B  
PB6D  
PB7A  
PB7B  
PB7D  
PB4D  
PB5D  
PB6A  
PB6D  
PB7D  
PB8A  
PB8D  
PB9D  
I/O-A17  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
DD  
DD  
DD  
DD  
DD  
DD  
V
V
V
V
V
V
PB4A  
PB4B  
PB5A  
PB5B  
PB7A  
PB7B  
PB8A  
PB8D  
PB10A  
PB10D  
I/O  
I/O  
162  
Lucent Technologies Inc.  
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
Pin Information (continued)  
Table 71. OR3T20, OR3T30, OR3C/T55, OR3C/T80, and OR3T125 240-Pin SQFP/SQFP2 Pinout (continued)  
OR3T20  
Pad  
OR3T30  
Pad  
OR3C/T55  
Pad  
OR3C/T80  
Pad  
OR3T125  
Pad  
Pin  
Function  
79  
80  
PB4C  
PB4D  
PB5A  
PB5B  
PB5C  
PB5D  
PB5C  
PB5D  
PB6A  
PB6B  
PB6C  
PB6D  
PB7C  
PB7D  
PB8A  
PB8B  
PB8C  
PB8D  
PB9A  
PB9C  
PB11A  
PB11D  
PB12A  
PB12D  
PB13A  
PB13D  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
81  
PB9D  
82  
PB10A  
PB10B  
PB10D  
83  
84  
SS  
SS  
SS  
SS  
SS  
SS  
V
85  
V
V
V
V
V
86  
PB6A  
PB6B  
PB6C  
PB6D  
PB7A  
PB7B  
PB7C  
PB7D  
PB9A  
PB9B  
PB9C  
PB9D  
PB11A  
PB11B  
PB11C  
PB11D  
PB14A  
PB14B  
PB14C  
PB14D  
I/O  
I/O  
I/O  
I/O  
87  
88  
89  
SS  
SS  
SS  
SS  
SS  
SS  
V
90  
V
V
V
V
V
91  
PECKB  
PB7B  
PB7C  
PB7D  
PECKB  
PB8B  
PB8C  
PB8D  
PECKB  
PB10B  
PB10C  
PB10D  
PECKB  
PB12B  
PB12C  
PB12D  
PECKB  
PB15B  
PB15C  
PB15D  
I-ECKB  
I/O  
92  
93  
I/O  
94  
I/O  
SS  
SS  
SS  
SS  
SS  
SS  
V
95  
V
V
V
V
V
96  
PB8A  
PB8B  
PB8C  
PB8D  
PB9A  
PB9B  
PB9C  
PB9D  
PB9A  
PB9B  
PB11A  
PB11B  
PB11C  
PB11D  
PB12A  
PB12B  
PB12C  
PB12D  
PB13A  
PB13B  
PB13C  
PB14A  
PB14B  
PB14D  
PB15A  
PB15D  
PB16A  
PB16D  
PB17A  
PB17D  
PB18A  
PB18D  
PB19A  
PB19D  
I/O  
I/O  
97  
98  
PB9C  
I/O  
99  
PB9D  
I/O  
100  
101  
102  
103  
104  
105  
106  
107  
108  
109  
110  
111  
112  
113  
114  
115  
116  
117  
PB10A  
PB10B  
PB10C  
PB10D  
I/O-HDC  
I/O  
I/O  
I/O  
DD  
V
DD  
V
DD  
V
DD  
V
DD  
V
DD  
V
PB10A  
PB10B  
PB10C  
PB10D  
PB11A  
PB11B  
PB11C  
PB11D  
PB11A  
PB11D  
PB12A  
PB12B  
PB12C  
PB12D  
PB13A  
PB13B  
PB13A  
PB13D  
PB14A  
PB14D  
PB15A  
PB15D  
PB16A  
PB16D  
PB16A  
PB16D  
PB17A  
PB17D  
PB18A  
PB18D  
PB19A  
PB19D  
PB20A  
PB21D  
PB22A  
PB23D  
PB24A  
PB24D  
PB25A  
PB25D  
I/O-LDC  
I/O  
I/O  
I/O  
I/O-INIT  
I/O  
I/O  
I/O  
SS  
SS  
SS  
SS  
SS  
V
V
V
V
V
PB12A  
PB12B  
PB12C  
PB12D  
PB13D  
PB14A  
PB14B  
PB14D  
PB17A  
PB17D  
PB18A  
PB18D  
PB20A  
PB21A  
PB21D  
PB22D  
PB26A  
PB27A  
PB27D  
PB28D  
I/O  
I/O  
I/O  
I/O  
Lucent Technologies Inc.  
163  
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
Pin Information (continued)  
Table 71. OR3T20, OR3T30, OR3C/T55, OR3C/T80, and OR3T125 240-Pin SQFP/SQFP2 Pinout (continued)  
OR3T20  
Pad  
OR3T30  
Pad  
OR3C/T55  
Pad  
OR3C/T80  
Pad  
OR3T125  
Pad  
Pin  
Function  
SS  
SS  
SS  
SS  
SS  
SS  
V
118  
119  
120  
121  
122  
123  
124  
125  
126  
127  
128  
129  
130  
131  
132  
133  
134  
135  
136  
137  
138  
139  
140  
141  
142  
143  
144  
145  
146  
147  
148  
149  
150  
151  
152  
153  
154  
155  
156  
V
V
V
V
V
PDONE  
PDONE  
PDONE  
PDONE  
PDONE  
DONE  
DD  
DD  
DD  
DD  
DD  
DD  
V
V
V
V
V
V
V
V
V
V
V
V
SS  
SS  
SS  
SS  
SS  
SS  
PRESETN  
PPRGMN  
PR12A  
PR12B  
PR12C  
PR12D  
PRESETN  
PPRGMN  
PR14A  
PR14D  
PR13A  
PR13D  
PRESETN  
PPRGMN  
PR18A  
PR18C  
PR18D  
PR17B  
RESET  
PRGM  
I/O-M0  
I/O  
PRESETN  
PPRGMN  
PR22A  
PR22D  
PR21A  
PR20A  
PRESETN  
PPRGMN  
PR28A  
PR28D  
PR27A  
PR26A  
I/O  
I/O  
SS  
SS  
SS  
SS  
SS  
SS  
V
V
V
V
V
V
PR11A  
PR11B  
PR11C  
PR11D  
PR10A  
PR10B  
PR10C  
PR10D  
PR12A  
PR12B  
PR12C  
PR12D  
PR11A  
PR11B  
PR11C  
PR11D  
PR16A  
PR16D  
PR15A  
PR15C  
PR15D  
PR14A  
PR14D  
PR13A  
PR19A  
PR19D  
PR18A  
PR18C  
PR18D  
PR17A  
PR17D  
PR16A  
PR25A  
PR24A  
PR23A  
PR23D  
PR22D  
PR21A  
PR21D  
PR20A  
I/O  
I/O  
I/O  
I/O  
I/O-M1  
I/O  
I/O  
I/O  
DD  
DD  
DD  
DD  
DD  
DD  
V
V
V
V
V
V
PR9A  
PR9B  
PR9C  
PR9D  
PR8A  
PR8B  
PR8C  
PR8D  
PR10A  
PR10B  
PR10C  
PR10D  
PR9A  
PR12A  
PR12B  
PR12C  
PR12D  
PR11A  
PR11B  
PR11C  
PR11D  
PR15A  
PR15D  
PR14A  
PR14C  
PR14D  
PR13A  
PR13B  
PR13D  
PR19A  
PR19D  
PR18A  
PR18D  
PR17A  
PR17D  
PR16A  
PR16D  
I/O-M2  
I/O  
I/O  
I/O  
I/O-M3  
I/O  
PR9B  
PR9C  
PR9D  
I/O  
I/O  
SS  
SS  
SS  
SS  
SS  
SS  
V
V
V
V
V
V
PR7A  
PR7B  
PR7C  
PR7D  
PR8A  
PR8B  
PR8C  
PR8D  
PR10A  
PR10B  
PR10C  
PR10D  
PR12A  
PR12B  
PR12C  
PR12D  
PR15A  
PR15B  
PR15C  
PR15D  
I/O  
I/O  
I/O  
I/O  
DD  
DD  
DD  
DD  
DD  
DD  
V
V
V
V
V
V
PECKR  
PR6B  
PR6C  
PR6D  
PECKR  
PR7B  
PR7C  
PR7D  
PECKR  
PR9B  
PR9C  
PR9D  
PECKR  
PR11B  
PR11C  
PR11D  
PECKR  
PR14B  
PR14C  
PR14D  
I-ECKR  
I/O  
I/O  
I/O  
SS  
SS  
SS  
SS  
SS  
SS  
V
V
V
V
V
V
164  
Lucent Technologies Inc.  
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
Pin Information (continued)  
Table 71. OR3T20, OR3T30, OR3C/T55, OR3C/T80, and OR3T125 240-Pin SQFP/SQFP2 Pinout (continued)  
OR3T20  
Pad  
OR3T30  
Pad  
OR3C/T55  
Pad  
OR3C/T80  
Pad  
OR3T125  
Pad  
Pin  
Function  
157  
158  
159  
160  
161  
162  
163  
164  
165  
166  
167  
168  
169  
170  
171  
172  
173  
174  
175  
176  
177  
178  
179  
180  
181  
182  
183  
184  
185  
186  
187  
188  
189  
190  
191  
192  
193  
194  
195  
PR5A  
PR5B  
PR5C  
PR5D  
PR4A  
PR4B  
PR4C  
PR4D  
PR6A  
PR6B  
PR6C  
PR6D  
PR5A  
PR5B  
PR5C  
PR5D  
PR8A  
PR8B  
PR8C  
PR8D  
PR7A  
PR7B  
PR7C  
PR7D  
PR10A  
PR10C  
PR10D  
PR9B  
PR9C  
PR9D  
PR8A  
PR8D  
PR13A  
PR13D  
PR12A  
PR12D  
PR11A  
PR11D  
PR10A  
PR10D  
I/O  
I/O  
I/O  
I/O  
I/O-CS1  
I/O  
I/O  
I/O  
DD  
V
DD  
V
DD  
V
DD  
V
DD  
V
DD  
V
PR3A  
PR3B  
PR3C  
PR3D  
PR2A  
PR2B  
PR2C  
PR2D  
PR4A  
PR4B  
PR4C  
PR4D  
PR3A  
PR3B  
PR3C  
PR3D  
PR6A  
PR6B  
PR5B  
PR5D  
PR4A  
PR4B  
PR4D  
PR3A  
PR7A  
PR7B  
PR6B  
PR6D  
PR5A  
PR5B  
PR5D  
PR4A  
PR9A  
PR9B  
PR8B  
PR8D  
PR7A  
PR6A  
PR5A  
PR4A  
I/O-CS0  
I/O  
I/O  
I/O  
I/O-RD/MPI_STRB  
I/O  
I/O  
I/O  
SS  
SS  
SS  
SS  
SS  
SS  
V
V
V
V
V
V
PR1A  
PR1B  
PR1C  
PR1D  
PR2A  
PR2D  
PR1A  
PR1D  
PR2A  
PR2C  
PR1A  
PR1D  
PR3A  
PR2A  
PR1A  
PR1D  
PR3A  
PR2A  
PR1A  
PR1D  
I/O-WR  
I/O  
I/O  
I/O  
SS  
SS  
SS  
SS  
SS  
SS  
V
V
V
V
V
V
PRD_CFGN  
SS  
PRD_CFGN  
SS  
PRD_CFGN  
SS  
RD_CFG  
SS  
PRD_CFGN  
SS  
PRD_CFGN  
SS  
V
V
V
V
V
V
DD  
V
DD  
V
DD  
V
DD  
V
DD  
V
DD  
V
SS  
SS  
SS  
SS  
SS  
SS  
V
V
V
V
V
V
PT12D  
PT12C  
PT12B  
PT12A  
PT14D  
PT14C  
PT14A  
PT13D  
PT18D  
PT18B  
PT18A  
PT17D  
PT22D  
PT22A  
PT21D  
PT21A  
PT28D  
PT28A  
PT27D  
PT27A  
I/O-SECKUR  
I/O  
I/O  
I/O-RDY/RCLK/MPI_ALE  
SS  
SS  
SS  
SS  
SS  
V
V
V
V
V
PT11D  
PT11C  
PT11B  
PT11A  
PT10D  
PT10C  
PT10B  
PT13B  
PT13A  
PT12D  
PT12C  
PT12A  
PT11D  
PT11C  
PT16D  
PT16C  
PT16A  
PT15D  
PT14D  
PT14A  
PT13D  
PT19D  
PT19C  
PT19A  
PT18D  
PT17D  
PT17A  
PT16D  
PT25D  
PT25C  
PT25A  
PT24D  
PT23D  
PT22D  
PT21D  
I/O  
I/O  
I/O  
I/O-D7  
I/O  
I/O  
I/O  
Lucent Technologies Inc.  
165  
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
Pin Information (continued)  
Table 71. OR3T20, OR3T30, OR3C/T55, OR3C/T80, and OR3T125 240-Pin SQFP/SQFP2 Pinout (continued)  
OR3T20  
Pad  
OR3T30  
Pad  
OR3C/T55  
Pad  
OR3C/T80  
Pad  
OR3T125  
Pad  
Pin  
Function  
196  
197  
198  
199  
200  
201  
202  
203  
204  
205  
206  
207  
208  
209  
210  
211  
212  
213  
214  
215  
216  
217  
218  
219  
220  
221  
222  
223  
224  
225  
226  
227  
228  
229  
230  
231  
232  
233  
234  
PT10A  
PT11B  
PT13B  
PT16B  
PT20D  
I/O-D6  
DD  
DD  
DD  
DD  
DD  
DD  
V
V
V
V
V
V
PT9D  
PT9C  
PT9B  
PT9A  
PT8D  
PT8C  
PT8B  
PT8A  
PT10D  
PT10C  
PT10B  
PT10A  
PT9D  
PT9C  
PT9B  
PT12D  
PT12C  
PT12B  
PT12A  
PT11D  
PT11C  
PT11B  
PT11A  
PT15D  
PT15B  
PT15A  
PT14C  
PT14B  
PT13D  
PT13C  
PT13A  
PT19D  
PT19A  
PT18D  
PT18A  
PT17D  
PT17A  
PT16D  
PT16A  
I/O  
I/O  
I/O  
I/O-D5  
I/O  
I/O  
I/O  
PT9A  
I/O-D4  
SS  
SS  
SS  
SS  
SS  
SS  
V
V
V
V
V
V
PECKT  
PT7C  
PT7B  
PT7A  
PECKT  
PT8C  
PT8B  
PT8A  
PECKT  
PT10C  
PT10B  
PT10A  
PECKT  
PT12C  
PT12B  
PT12A  
PECKT  
PT15C  
PT15B  
PT15A  
I-ECKT  
I/O  
I/O  
I/O-D3  
SS  
SS  
SS  
SS  
SS  
SS  
V
V
V
V
V
V
PT6D  
PT6C  
PT6B  
PT6A  
PT7D  
PT7C  
PT7B  
PT7A  
PT9D  
PT9C  
PT9B  
PT9A  
PT11D  
PT11C  
PT11B  
PT11A  
PT14D  
PT14C  
PT14B  
PT14A  
I/O  
I/O  
I/O  
I/O-D2  
SS  
SS  
SS  
SS  
SS  
SS  
V
V
V
V
V
V
PT5D  
PT5C  
PT5B  
PT5A  
PT4D  
PT4C  
PT4B  
PT4A  
PT6D  
PT6C  
PT6B  
PT6A  
PT5D  
PT5C  
PT5B  
PT5A  
PT8D  
PT8C  
PT8B  
PT8A  
PT7D  
PT7C  
PT7B  
PT7A  
PT10D  
PT10B  
PT10A  
PT9C  
PT9B  
PT8D  
PT8C  
PT8A  
PT13D  
PT13A  
PT12D  
PT12A  
PT11D  
PT11A  
PT10D  
PT10A  
I/O-D1  
I/O  
I/O  
I/O-D0/DIN  
I/O  
I/O  
I/O  
I/O-DOUT  
DD  
DD  
DD  
DD  
DD  
DD  
V
V
V
V
V
V
PT3D  
PT3C  
PT3B  
PT3A  
PT2D  
PT2C  
PT2B  
PT2A  
PT4D  
PT4C  
PT4B  
PT4A  
PT3D  
PT3C  
PT3B  
PT3A  
PT6D  
PT6A  
PT5C  
PT5A  
PT4D  
PT4A  
PT3D  
PT3A  
PT7D  
PT7A  
PT6C  
PT6A  
PT5D  
PT5A  
PT4D  
PT4A  
PT9D  
PT8A  
PT7A  
PT6A  
PT5D  
PT5A  
PT4D  
PT4A  
I/O  
I/O  
I/O  
I/O-TDI  
I/O  
I/O  
I/O  
I/O-TMS  
SS  
SS  
SS  
SS  
SS  
SS  
V
V
V
V
V
V
166  
Lucent Technologies Inc.  
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
Pin Information (continued)  
Table 71. OR3T20, OR3T30, OR3C/T55, OR3C/T80, and OR3T125 240-Pin SQFP/SQFP2 Pinout (continued)  
OR3T20  
Pad  
OR3T30  
Pad  
OR3C/T55  
Pad  
OR3C/T80  
Pad  
OR3T125  
Pad  
Pin  
Function  
235  
236  
237  
238  
239  
240  
PT1D  
PT1C  
PT1B  
PT1A  
PT2D  
PT2A  
PT1D  
PT1A  
PT2C  
PT2A  
PT1D  
PT1A  
PT3A  
PT2A  
PT1D  
PT1A  
PT3A  
PT2A  
PT1D  
PT1A  
I/O  
I/O  
I/O  
I/O-TCK  
SS  
SS  
SS  
SS  
SS  
SS  
V
V
V
V
V
V
PRD_DATA  
PRD_DATA  
PRD_DATA  
PRD_DATA  
PRD_DATA  
RD_DATA/TDO  
Lucent Technologies Inc.  
167  
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
Pin Information (continued)  
Table 72. OR3T20, OR3T30, and OR3C/T55 256-Pin PBGA Pinout  
OR3T20  
Pad  
OR3T30 OR3C/T55  
Pad Pad  
OR3T20  
Pad  
OR3T30 OR3C/T55  
Pin  
Function  
Pin  
Pad  
Pad  
Function  
DD  
DD  
DD  
DD  
V
B1  
C2  
D2  
D3  
E4  
C1  
D1  
E3  
E2  
E1  
F3  
G4  
F2  
F1  
G3  
G2  
G1  
H3  
H2  
H1  
J4  
V
V
V
T1  
P4  
PL10B  
PL10A  
PL11D  
PL11C  
PL11B  
PL11A  
PL11B  
PL11A  
PL12D  
PL12C  
PL12B  
PL12A  
PL13D  
PL13C  
PL13B  
PL13A  
PL14D  
PL14C  
PL14B  
PL14A  
PCCLK  
PL14D  
PL14B  
PL14A  
PL15D  
PL15B  
PL16D  
PL17D  
PL17C  
PL17B  
PL17A  
PL18D  
PL18C  
PL18B  
PL18A  
PCCLK  
I/O  
I/O-A13  
I/O  
PL1D  
PL1C  
PL1B  
PL1A  
PL1D  
PL1B  
PL1A  
PL2D  
PL2C  
PL2B  
PL2A  
PL3D  
PL3C  
PL3B  
PL3A  
PL1D  
PL1C  
PL1B  
PL2D  
PL2C  
PL2B  
PL2A  
PL3D  
PL3A  
PL4D  
PL4A  
PL5D  
PL5A  
PL6D  
PL6B  
PL6A  
PL7D  
PL7C  
PL7B  
PL7A  
PL8D  
PL8C  
PL8B  
PL8A  
PECKL  
PL9C  
PL9B  
PL9A  
PL10D  
PL10C  
PL10B  
PL10A  
PL11D  
PL11C  
PL11B  
PL11A  
PL12D  
PL12C  
PL12B  
PL12A  
PL13D  
PL13B  
I/O  
I/O  
R3  
T2  
I/O  
I/O  
I/O-A0/MPI_BE0  
U1  
T3  
I/O  
I/O  
I/O-A14  
I/O  
I/O  
U2  
V1  
I/O  
PL12D  
PL12C  
I/O  
PL2D  
PL2C  
PL2B  
PL2A  
I/O  
T4  
I/O  
I/O  
U3  
V2  
I/O  
I/O  
I/O  
I/O-A1/MPI_BE1  
W1  
V3  
PL12B  
I/O-SECKLL  
I/O  
I/O  
PL3D  
PL3C  
PL3B  
PL3A  
PL4D  
PL4C  
PL4B  
PL4A  
PL5D  
PL5C  
PL5B  
PL5A  
PECKL  
PL6C  
PL6B  
PL6A  
PL7D  
PL7C  
PL7B  
PL7A  
PL8D  
PL8C  
PL8B  
PL8A  
PL9D  
PL9C  
PL9B  
PL9A  
PL10D  
PL10C  
PL4D  
PL4C  
PL4B  
PL4A  
PL5D  
PL5C  
PL5B  
PL5A  
PL6D  
PL6C  
PL6B  
PL6A  
PECKL  
PL7C  
PL7B  
PL7A  
PL8D  
PL8C  
PL8B  
PL8A  
PL9D  
PL9C  
PL9B  
PL9A  
PL10D  
PL10C  
PL10B  
PL10A  
PL11D  
PL11C  
I/O-A2  
W2  
Y1  
PL12A  
PCCLK  
I/O-A15  
CCLK  
NC  
I/O  
I/O  
W3  
Y2  
I/O-A3  
PB1A  
PB1A  
PB1C  
PB1D  
PB2A  
PB2B  
PB2C  
PB2D  
PB3A  
PB3B  
PB3C  
PB3D  
PB4A  
PB4B  
PB4C  
PB4D  
PB5A  
PB5B  
PB5C  
PB5D  
PB6A  
PB6B  
PB6C  
PB6D  
PB7A  
PB7B  
PB7C  
PB7D  
PB1A  
PB1C  
PB1D  
PB2A  
PB2B  
PB2C  
PB2D  
PB3D  
PB4D  
PB5A  
PB5B  
PB5D  
PB6A  
PB6B  
PB6D  
PB7A  
PB7B  
PB7C  
PB7D  
PB8A  
PB8B  
PB8C  
PB8D  
PB9A  
PB9B  
PB9C  
PB9D  
I/O-A16  
I/O  
I/O  
W4  
V4  
I/O  
PB1B  
PB1C  
PB1D  
I/O  
I/O  
U5  
Y3  
I/O  
I/O-A4  
I/O  
J3  
I/O-A5  
Y4  
I/O  
J2  
I/O  
V5  
I/O  
J1  
I/O  
W5  
Y5  
PB2A  
PB2B  
PB2C  
PB2D  
PB3A  
PB3B  
PB3C  
PB3D  
PB4A  
PB4B  
PB4C  
PB4D  
PB5A  
PB5B  
PB5C  
PB5D  
PB6A  
PB6B  
PB6C  
PB6D  
I/O-A17  
I/O  
K2  
K3  
K1  
L1  
I/O-A6  
I-ECKL  
V6  
I/O  
I/O  
U7  
W6  
Y6  
I/O  
I/O  
I/O  
L2  
I/O  
I/O-A7/MPI_CLK  
L3  
I/O  
V7  
I/O  
L4  
I/O  
W7  
Y7  
I/O  
M1  
M2  
M3  
M4  
N1  
N2  
N3  
P1  
P2  
R1  
P3  
R2  
I/O  
I/O-A8/MPI_RW  
I/O-A9/MPI_ACK  
I/O  
I/O  
V8  
I/O  
W8  
Y8  
I/O  
I/O  
I/O  
U9  
V9  
I/O  
I/O  
I/O-A10/MPI_BI  
I/O  
W9  
Y9  
I/O  
I/O  
I/O  
I/O  
W10  
V10  
Y10  
Y11  
I/O  
I/O-A11/MPI_IRQ  
I/O-A12  
I/O  
I/O  
I/O  
I/O  
168  
Lucent Technologies Inc.  
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
Pin Information (continued)  
Table 72. OR3T20, OR3T30, and OR3C/T55 256-Pin PBGA Pinout (continued)  
OR3T20  
Pad  
OR3T30 OR3C/T55  
OR3T20  
Pad  
OR3T30 OR3C/T55  
Pin  
Pad  
Pad  
Function  
Pin  
Pad  
Pad  
Function  
W11 PECKB  
PECKB  
PB8B  
PECKB  
PB10B  
PB10C  
PB10D  
PB11A  
PB11B  
PB11C  
PB11D  
PB12A  
PB12B  
PB12C  
PB12D  
PB13A  
PB13B  
PB13C  
PB13D  
PB14A  
PB14D  
PB15A  
PB15D  
PB16A  
PB16D  
PB17A  
PB17C  
PB17D  
PB18A  
PB18B  
PB18C  
PB18D  
PDONE  
PRESETN  
PPRGMN  
PR18A  
PR18C  
PR18D  
PR17A  
PR17B  
PR17C  
PR17D  
PR16A  
PR16D  
PR15A  
I-ECKB  
I/O  
R19  
R20  
P18  
P19  
P20  
N18  
N19  
N20  
M17  
M18  
M19  
M20  
L19  
L18  
L20  
K20  
K19  
K18  
K17  
J20  
PR11D  
PR10A  
PR10B  
PR10C  
PR10D  
PR9A  
PR9B  
PR9C  
PR9D  
PR8A  
PR8B  
PR8C  
PR8D  
PR7A  
PR7B  
PR7C  
PR7D  
PECKR  
PR6B  
PR6C  
PR6D  
PR5A  
PR5B  
PR5C  
PR5D  
PR4A  
PR4B  
PR4C  
PR4D  
PR3A  
PR3B  
PR3C  
PR3D  
PR2A  
PR2B  
PR2C  
PR2D  
PR1A  
PR1B  
PR1C  
PR1D  
PR12D  
PR11A  
PR11B  
PR11C  
PR11D  
PR10A  
PR10B  
PR10C  
PR10D  
PR9A  
PR9B  
PR9C  
PR9D  
PR8A  
PR8B  
PR8C  
PR8D  
PECKR  
PR7B  
PR7C  
PR7D  
PR6A  
PR6B  
PR6C  
PR6D  
PR5A  
PR5B  
PR5C  
PR5D  
PR4A  
PR4B  
PR4C  
PR4D  
PR3A  
PR3B  
PR3C  
PR3D  
PR2A  
PR2B  
PR2C  
PR2D  
PR1A  
PR15C  
PR15D  
PR14A  
PR14D  
PR13A  
PR12A  
PR12B  
PR12C  
PR12D  
PR11A  
PR11B  
PR11C  
PR11D  
PR10A  
PR10B  
PR10C  
PR10D  
PECKR  
PR9B  
I/O  
I/O-M1  
I/O  
V11  
U11  
Y12  
W12  
V12  
U12  
Y13  
W13  
V13  
Y14  
W14  
Y15  
V14  
W15  
Y16  
U14  
V15  
W16  
Y17  
V16  
W17  
Y18  
U16  
V17  
W18  
Y19  
V18  
W19  
PB7B  
PB7C  
PB7D  
PB8A  
PB8B  
PB8C  
PB8D  
PB9A  
PB9B  
PB9C  
PB9D  
PB10A  
PB10B  
PB10C  
PB10D  
PB8C  
I/O  
PB8D  
I/O  
I/O  
PB9A  
I/O  
I/O  
PB9B  
I/O  
I/O-M2  
I/O  
PB9C  
I/O  
PB9D  
I/O  
I/O  
PB10A  
PB10B  
PB10C  
PB10D  
PB11A  
PB11B  
PB11C  
PB11D  
PB12A  
PB12B  
PB12C  
I/O-HDC  
I/O  
I/O  
I/O-M3  
I/O  
I/O  
I/O  
I/O  
I/O-LDC  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I-ECKR  
I/O  
PB11A  
I/O-INIT  
I/O  
PR9C  
PR9D  
PR8A  
I/O  
PB12D  
PB13A  
PB13B  
PB13C  
PB13D  
PB14A  
PB14B  
PB14C  
PB14D  
PDONE  
PRESETN  
PPRGMN  
PR14A  
PR14C  
PR14D  
PR13A  
PR13B  
PR13C  
PR13D  
PR12A  
PR12B  
PR12C  
I/O  
J19  
I/O  
PB11B  
PB11C  
PB11D  
PB12A  
PB12B  
PB12C  
PB12D  
I/O  
J18  
I/O  
I/O  
J17  
PR8B  
I/O  
I/O  
H20  
H19  
H18  
G20  
G19  
F20  
G18  
F19  
E20  
G17  
F18  
E19  
D20  
E18  
D19  
C20  
E17  
D18  
C19  
PR8C  
PR8D  
PR7A  
I/O  
I/O  
I/O  
I/O  
I/O-CS1  
I/O  
I/O  
PR7B  
I/O  
PR7C  
PR7D  
PR6A  
I/O  
I/O  
I/O  
Y20 PDONE  
DONE  
RESET  
PRGM  
I/O-M0  
I/O  
I/O-CS0  
I/O  
PRESETN  
PPRGMN  
PR12A  
W20  
V19  
U19  
U18  
T17  
V20  
U20  
T18  
T19  
T20  
R18  
P17  
PR6B  
PR5B  
I/O  
PR5D  
PR4A  
I/O  
I/O-RD/MPI_STRB  
I/O  
PR4B  
I/O  
I/O  
I/O  
PR4D  
PR3A  
PR12B  
PR12C  
PR12D  
PR11A  
PR11B  
PR11C  
I/O  
I/O  
I/O  
PR2A  
I/O-WR  
I/O  
I/O  
PR2B  
I/O  
PR2C  
PR2D  
PR1A  
I/O  
I/O  
I/O  
I/O  
I/O  
Lucent Technologies Inc.  
169  
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
Pin Information (continued)  
Table 72. OR3T20, OR3T30, and OR3C/T55 256-Pin PBGA Pinout (continued)  
OR3T20  
Pad  
OR3T30 OR3C/T55  
OR3T20  
Pad  
OR3T30 OR3C/T55  
Pin  
Pad  
Pad  
Function  
Pin  
Pad  
Pad  
Function  
B20  
C18  
B19  
A20  
A19  
B18  
B17  
C17  
D16  
A18  
A17  
C16  
B16  
A16  
C15  
D14  
B15  
A15  
C14  
B14  
A14  
C13  
B13  
A13  
D12  
C12  
B12  
A12  
B11  
C11  
A11  
A10  
B10  
C10  
D10  
A9  
PR1B  
PR1C  
PR1D  
PR1B  
PR1C  
PR1D  
I/O  
I/O  
B7  
A6  
PT4A  
PT3D  
PT3C  
PT3B  
PT3A  
PT2D  
PT2C  
PT2B  
PT2A  
PT5A  
PT4D  
PT4C  
PT4B  
PT4A  
PT3D  
PT3C  
PT3B  
PT3A  
PT2D  
PT2C  
PT2B  
PT2A  
PT1D  
PT1C  
PT1B  
PT1A  
PT7A  
PT6D  
PT6A  
PT5C  
PT5A  
PT4D  
PT4A  
PT3D  
PT3A  
PT2D  
PT2C  
PT2B  
PT2A  
PT1D  
PT1C  
PT1B  
PT1A  
I/O-DOUT  
I/O  
I/O  
C7  
I/O  
RD_CFG  
I/O-SECKUR  
I/O  
B6  
I/O  
PRD_CFGN PRD_CFGN PRD_CFGN  
PT12D  
PT14D  
PT14C  
PT14B  
PT14A  
PT13D  
PT13C  
PT13B  
PT13A  
PT12D  
PT12C  
PT12B  
PT12A  
PT11D  
PT11C  
PT11B  
PT11A  
PT10D  
PT10C  
PT10B  
PT10A  
PT9D  
PT18D  
PT18C  
PT18B  
PT18A  
PT17D  
PT17A  
PT16D  
PT16C  
PT16A  
PT15D  
PT15A  
PT14D  
PT14A  
PT13D  
PT13B  
PT13A  
PT12D  
PT12C  
PT12B  
PT12A  
PT11D  
PT11C  
PT11B  
PT11A  
PECKT  
PT10C  
PT10B  
PT10A  
PT9D  
A5  
I/O-TDI  
I/O  
D7  
PT12C  
PT12B  
PT12A  
I/O  
C6  
I/O  
I/O  
B5  
I/O  
A4  
I/O-TMS  
I/O  
I/O-RDY/RCLK/MPI_ALE  
I/O  
C5  
PT11D  
PT11C  
PT11B  
PT11A  
I/O  
B4  
PT1D  
PT1C  
PT1B  
I/O  
I/O  
A3  
I/O  
I/O  
D5  
I/O  
I/O-D7  
I/O  
C4  
I/O  
B3  
I/O  
PT10D  
PT10C  
PT10B  
PT10A  
PT9D  
PT9C  
I/O  
B2  
I/O  
I/O  
A2  
PT1A  
I/O-TCK  
I/O  
C3  
PRD_DATA PRD_DATA PRD_DATA RD_DATA/TDO  
SS  
V
SS  
V
SS  
V
SS  
V
SS  
V
SS  
V
SS  
V
SS  
V
SS  
V
SS  
V
SS  
V
SS  
V
SS  
V
SS  
V
SS  
V
SS  
V
SS  
V
SS  
V
SS  
V
SS  
V
SS  
V
SS  
V
SS  
V
SS  
V
SS  
V
SS  
V
SS  
V
SS  
V
SS  
V
SS  
V
SS  
V
SS  
V
SS  
V
SS  
V
SS  
V
SS  
V
SS  
V
SS  
V
SS  
V
SS  
V
SS  
V
SS  
V
SS  
V
SS  
V
SS  
V
SS  
V
SS  
V
SS  
V
SS  
V
SS  
V
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
V
SS  
V
SS  
V
SS  
V
SS  
V
SS  
V
SS  
V
SS  
V
SS  
V
SS  
V
SS  
V
SS  
V
SS  
V
I/O-D6  
I/O  
A1  
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
D4  
I/O  
D8  
I/O  
D13  
D17  
H4  
PT9B  
PT9A  
PT8D  
PT8C  
PT8B  
PT8A  
PECKT  
PT7C  
PT7B  
PT7A  
PT6D  
PT6C  
PT6B  
PT6A  
PT5D  
PT5C  
PT5B  
PT5A  
PT4D  
PT4C  
PT4B  
I/O  
I/O-D5  
I/O  
H17  
N4  
PT9C  
I/O  
PT9B  
I/O  
N17  
U4  
PT9A  
I/O-D4  
I-ECKT  
I/O  
PECKT  
PT8C  
U8  
U13  
U17  
J9  
PT8B  
I/O  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
PT8A  
I/O-D3  
I/O  
V
V
V
V
V
V
V
V
V
V
V
V
*
*
*
*
*
*
*
*
*
*
*
*
PT7D  
J10  
J11  
J12  
K9  
PT7C  
PT9C  
I/O  
PT7B  
PT9B  
I/O  
PT7A  
PT9A  
I/O-D2  
I/O-D1  
I/O  
B9  
PT6D  
PT8D  
K10  
K11  
K12  
L9  
C9  
PT6C  
PT8C  
D9  
PT6B  
PT8B  
I/O  
A8  
PT6A  
PT8A  
I/O-D0/DIN  
I/O  
B8  
PT5D  
PT7D  
L10  
L11  
L12  
C8  
PT5C  
PT7C  
I/O  
A7  
PT5B  
PT7B  
I/O  
170  
Lucent Technologies Inc.  
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
Pin Information (continued)  
Table 72. OR3T20, OR3T30, and OR3C/T55 256-Pin PBGA Pinout (continued)  
OR3T20  
Pad  
OR3T30 OR3C/T55  
Pad Pad  
OR3T20  
Pad  
OR3T30 OR3C/T55  
Pad Pad  
Pin  
Function  
Pin  
Function  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
DD  
DD  
DD  
DD  
DD  
DD  
DD  
DD  
DD  
DD  
DD  
DD  
DD  
DD  
DD  
DD  
DD  
V
DD  
V
DD  
V
DD  
V
DD  
V
DD  
V
DD  
V
DD  
V
DD  
V
DD  
V
DD  
V
DD  
V
DD  
V
DD  
V
DD  
V
DD  
V
M9  
M10  
M11  
M12  
D6  
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
*
*
*
*
F17  
K4  
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
L17  
R4  
DD  
DD  
DD  
DD  
DD  
DD  
DD  
DD  
DD  
DD  
DD  
DD  
DD  
DD  
DD  
DD  
V
V
V
V
V
V
V
V
V
V
V
V
R17  
U6  
D11  
D15  
F4  
U10  
U15  
* Thermally enhanced connection.  
Lucent Technologies Inc.  
171  
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
Pin Information (continued)  
Table 73. OR3T20, OR3T30, OR3C/T55, OR3C/T80, and OR3T125 352-Pin PBGA Pinout  
OR3T20  
Pad  
OR3T30  
Pad  
OR3C/T55  
Pad  
OR3C/T80  
Pad  
OR3T125  
Pad  
Function  
Pin  
PL1D  
PL1D  
PL1C  
PL1B  
PL1A  
PL2D  
PL2C  
PL2B  
PL1D  
PL1C  
PL1B  
PL1A  
PL2D  
PL2C  
PL2B  
PL1D  
PL1C  
PL1B  
PL1A  
PL2D  
PL2A  
PL3D  
PL3B  
PL3A  
PL4D  
PL4C  
PL4B  
PL4A  
PL5D  
PL5C  
PL5B  
PL5A  
PL6D  
PL6C  
PL6B  
PL6A  
PL7D  
PL7C  
PL7B  
PL7A  
PL8D  
PL8A  
PL9D  
PL9B  
PL9A  
PL10C  
PL10B  
PL10A  
PECKL  
PL11C  
PL11B  
PL11A  
PL12D  
PL12C  
PL12B  
PL12A  
PL13D  
PL1D  
PL1C  
PL1B  
I/O  
B1  
C2  
C1  
D2  
D3  
D1  
E2  
E4  
E3  
E1  
F2  
G4  
F3  
F1  
G2  
G1  
G3  
H2  
J4  
I/O  
PL1C  
PL1B  
PL1A  
I/O  
PL1A  
I/O  
PL2D  
PL2A  
I/O-A0/MPI_BE0  
I/O  
PL3D  
PL3B  
I/O  
I/O  
PL2A  
PL3D  
PL2A  
PL3D  
PL3C  
PL3B  
PL3A  
PL4D  
PL4C  
PL4B  
PL4A  
PL5D  
PL5C  
PL5B  
PL5A  
PL6D  
PL6C  
PL6B  
PL6A  
PL7D  
PL7C  
PL7B  
PL7A  
PL8D  
PL8C  
PL8B  
PL8A  
PECKL  
PL9C  
PL9B  
PL9A  
PL10D  
PL10C  
PL10B  
PL10A  
PL11D  
PL3A  
I/O  
PL2D  
PL4D  
PL4C  
PL4B  
I/O  
I/O  
PL2C  
PL3C  
I/O  
PL5D  
PL6D  
PL6C  
PL6B  
I/O  
PL2B  
PL3B  
I/O  
I/O  
I/O  
PL2A  
PL3A  
PL7D  
PL8D  
PL8C  
PL8B  
I/O-A1/MPI_BE1  
I/O  
I/O  
H1  
H3  
J2  
I/O  
PL3D  
PL4D  
PL8A  
I/O-A2  
PL9D  
PL9C  
PL9B  
I/O  
J1  
PL3C  
PL3B  
PL3A  
PL4D  
PL4C  
PL4B  
PL4A  
PL5D  
PL5C  
PL5B  
PL5A  
PECKL  
PL6C  
PL6B  
PL6A  
PL7D  
PL7C  
PL7B  
PL7A  
PL8D  
PL4C  
PL4B  
PL4A  
PL5D  
PL5C  
PL5B  
PL5A  
PL6D  
PL6C  
PL6B  
PL6A  
PECKL  
PL7C  
PL7B  
PL7A  
PL8D  
PL8C  
PL8B  
PL8A  
PL9D  
I/O  
K2  
J3  
I/O  
PL9A  
I/O-A3  
K1  
K4  
L2  
PL10D  
PL10A  
PL11D  
PL11A  
PL12D  
PL12A  
PL13D  
PL13A  
PECKL  
PL14C  
PL14B  
PL14A  
PL15D  
PL15C  
PL15B  
PL15A  
PL16D  
I/O  
I/O  
I/O  
K3  
L1  
I/O-A4  
I/O-A5  
M2  
M1  
L3  
I/O  
I/O  
I/O-A6  
N2  
M4  
N1  
M3  
P2  
P4  
P1  
N3  
R2  
I-ECKL  
I/O  
I/O  
I/O-A7/MPI_CLK  
I/O  
I/O  
I/O  
I/O-A8/MPI_RW  
I/O-A9/MPI_ACK  
172  
Lucent Technologies Inc.  
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
Pin Information (continued)  
Table 73. OR3T20, OR3T30, OR3C/T55, OR3C/T80, and OR3T125 352-Pin PBGA Pinout (continued)  
OR3T20  
Pad  
OR3T30  
Pad  
OR3C/T55  
Pad  
OR3C/T80  
Pad  
OR3T125  
Pad  
Function  
Pin  
P3  
R1  
PL8C  
PL8B  
PL8A  
PL9D  
PL9C  
PL9B  
PL9A  
PL10D  
PL9C  
PL9B  
PL9A  
PL10D  
PL10C  
PL10B  
PL10A  
PL11D  
PL11C  
PL11B  
PL11A  
PL12D  
PL12C  
PL12B  
PL12A  
PL13D  
PL13C  
PL13B  
PL13A  
PL14D  
PL14C  
PL14B  
PL14A  
PL15D  
PL15C  
PL15B  
PL15A  
PL16D  
PL16C  
PL16B  
PL16A  
PL17D  
PL17C  
PL17B  
PL17A  
PL18D  
PL18C  
PL18B  
PL13B  
PL13A  
PL14C  
PL14B  
PL15C  
PL15B  
PL15A  
PL16D  
PL16C  
PL16B  
PL16A  
PL17D  
PL17C  
PL17B  
PL17A  
PL18D  
PL18C  
PL18B  
PL18A  
PL19D  
PL19C  
PL19B  
PL19A  
PL20D  
PL20C  
PL20A  
PL21D  
PL21C  
PL21A  
PL22D  
PL22C  
PL22B  
PL22A  
PCCLK  
PB1A  
PL16A  
PL17D  
PL17A  
PL18D  
PL18A  
PL19D  
PL19A  
PL20D  
PL20C  
PL20B  
PL20A  
PL21D  
PL21C  
PL21B  
PL21A  
PL22D  
PL22C  
PL23D  
PL24D  
PL24A  
PL25C  
PL25B  
PL25A  
PL26D  
PL26C  
PL26A  
PL27D  
PL27C  
PL27A  
PL28D  
PL28C  
PL28B  
PL28A  
PCCLK  
PB1A  
I/O  
I/O  
T2  
I/O-A10/MPI_BI  
R3  
I/O  
T1  
I/O  
R4  
I/O  
U2  
I/O-A11/MPI_IRQ  
T3  
I/O-A12  
I/O  
U1  
U4  
PL10C  
PL11C  
I/O  
V2  
I/O  
U3  
PL10B  
PL11B  
I/O  
V1  
I/O  
W2  
W1  
V3  
PL10A  
PL11A  
I/O-A13  
I/O  
PL11D  
PL11C  
PL11B  
PL12D  
PL12C  
PL12B  
I/O  
Y2  
I/O  
W4  
Y1  
I/O  
I/O  
W3  
AA2  
Y4  
PL11A  
PL12A  
I/O-A14  
I/O  
I/O  
AA1  
Y3  
I/O  
PL13D  
PL13C  
PL13B  
PL13A  
PL14D  
PL14C  
PL14B  
I/O  
AB2  
AB1  
AA3  
AC2  
AB4  
AC1  
AB3  
AD2  
AC3  
AD1  
AF2  
AE3  
AF3  
AE4  
AD4  
AF4  
AE5  
AC5  
AD5  
PL12D  
PL12C  
I/O  
I/O  
I/O  
I/O  
PL12B  
I/O-SECKLL  
I/O  
I/O  
I/O  
PL12A  
PCCLK  
PB1A  
PL14A  
PCCLK  
PB1A  
PL18A  
PCCLK  
PB1A  
I/O-A15  
CCLK  
I/O-A16  
I/O  
PB1B  
PB1B  
PB1B  
PB1C  
PB1D  
PB2A  
PB1B  
PB1C  
PB1C  
I/O  
PB1C  
PB1D  
PB2A  
PB1D  
PB1D  
I/O  
PB1B  
PB1C  
PB2A  
PB2A  
I/O  
PB2D  
PB2D  
I/O  
PB2B  
PB3A  
PB3A  
I/O  
PB1D  
PB2B  
PB2C  
PB2D  
PB3C  
PB3C  
I/O  
PB3D  
PB3D  
I/O  
Lucent Technologies Inc.  
173  
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
Pin Information (continued)  
Table 73. OR3T20, OR3T30, OR3C/T55, OR3C/T80, and OR3T125 352-Pin PBGA Pinout (continued)  
OR3T20  
Pad  
OR3T30  
Pad  
OR3C/T55  
Pad  
OR3C/T80  
Pad  
OR3T125  
Pad  
Function  
Pin  
AF5  
AE6  
PB2C  
PB2D  
PB3A  
PB3B  
PB3C  
PB3D  
PB4A  
PB4B  
PB4C  
PB4D  
PB5A  
PB5B  
PB5C  
PB5D  
PB6A  
PB6B  
PB6C  
PB6D  
PB7A  
PB7B  
PB7C  
PB7D  
PB8A  
PB8B  
PB8C  
PB8D  
PB9A  
PB9B  
PB9C  
PB9D  
PECKB  
PB10B  
PB10C  
PB10D  
PB11A  
PB11B  
PB11C  
PB11D  
PB12A  
PB12B  
PB12C  
PB12D  
PB13A  
PB13B  
PB4A  
PB4B  
PB4A  
PB4B  
I/O  
I/O  
AC7  
PB4C  
PB4D  
PB5A  
PB4C  
I/O  
AD6  
PB2A  
PB3A  
PB4D  
I/O-A17  
I/O  
AF6  
PB5A  
AE7  
PB5B  
PB5B  
I/O  
AF7  
PB5C  
PB5D  
PB6A  
PB5C  
I/O  
AD7  
PB5D  
I/O  
AE8  
PB6A  
I/O  
AC9  
PB2B  
PB2C  
PB2D  
PB3A  
PB3B  
PB3C  
PB3D  
PB4A  
PB4B  
PB4C  
PB4D  
PB5A  
PB5B  
PB5C  
PB5D  
PB6A  
PB6B  
PB6C  
PB6D  
PECKB  
PB7B  
PB7C  
PB7D  
PB8A  
PB8B  
PB8C  
PB8D  
PB9A  
PB9B  
PB9C  
PB9D  
PB10A  
PB3B  
PB3C  
PB3D  
PB4A  
PB4B  
PB4C  
PB4D  
PB5A  
PB5B  
PB5C  
PB5D  
PB6A  
PB6B  
PB6C  
PB6D  
PB7A  
PB7B  
PB7C  
PB7D  
PECKB  
PB8B  
PB8C  
PB8D  
PB9A  
PB9B  
PB9C  
PB9D  
PB10A  
PB10B  
PB10C  
PB10D  
PB11A  
PB6B  
PB6D  
I/O  
AF8  
PB6C  
PB6D  
PB7A  
PB7A  
I/O  
AD8  
PB7D  
I/O  
AE9  
PB8A  
I/O  
AF9  
PB7B  
PB8D  
I/O  
AE10  
AD9  
PB7C  
PB7D  
PB8A  
PB9A  
I/O  
PB9D  
I/O  
AF10  
AC10  
AE11  
AD10  
AF11  
AE12  
AF12  
AD11  
AE13  
AC12  
AF13  
AD12  
AE14  
AC14  
AF14  
AD13  
AE15  
AD14  
AF15  
AE16  
AD15  
AF16  
AC15  
AE17  
AD16  
AF17  
PB10A  
PB10D  
PB11A  
PB11D  
PB12A  
PB12D  
PB13A  
PB13D  
PB14A  
PB14B  
PB14C  
PB14D  
PECKB  
PB15B  
PB15C  
PB15D  
PB16A  
PB16D  
PB17A  
PB17D  
PB18A  
PB18D  
PB19A  
PB19D  
PB20A  
PB20D  
I/O  
PB8D  
PB9A  
I/O  
I/O  
PB9C  
PB9D  
PB10A  
PB10B  
PB10D  
PB11A  
PB11B  
PB11C  
PB11D  
PECKB  
PB12B  
PB12C  
PB12D  
PB13A  
PB13B  
PB13C  
PB14A  
PB14B  
PB14D  
PB15A  
PB15D  
PB16A  
PB16B  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I-ECKB  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O-HDC  
I/O  
I/O  
I/O  
I/O-LDC  
I/O  
174  
Lucent Technologies Inc.  
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
Pin Information (continued)  
Table 73. OR3T20, OR3T30, OR3C/T55, OR3C/T80, and OR3T125 352-Pin PBGA Pinout (continued)  
OR3T20  
Pad  
OR3T30  
Pad  
OR3C/T55  
Pad  
OR3C/T80  
Pad  
OR3T125  
Pad  
Function  
Pin  
AC17  
AE18  
AD17  
AF18  
AE19  
AF19  
AD18  
AE20  
AC19  
AF20  
AD19  
AE21  
AC20  
AF21  
AD20  
AE22  
AF22  
AD21  
AE23  
AC22  
AF23  
AD22  
AE24  
AD23  
AF24  
AE26  
AD25  
AD26  
AC25  
AC24  
AC26  
AB25  
AB23  
AB24  
AB26  
AA25  
Y23  
PB10B  
PB10C  
PB10D  
PB11B  
PB11C  
PB11D  
PB12A  
PB13C  
PB13D  
PB14A  
PB14B  
PB14C  
PB14D  
PB15A  
PB15B  
PB15C  
PB15D  
PB16A  
PB16B  
PB16C  
PB16D  
PB17A  
PB17B  
PB17C  
PB17D  
PB16C  
PB16D  
PB17A  
PB17B  
PB17C  
PB17D  
PB18A  
PB18B  
PB18C  
PB18D  
PB19A  
PB19B  
PB19C  
PB19D  
PB20A  
PB20B  
PB20D  
PB21A  
PB21B  
PB21D  
PB22A  
PB22B  
PB22C  
PB22D  
PDONE  
PRESETN  
PPRGMN  
PR22A  
PR22C  
PR22D  
PR21A  
PR21D  
PR20A  
PR20B  
PR20D  
PR19A  
PR19B  
PR19C  
PR19D  
PR18A  
PR18B  
PR18C  
PB21A  
PB21D  
PB22A  
PB23A  
PB23C  
PB23D  
PB24A  
PB24B  
PB24C  
PB24D  
PB25A  
PB25B  
PB25C  
PB25D  
PB26A  
PB26B  
PB26D  
PB27A  
PB27B  
PB27D  
PB28A  
PB28B  
PB28C  
PB28D  
PDONE  
PRESETN  
PPRGMN  
PR28A  
PR28C  
PR28D  
PR27A  
PR27D  
PR26A  
PR26B  
PR26D  
PR25A  
PR25B  
PR25C  
PR24A  
PR23A  
PR23B  
PR23D  
I/O  
I/O  
I/O  
I/O  
I/O  
PB12B  
PB12C  
I/O  
PB11A  
I/O-INIT  
I/O  
I/O  
I/O  
PB12D  
I/O  
I/O  
I/O  
PB11B  
PB11C  
PB11D  
PB12A  
PB12B  
PB13A  
PB13B  
PB13C  
PB13D  
PB14A  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
PB12C  
PB12D  
PB14B  
PB14C  
PB14D  
PB18A  
PB18B  
PB18C  
I/O  
I/O  
I/O  
I/O  
PB18D  
PDONE  
PRESETN  
PPRGMN  
PR18A  
PR18B  
PR18C  
PR18D  
PR17A  
PR17B  
PR17C  
PR17D  
PR16A  
PR16B  
PR16C  
PR16D  
PR15A  
PR15B  
PR15C  
I/O  
PDONE  
PRESETN  
PPRGMN  
PR12A  
PDONE  
PRESETN  
PPRGMN  
PR14A  
PR14B  
PR14C  
PR14D  
PR13A  
PR13B  
PR13C  
PR13D  
PR12A  
DONE  
RESET  
PRGM  
I/O-M0  
I/O  
I/O  
I/O  
I/O  
PR12B  
PR12C  
PR12D  
PR11A  
I/O  
I/O  
I/O  
I/O  
I/O  
AA24  
AA26  
Y25  
PR11B  
PR12B  
I/O  
I/O  
PR11C  
PR12C  
I/O  
Y26  
I/O  
Y24  
PR11D  
PR12D  
I/O  
Lucent Technologies Inc.  
175  
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
Pin Information (continued)  
Table 73. OR3T20, OR3T30, OR3C/T55, OR3C/T80, and OR3T125 352-Pin PBGA Pinout (continued)  
OR3T20  
Pad  
OR3T30  
Pad  
OR3C/T55  
Pad  
OR3C/T80  
Pad  
OR3T125  
Pad  
Function  
Pin  
W25  
V23  
W26  
W24  
V25  
V26  
U25  
V24  
U26  
U23  
T25  
U24  
T26  
R25  
R26  
T24  
P25  
R23  
P26  
R24  
N25  
N23  
N26  
P24  
M25  
N24  
M26  
L25  
M24  
L26  
M23  
K25  
L24  
K26  
K23  
J25  
PR10A  
PR10B  
PR11A  
PR11B  
PR15D  
PR14A  
PR14B  
PR14C  
PR14D  
PR13A  
PR13B  
PR13C  
PR13D  
PR12A  
PR12B  
PR12C  
PR12D  
PR11A  
PR11B  
PR11C  
PR11D  
PR10A  
PR10B  
PR10C  
PR10D  
PECKR  
PR9B  
PR18D  
PR17A  
PR17B  
PR17C  
PR17D  
PR16A  
PR16B  
PR16C  
PR16D  
PR15A  
PR15D  
PR14A  
PR14C  
PR14D  
PR13A  
PR13B  
PR13D  
PR12A  
PR12B  
PR12C  
PR12D  
PECKR  
PR11B  
PR11C  
PR11D  
PR10A  
PR10C  
PR10D  
PR9B  
PR22D  
PR21A  
PR21B  
PR21C  
PR21D  
PR20A  
PR20B  
PR20C  
PR20D  
PR19A  
PR19D  
PR18A  
PR18D  
PR17A  
PR17D  
PR16A  
PR16D  
PR15A  
PR15B  
PR15C  
PR15D  
PECKR  
PR14B  
PR14C  
PR14D  
PR13A  
PR13D  
PR12A  
PR12D  
PR11A  
PR11D  
PR10A  
PR10D  
PR9A  
I/O-M1  
I/O  
I/O  
I/O  
PR10C  
PR10D  
PR11C  
PR11D  
I/O  
I/O  
I/O  
I/O  
I/O  
PR9A  
PR9B  
PR9C  
PR9D  
PR8A  
PR8B  
PR8C  
PR8D  
PR7A  
PR7B  
PR7C  
PR7D  
PECKR  
PR6B  
PR6C  
PR6D  
PR5A  
PR5B  
PR5C  
PR5D  
PR4A  
PR4B  
PR4C  
PR4D  
PR3A  
PR10A  
PR10B  
PR10C  
PR10D  
PR9A  
PR9B  
PR9C  
PR9D  
PR8A  
PR8B  
PR8C  
PR8D  
PECKR  
PR7B  
PR7C  
PR7D  
PR6A  
PR6B  
PR6C  
PR6D  
PR5A  
PR5B  
PR5C  
PR5D  
PR4A  
I/O-M2  
I/O  
I/O  
I/O  
I/O-M3  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I-ECKR  
I/O  
PR9C  
I/O  
PR9D  
I/O  
PR8A  
I/O  
PR8B  
I/O  
PR8C  
PR8D  
I/O  
I/O  
PR7A  
PR9C  
I/O-CS1  
PR7B  
PR9D  
I/O  
PR7C  
PR8A  
I/O  
PR7D  
PR6A  
PR8D  
I/O  
PR7A  
I/O-CS0  
PR6B  
PR7B  
PR9B  
I/O  
PR3B  
PR4B  
PR6C  
PR6D  
PR7C  
PR9C  
I/O  
K24  
J26  
PR7D  
PR9D  
I/O  
PR3C  
PR4C  
PR5A  
PR6A  
PR8A  
I/O  
H25  
H26  
J24  
PR5B  
PR6B  
PR8B  
I/O  
PR3D  
PR4D  
PR5C  
PR6C  
PR8C  
I/O  
I/O  
PR5D  
PR4A  
PR6D  
PR8D  
G25  
PR2A  
PR3A  
PR5A  
PR7A  
I/O-RD/MPI_STRB  
176  
Lucent Technologies Inc.  
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
Pin Information (continued)  
Table 73. OR3T20, OR3T30, OR3C/T55, OR3C/T80, and OR3T125 352-Pin PBGA Pinout (continued)  
OR3T20  
Pad  
OR3T30  
Pad  
OR3C/T55  
Pad  
OR3C/T80  
Pad  
OR3T125  
Pad  
Function  
Pin  
H23  
G26  
H24  
F25  
G23  
F26  
G24  
E25  
E26  
F24  
D25  
E23  
D26  
E24  
C25  
D24  
C26  
A25  
B24  
A24  
B23  
C23  
A23  
PR2B  
PR3B  
PR4B  
PR4C  
PR4D  
PR3A  
PR3B  
PR3C  
PR3D  
PR2A  
PR2B  
PR5B  
PR5C  
PR5D  
PR4A  
PR6A  
PR6C  
PR5A  
I/O  
I/O  
PR2C  
PR2D  
PR3C  
PR3D  
I/O  
PR4A  
I/O  
PR4B  
PR4B  
I/O  
PR4C  
PR4D  
PR3A  
PR4C  
PR4D  
PR3A  
I/O  
I/O  
PR1A  
PR1B  
PR2A  
PR2B  
I/O-WR  
I/O  
PR3B  
PR3B  
PR3D  
PR2A  
PR3D  
PR2A  
I/O  
PR1C  
PR1D  
PR2C  
PR2D  
PR1A  
PR1B  
PR1C  
PR1D  
PRD_CFGN  
PT14D  
PT14C  
PR2C  
PR2D  
PR1A  
PR1B  
PR1C  
PR1D  
PRD_CFGN  
PT18D  
PT18C  
I/O  
PR2D  
PR1A  
PR2D  
PR1A  
I/O  
I/O  
PR1B  
PR1B  
I/O  
PR1C  
PR1D  
PRD_CFGN  
PT22D  
PT22C  
PT22B  
PT22A  
PT21D  
PT21A  
PR1C  
PR1D  
PRD_CFGN  
PT28D  
PT28C  
PT28B  
PT28A  
PT27D  
PT27A  
I/O  
I/O  
PRD_CFGN  
PT12D  
RD_CFG  
I/O-SECKUR  
I/O  
I/O  
PT12C  
PT12B  
PT12A  
PT14B  
PT14A  
PT13D  
PT18B  
PT18A  
PT17D  
I/O  
I/O  
I/O-RDY/RCLK/  
MPI_ALE  
B22  
D22  
C22  
A22  
B21  
D20  
C21  
A21  
B20  
A20  
C20  
B19  
D18  
A19  
C19  
B18  
A18  
B17  
PT11D  
PT11C  
PT11B  
PT13C  
PT13B  
PT13A  
PT12D  
PT17C  
PT17B  
PT17A  
PT16D  
PT16C  
PT16B  
PT16A  
PT15D  
PT15C  
PT15B  
PT15A  
PT14D  
PT14C  
PT14B  
PT14A  
PT13D  
PT13C  
PT13B  
PT20D  
PT20C  
PT20A  
PT19D  
PT19C  
PT19B  
PT19A  
PT18D  
PT18C  
PT18B  
PT18A  
PT17D  
PT17C  
PT17B  
PT17A  
PT16D  
PT16C  
PT16B  
PT26D  
PT26C  
PT26A  
PT25D  
PT25C  
PT25B  
PT25A  
PT24D  
PT24C  
PT24B  
PT24A  
PT23D  
PT23C  
PT23B  
PT22D  
PT21D  
PT21A  
PT20D  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
PT11A  
PT12C  
I/O-D7  
I/O  
PT12B  
I/O  
I/O  
PT10D  
PT12A  
I/O  
I/O  
PT10C  
PT11D  
I/O  
I/O  
PT10B  
PT11C  
I/O  
I/O  
PT10A  
PT11B  
I/O-D6  
Lucent Technologies Inc.  
177  
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
Pin Information (continued)  
Table 73. OR3T20, OR3T30, OR3C/T55, OR3C/T80, and OR3T125 352-Pin PBGA Pinout (continued)  
OR3T20  
Pad  
OR3T30  
Pad  
OR3C/T55  
Pad  
OR3C/T80  
Pad  
OR3T125  
Pad  
Function  
Pin  
C18  
A17  
D17  
B16  
C17  
A16  
B15  
A15  
C16  
B14  
D15  
A14  
C15  
B13  
D13  
A13  
C14  
B12  
C13  
A12  
B11  
C12  
A11  
D12  
B10  
C11  
A10  
D10  
B9  
PT9D  
PT9C  
PT11A  
PT10D  
PT10C  
PT10B  
PT10A  
PT9D  
PT9C  
PT9B  
PT9A  
PECKT  
PT8C  
PT8B  
PT8A  
PT7D  
PT7C  
PT7B  
PT7A  
PT6D  
PT6C  
PT6B  
PT6A  
PT5D  
PT5C  
PT5B  
PT5A  
PT4D  
PT13A  
PT12D  
PT12C  
PT12B  
PT12A  
PT11D  
PT11C  
PT11B  
PT11A  
PECKT  
PT10C  
PT10B  
PT10A  
PT9D  
PT9C  
PT9B  
PT9A  
PT8D  
PT8C  
PT8B  
PT8A  
PT7D  
PT7C  
PT7B  
PT7A  
PT6D  
PT6C  
PT6B  
PT6A  
PT5D  
PT5C  
PT5B  
PT5A  
PT4D  
PT4C  
PT4B  
PT4A  
PT3D  
PT3C  
PT3B  
PT3A  
PT2D  
PT16A  
PT15D  
PT15B  
PT15A  
PT14C  
PT14B  
PT13D  
PT13C  
PT13A  
PECKT  
PT12C  
PT12B  
PT12A  
PT11D  
PT11C  
PT11B  
PT11A  
PT10D  
PT10B  
PT10A  
PT9C  
PT9B  
PT20A  
PT19D  
PT19A  
PT18D  
PT18A  
PT17D  
PT17A  
PT16D  
PT16A  
PECKT  
PT15C  
PT15B  
PT15A  
PT14D  
PT14C  
PT14B  
PT14A  
PT13D  
PT13A  
PT12D  
PT12A  
PT11D  
PT11A  
PT10D  
PT10A  
PT9D  
I/O  
I/O  
I/O  
PT9B  
PT9A  
PT8D  
PT8C  
PT8B  
PT8A  
PECKT  
PT7C  
PT7B  
PT7A  
PT6D  
PT6C  
PT6B  
PT6A  
PT5D  
PT5C  
PT5B  
PT5A  
PT4D  
PT4C  
PT4B  
PT4A  
PT3D  
I/O  
I/O-D5  
I/O  
I/O  
I/O  
I/O-D4  
I-ECKT  
I/O  
I/O  
I/O-D3  
I/O  
I/O  
I/O  
I/O-D2  
I/O-D1  
I/O  
I/O  
I/O-D0/DIN  
I/O  
PT8D  
PT8C  
PT8A  
I/O  
I/O  
I/O-DOUT  
I/O  
PT7D  
PT7C  
PT7B  
PT9A  
I/O  
PT8D  
I/O  
PT3C  
PT3B  
PT4C  
PT4B  
PT7A  
PT8A  
I/O  
C10  
A9  
PT6D  
PT6C  
PT6B  
PT7D  
I/O  
PT7A  
I/O  
B8  
PT6D  
I/O  
A8  
PT3A  
PT4A  
PT6A  
PT6A  
I/O-TDI  
I/O  
C9  
PT5D  
PT5C  
PT5B  
PT5D  
B7  
PT2D  
PT3D  
PT5C  
I/O  
D8  
PT5B  
I/O  
A7  
PT2C  
PT3C  
PT5A  
PT5A  
I/O  
C8  
PT4D  
PT4C  
PT4B  
PT4D  
I/O  
B6  
PT2B  
PT3B  
PT4C  
I/O  
D7  
PT4B  
I/O  
A6  
PT2A  
PT3A  
PT2D  
PT4A  
PT4A  
I/O-TMS  
I/O  
C7  
PT3D  
PT3D  
178  
Lucent Technologies Inc.  
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
Pin Information (continued)  
Table 73. OR3T20, OR3T30, OR3C/T55, OR3C/T80, and OR3T125 352-Pin PBGA Pinout (continued)  
OR3T20  
Pad  
OR3T30  
Pad  
OR3C/T55  
Pad  
OR3C/T80  
Pad  
OR3T125  
Pad  
Function  
Pin  
B5  
A5  
PT1D  
PT1C  
PT2C  
PT2B  
PT2C  
PT2B  
PT3A  
PT2D  
PT3A  
PT2D  
I/O  
I/O  
C6  
PT2C  
PT2C  
I/O  
B4  
PT2B  
PT2B  
I/O  
D5  
PT1B  
PT2A  
PT1D  
PT1C  
PT1B  
PT1A  
PRD_DATA  
PT2A  
PT1D  
PT1C  
PT1B  
PT1A  
PRD_DATA  
PT2A  
PT2A  
I/O  
A4  
PT1D  
PT1D  
I/O  
I/O  
C5  
PT1C  
PT1C  
B3  
PT1B  
PT1B  
I/O  
C4  
PT1A  
PRD_DATA  
PT1A  
PT1A  
I/O-TCK  
RD_DATA/TDO  
A3  
PRD_DATA  
PRD_DATA  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
V
SS  
V
SS  
V
SS  
V
SS  
V
SS  
V
SS  
V
SS  
V
SS  
V
SS  
V
SS  
V
SS  
V
SS  
V
SS  
V
SS  
V
SS  
V
SS  
V
SS  
V
SS  
V
SS  
V
SS  
V
SS  
V
SS  
V
SS  
V
SS  
V
SS  
V
SS  
V
SS  
V
SS  
V
SS  
V
SS  
V
SS  
V
A1  
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
A2  
A26  
AC13  
AC18  
AC23  
AC4  
AC8  
AD24  
AD3  
AE1  
AE2  
AE25  
AF1  
AF25  
AF26  
B2  
B25  
B26  
C24  
C3  
D14  
D19  
D23  
D4  
D9  
H4  
J23  
N4  
P23  
V4  
W23  
Lucent Technologies Inc.  
179  
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
Pin Information (continued)  
Table 73. OR3T20, OR3T30, OR3C/T55, OR3C/T80, and OR3T125 352-Pin PBGA Pinout (continued)  
OR3T20  
Pad  
OR3T30  
Pad  
OR3C/T55  
Pad  
OR3C/T80  
Pad  
OR3T125  
Pad  
Function  
Pin  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
L11  
L12  
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
*
*
*
*
*
*
*
*
*
*
*
*
*
*
*
*
*
*
*
*
*
*
*
*
*
*
*
*
*
*
*
*
*
*
*
*
L13  
L14  
L15  
L16  
M11  
M12  
M13  
M14  
M15  
M16  
N11  
N12  
N13  
N14  
N15  
N16  
P11  
P12  
P13  
P14  
P15  
P16  
R11  
R12  
R13  
R14  
R15  
R16  
T11  
T12  
T13  
T14  
T15  
T16  
AA23  
AA4  
AC11  
AC16  
AC21  
AC6  
DD  
DD  
DD  
DD  
DD  
DD  
DD  
DD  
DD  
DD  
DD  
DD  
DD  
DD  
DD  
DD  
DD  
DD  
DD  
DD  
DD  
DD  
DD  
DD  
DD  
DD  
DD  
DD  
DD  
DD  
DD  
DD  
DD  
DD  
DD  
DD  
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
180  
Lucent Technologies Inc.  
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
Pin Information (continued)  
Table 73. OR3T20, OR3T30, OR3C/T55, OR3C/T80, and OR3T125 352-Pin PBGA Pinout (continued)  
OR3T20  
Pad  
OR3T30  
Pad  
OR3C/T55  
Pad  
OR3C/T80  
Pad  
OR3T125  
Pad  
Function  
Pin  
DD  
V
DD  
V
DD  
V
DD  
V
DD  
V
DD  
V
DD  
V
DD  
V
DD  
V
DD  
V
DD  
V
DD  
V
DD  
V
DD  
V
DD  
V
DD  
V
DD  
V
DD  
V
DD  
V
DD  
V
DD  
V
DD  
V
DD  
V
DD  
V
DD  
V
DD  
V
DD  
V
DD  
V
DD  
V
DD  
V
DD  
V
DD  
V
DD  
V
DD  
V
DD  
V
DD  
V
DD  
V
DD  
V
DD  
V
DD  
V
DD  
V
DD  
V
DD  
V
DD  
V
DD  
V
DD  
V
DD  
V
DD  
V
DD  
V
DD  
V
DD  
V
DD  
V
DD  
V
DD  
V
DD  
V
DD  
V
DD  
V
DD  
V
DD  
V
DD  
V
D11  
D16  
D21  
D6  
F23  
F4  
L23  
L4  
T23  
T4  
*Thermally enhanced connection.  
Lucent Technologies Inc.  
181  
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
Pin Information (continued)  
Table 74. OR3C/T80 and OR3T125 432-Pin EBGA Pinout  
OR3C/T80  
Pad  
OR3T125  
Pad  
OR3C/T80  
Pad  
OR3T125  
Pad  
Pin  
Function  
Pin  
Function  
E4  
D3  
D2  
D1  
F4  
E3  
E2  
E1  
F3  
F2  
F1  
H4  
G3  
G2  
G1  
J4  
U2  
U3  
V1  
V2  
V3  
W1  
V4  
W2  
W3  
Y2  
W4  
Y3  
AA1  
AA2  
Y4  
AA3  
AB1  
AB2  
AB3  
AC1  
AC2  
AB4  
AC3  
AD2  
AD3  
AC4  
AE1  
AE2  
AE3  
AD4  
AF1  
AF2  
AF3  
AG1  
AG2  
AG3  
AF4  
AH1  
AH2  
AH3  
AG4  
AH5  
AJ4  
AK4  
AL4  
AH6  
PR12A  
PR13D  
PR13C  
PR13B  
PR13A  
PR14D  
PR14C  
PR14B  
PR14A  
PR15D  
PR15A  
PR16D  
PR16C  
PR16B  
PR16A  
PR17D  
PR17C  
PR17B  
PR17A  
PR18D  
PR18C  
PR18B  
PR18A  
PR19D  
PR19C  
PR19B  
PR19A  
PR20D  
PR20C  
PR20B  
PR20A  
PR21D  
PR21C  
PR21B  
PR21A  
PR22D  
PR22C  
PR22B  
PR22A  
PPRGMN  
PRESETN  
PDONE  
PB22D  
PB22C  
PB22B  
PB22A  
PR15A  
PR16D  
PR16B  
PR16A  
PR17D  
PR17A  
PR18D  
PR18B  
PR18A  
PR19D  
PR19A  
PR20D  
PR20C  
PR20B  
PR20A  
PR21D  
PR21C  
PR21B  
PR21A  
PR22D  
PR23D  
PR23B  
PR23A  
PR24A  
PR25C  
PR25B  
PR25A  
PR26D  
PR26C  
PR26B  
PR26A  
PR27D  
PR27C  
PR27B  
PR27A  
PR28D  
PR28C  
PR28B  
PR28A  
PPRGMN  
PRESETN  
PDONE  
PB28D  
PB28C  
PB28B  
PB28A  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O-M3  
I/O  
I/O  
I/O  
I/O  
I/O-M2  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O-M1  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
PRD_CFGN  
PR1D  
PR1C  
PR1B  
PR1A  
PR2D  
PR2C  
PR2B  
PR2A  
PR3D  
PR3C  
PR3B  
PR3A  
PR4D  
PR4C  
PR4B  
PR4A  
PR5D  
PR5C  
PR5B  
PR5A  
PR6D  
PR6C  
PR6B  
PR6A  
PR7D  
PR7C  
PR7B  
PR7A  
PR8D  
PR8A  
PR9D  
PR9C  
PR9B  
PR9A  
PR10D  
PR10C  
PR10B  
PR10A  
PR11D  
PR11C  
PR11B  
PECKR  
PR12D  
PR12C  
PR12B  
PRD_CFGN  
PR1D  
PR1C  
PR1B  
RD_CFG  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O-WR  
I/O  
I/O  
I/O  
I/O  
PR1A  
PR2D  
PR2C  
PR2B  
PR2A  
PR3D  
PR3C  
PR3B  
PR3A  
PR4D  
PR4C  
PR4B  
PR4A  
PR5A  
PR6C  
PR6A  
PR7A  
PR8D  
PR8C  
PR8B  
H3  
H2  
J3  
K4  
J2  
I/O  
I/O  
I/O  
I/O-RD/MPI_STRB  
J1  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O-CS0  
I/O  
I/O  
K3  
K2  
K1  
L3  
M4  
L2  
PR8A  
PR9D  
PR9C  
PR9B  
L1  
PR9A  
M3  
N4  
M2  
N3  
N2  
P4  
N1  
P3  
P2  
P1  
R3  
R2  
R1  
T2  
T4  
T3  
U1  
PR10D  
PR10A  
PR11D  
PR11A  
PR12D  
PR12C  
PR12A  
PR13D  
PR13C  
PR13A  
PR14D  
PR14C  
PR14B  
PECKR  
PR15D  
PR15C  
PR15B  
I/O  
I/O-CS1  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O-M0  
PRGM  
RESET  
DONE  
I/O  
I/O  
I/O  
I/O  
I-ECKR  
I/O  
I/O  
I/O  
182  
Lucent Technologies Inc.  
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
Pin Information (continued)  
Table 74. OR3C/T80 and OR3T125 432-Pin EBGA Pinout (continued)  
OR3C/T80  
Pad  
OR3T125  
Pad  
OR3C/T80  
OR3T125  
Pad  
Pin  
Function  
Pin  
Function  
Pad  
AJ5  
AK5  
AL5  
AJ6  
AK6  
AL6  
AH8  
AJ7  
AK7  
AL7  
AH9  
AJ8  
AK8  
AJ9  
AH10  
AK9  
PB21D  
PB21C  
PB21B  
PB21A  
PB20D  
PB20C  
PB20B  
PB20A  
PB19D  
PB19C  
PB19B  
PB19A  
PB18D  
PB18C  
PB18B  
PB18A  
PB17D  
PB17C  
PB17B  
PB17A  
PB16D  
PB16C  
PB16B  
PB16A  
PB15D  
PB15B  
PB15A  
PB14D  
PB14C  
PB14B  
PB14A  
PB13D  
PB13C  
PB13B  
PB13A  
PB12D  
PB12C  
PB12B  
PECKB  
PB11D  
PB11C  
PB11B  
PB11A  
PB10D  
PB10C  
PB10B  
PB27D  
PB27C  
PB27B  
PB27A  
PB26D  
PB26C  
PB26B  
PB26A  
PB25D  
PB25C  
PB25B  
PB25A  
PB24D  
PB24C  
PB24B  
PB24A  
PB23D  
PB23C  
PB23A  
PB22A  
PB21D  
PB21A  
PB20D  
PB20A  
PB19D  
PB19B  
PB19A  
PB18D  
PB18B  
PB18A  
PB17D  
PB17B  
PB17A  
PB16D  
PB16A  
PB15D  
PB15C  
PB15B  
PECKB  
PB14D  
PB14C  
PB14B  
PB14A  
PB13D  
PB13B  
PB13A  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O-INIT  
I/O  
I/O  
AL19  
AH18  
AK19  
AJ19  
AK20  
AH19  
AJ20  
AL21  
AK21  
AH20  
AJ21  
AL22  
AK22  
AJ22  
AL23  
AK23  
AH22  
AJ23  
AK24  
AJ24  
AH23  
AL25  
AK25  
AJ25  
AH24  
AL26  
AK26  
AJ26  
AL27  
AK27  
AJ27  
AH26  
AL28  
AK28  
AJ28  
AH27  
AG28  
AH29  
AH30  
AH31  
AF28  
AG29  
AG30  
AG31  
AF29  
AF30  
PB10A  
PB9D  
PB9C  
PB9B  
PB9A  
PB8D  
PB8B  
PB8A  
PB7D  
PB7C  
PB7B  
PB7A  
PB6D  
PB6C  
PB6B  
PB6A  
PB5D  
PB5C  
PB5B  
PB5A  
PB4D  
PB4C  
PB4B  
PB4A  
PB3D  
PB3C  
PB3B  
PB3A  
PB2D  
PB2C  
PB2B  
PB2A  
PB1D  
PB1C  
PB1B  
PB1A  
PCCLK  
PL22A  
PL22B  
PL22C  
PL22D  
PL21A  
PL21B  
PL21C  
PL21D  
PL20A  
PB12D  
PB12A  
PB11D  
PB11B  
PB11A  
PB10D  
PB10B  
PB10A  
PB9D  
PB9A  
PB8D  
PB8A  
PB7D  
PB7A  
PB6D  
PB6A  
PB5D  
PB5C  
PB5B  
PB5A  
PB4D  
PB4C  
PB4B  
PB4A  
PB3D  
PB3C  
PB3B  
PB3A  
PB2D  
PB2C  
PB2B  
PB2A  
PB1D  
PB1C  
PB1B  
PB1A  
PCCLK  
PL28A  
PL28B  
PL28C  
PL28D  
PL27A  
PL27B  
PL27C  
PL27D  
PL26A  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
AL9  
AJ10  
AK10  
AL10  
AJ11  
AH12  
AK11  
AL11  
AJ12  
AH13  
AK12  
AJ13  
AK13  
AH14  
AL13  
AJ14  
AK14  
AL14  
AJ15  
AK15  
AL15  
AK16  
AH16  
AJ16  
AL17  
AK17  
AJ17  
AL18  
AK18  
AJ18  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O-A17  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O-LDC  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O-HDC  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I-ECKB  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O-A16  
CCLK  
I/O-A15  
I/O  
I/O  
I/O  
I/O-SECKLL  
I/O  
I/O  
I/O  
I/O  
Lucent Technologies Inc.  
183  
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
Pin Information (continued)  
Table 74. OR3C/T80 and OR3T125 432-Pin EBGA Pinout (continued)  
OR3C/T80  
Pad  
OR3T125  
Pad  
OR3C/T80  
Pad  
OR3T125  
Pad  
Pin  
Function  
Pin  
Function  
AF31  
AD28  
AE29  
AE30  
AE31  
AC28  
AD29  
AD30  
AC29  
AB28  
AC30  
AC31  
AB29  
AB30  
AB31  
AA29  
Y28  
AA30  
AA31  
Y29  
W28  
Y30  
W29  
W30  
V28  
W31  
V29  
V30  
V31  
U29  
U30  
U31  
T30  
T28  
T29  
R31  
R30  
R29  
P31  
P30  
P29  
N31  
P28  
PL20B  
PL20C  
PL20D  
PL19A  
PL19B  
PL19C  
PL19D  
PL18A  
PL18B  
PL18C  
PL18D  
PL17A  
PL17B  
PL17C  
PL17D  
PL16A  
PL16B  
PL16C  
PL16D  
PL15A  
PL15B  
PL15C  
PL14A  
PL14B  
PL14C  
PL14D  
PL13A  
PL13B  
PL13C  
PL13D  
PL12A  
PL12B  
PL12C  
PL12D  
PL11A  
PL11B  
PL11C  
PECKL  
PL10A  
PL10B  
PL10C  
PL10D  
PL9A  
PL26B  
PL26C  
PL26D  
PL25A  
PL25B  
PL25C  
PL24A  
PL24D  
PL23D  
PL22C  
PL22D  
PL21A  
PL21B  
PL21C  
PL21D  
PL20A  
PL20B  
PL20C  
PL20D  
PL19A  
PL19D  
PL18A  
PL18C  
PL18D  
PL17A  
PL17C  
PL17D  
PL16A  
PL16C  
PL16D  
PL15A  
PL15B  
PL15C  
PL15D  
PL14A  
PL14B  
PL14C  
PECKL  
PL13A  
PL13D  
PL12A  
PL12C  
PL12D  
PL11A  
PL11C  
PL11D  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
N28  
M29  
L31  
L30  
M28  
L29  
K31  
K30  
K29  
J31  
J30  
K28  
J29  
H30  
H29  
J28  
G31  
G30  
G29  
H28  
F31  
F30  
F29  
E31  
E30  
E29  
F28  
D31  
D30  
D29  
E28  
PL8A  
PL8C  
PL8D  
PL7A  
PL7B  
PL7C  
PL7D  
PL6A  
PL6B  
PL6C  
PL6D  
PL5A  
PL5B  
PL5C  
PL5D  
PL4A  
PL4B  
PL4C  
PL4D  
PL3A  
PL3B  
PL3C  
PL3D  
PL2A  
PL2B  
PL2C  
PL2D  
PL1A  
PL1B  
PL1C  
PL1D  
PL10A  
PL10C  
PL10D  
PL9A  
PL9B  
PL9C  
PL9D  
PL8A  
PL8B  
PL8C  
PL8D  
PL7D  
PL6B  
PL6C  
PL6D  
PL5D  
PL4B  
PL4C  
PL4D  
PL3A  
PL3B  
PL3C  
PL3D  
PL2A  
PL2B  
PL2C  
PL2D  
PL1A  
PL1B  
PL1C  
PL1D  
I/O  
I/O  
I/O  
I/O-A3  
I/O  
I/O  
I/O  
I/O-A2  
I/O-A14  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O-A1/MPI_BE1  
I/O-A13  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O-A12  
I/O-A11/MPI_IRQ  
I/O  
I/O  
I/O  
I/O  
I/O-A10/MPI_BI  
I/O  
I/O  
I/O  
I/O  
I/O-A0/MPI_BE0  
I/O  
I/O  
I/O  
I/O  
I/O-A9/MPI_ACK  
I/O-A8/MPI_RW  
I/O  
I/O  
I/O  
D27 PRD_DATA PRD_DATA  
RD_DATA/TDO  
C28  
B28  
A28  
D26  
C27  
B27  
A27  
C26  
B26  
A26  
D24  
C25  
B25  
A25  
PT1A  
PT1B  
PT1C  
PT1D  
PT2A  
PT2B  
PT2C  
PT2D  
PT3A  
PT3B  
PT3C  
PT3D  
PT4A  
PT4B  
PT1A  
PT1B  
PT1C  
PT1D  
PT2A  
PT2B  
PT2C  
PT2D  
PT3A  
PT3B  
PT3C  
PT3D  
PT4A  
PT4B  
I/O-TCK  
I/O  
I/O-A7/MPI_CLK  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I-ECKL  
I/O-A6  
I/O  
I/O  
I/O  
I/O-A5  
I/O-A4  
I/O  
I/O  
I/O  
N30  
N29  
M30  
PL9B  
PL9C  
PL9D  
I/O-TMS  
I/O  
I/O  
184  
Lucent Technologies Inc.  
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
Pin Information (continued)  
Table 74. OR3C/T80 and OR3T125 432-Pin EBGA Pinout (continued)  
OR3C/T80  
Pad  
OR3T125  
Pad  
OR3C/T80  
OR3T125  
Pad  
Pin  
Function  
Pin  
Function  
Pad  
D23  
C24  
B24  
C23  
D22  
B23  
A23  
C22  
B22  
A22  
C21  
D20  
B21  
A21  
C20  
D19  
B20  
C19  
B19  
D18  
A19  
C18  
B18  
A18  
C17  
B17  
A17  
B16  
D16  
C16  
A15  
B15  
C15  
A14  
B14  
C14  
A13  
D14  
B13  
C13  
B12  
D13  
C12  
A11  
B11  
D12  
PT4C  
PT4D  
PT5A  
PT5B  
PT5C  
PT5D  
PT6A  
PT6B  
PT6C  
PT6D  
PT7A  
PT7B  
PT7C  
PT7D  
PT8A  
PT8C  
PT8D  
PT4C  
PT4D  
PT5A  
PT5B  
PT5C  
PT5D  
PT6A  
PT6D  
PT7A  
PT7D  
PT8A  
PT8D  
PT9A  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O-TDI  
I/O  
I/O  
I/O  
C11  
A10  
B10  
C10  
A9  
B9  
D10  
C9  
B8  
C8  
D9  
A7  
B7  
C7  
D8  
A6  
B6  
C6  
A5  
B5  
C5  
D6  
A4  
B4  
C4  
D5  
A12  
A16  
A2  
A20  
A24  
A29  
A3  
PT16C  
PT16D  
PT17A  
PT17B  
PT17C  
PT17D  
PT18A  
PT18B  
PT18C  
PT18D  
PT19A  
PT19B  
PT19C  
PT19D  
PT20A  
PT20B  
PT20C  
PT20D  
PT21A  
PT21B  
PT21C  
PT21D  
PT22A  
PT22B  
PT22C  
PT22D  
PT21A  
PT21D  
PT22D  
PT23B  
PT23C  
PT23D  
PT24A  
PT24B  
PT24C  
PT24D  
PT25A  
PT25B  
PT25C  
PT25D  
PT26A  
PT26B  
PT26C  
PT26D  
PT27A  
PT27B  
PT27C  
PT27D  
PT28A  
PT28B  
PT28C  
PT28D  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O-D7  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
PT9D  
PT10A  
PT10D  
PT11A  
PT11C  
PT11D  
PT12A  
PT12C  
PT12D  
PT13A  
PT13C  
PT13D  
PT14A  
PT14B  
PT14C  
PT14D  
PT15A  
PT15B  
PT15C  
PECKT  
PT16A  
PT16B  
PT16D  
PT17A  
PT17B  
PT17D  
PT18A  
PT18B  
PT18D  
PT19A  
PT19D  
PT20A  
PT20D  
I/O-DOUT  
I/O  
I/O  
I/O  
I/O  
PT9A  
PT9B  
PT9C  
PT9D  
I/O-RDY/RCLK/MPI_ALE  
I/O-D0/DIN  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
PT10A  
PT10B  
PT10C  
PT10D  
PT11A  
PT11B  
PT11C  
PT11D  
PT12A  
PT12B  
PT12C  
PECKT  
PT13A  
PT13B  
PT13C  
PT13D  
PT14A  
PT14B  
PT14C  
PT14D  
PT15A  
PT15B  
PT15D  
PT16A  
PT16B  
I/O  
I/O  
I/O  
I/O-D1  
I/O-D2  
I/O  
I/O  
I/O  
I/O-D3  
I/O  
I/O  
I-ECKT  
I/O-D4  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O-SECKUR  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
V
SS  
V
SS  
V
SS  
V
SS  
V
SS  
V
SS  
V
SS  
V
SS  
V
SS  
V
SS  
V
SS  
V
SS  
V
SS  
V
SS  
V
SS  
V
SS  
V
SS  
V
SS  
V
SS  
V
SS  
V
SS  
V
SS  
V
SS  
V
SS  
V
SS  
V
SS  
V
SS  
V
SS  
V
SS  
V
SS  
V
SS  
V
SS  
V
SS  
V
SS  
V
SS  
V
SS  
V
SS  
V
SS  
V
SS  
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
A30  
A8  
AD1  
AD31  
AJ1  
AJ2  
AJ30  
AJ31  
AK1  
AK29  
AK3  
AK31  
AL12  
I/O-D5  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O-D6  
Lucent Technologies Inc.  
185  
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
Pin Information (continued)  
Table 74. OR3C/T80 and OR3T125 432-Pin EBGA Pinout (continued)  
OR3C/T80  
Pad  
OR3T125  
Pad  
OR3C/T80  
Pad  
OR3T125  
Pad  
Pin  
Function  
Pin  
Function  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
DD  
V
DD  
V
DD  
V
DD  
V
DD  
V
DD  
V
DD  
V
DD  
V
DD  
V
DD  
V
DD  
V
DD  
V
DD  
V
DD  
V
DD  
V
DD  
V
DD  
V
DD  
V
DD  
V
DD  
V
DD  
V
DD  
V
DD  
V
DD  
V
DD  
V
DD  
V
DD  
V
DD  
V
DD  
V
DD  
V
DD  
V
DD  
V
DD  
DD  
DD  
DD  
DD  
DD  
DD  
DD  
DD  
DD  
DD  
DD  
DD  
DD  
DD  
DD  
DD  
DD  
DD  
DD  
DD  
DD  
DD  
DD  
DD  
DD  
DD  
DD  
DD  
DD  
DD  
DD  
DD  
DD  
DD  
DD  
DD  
DD  
DD  
DD  
DD  
DD  
DD  
DD  
DD  
DD  
DD  
DD  
DD  
DD  
DD  
DD  
DD  
DD  
DD  
DD  
DD  
DD  
DD  
DD  
DD  
DD  
DD  
DD  
AL16  
AL2  
AL20  
AL24  
AL29  
AL3  
AL30  
AL8  
B1  
B29  
B3  
B31  
C1  
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
AH17  
AH21  
AH25  
AH28  
AH4  
AH7  
AJ29  
AJ3  
AK2  
AK30  
AL1  
AL31  
B2  
B30  
C29  
C3  
D11  
D15  
D17  
D21  
D25  
D28  
D4  
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
C2  
C30  
C31  
H1  
H31  
M1  
M31  
T1  
T31  
Y1  
Y31  
A1  
D7  
G28  
G4  
L28  
L4  
R28  
R4  
DD  
DD  
DD  
DD  
DD  
DD  
DD  
DD  
DD  
DD  
DD  
DD  
DD  
DD  
DD  
DD  
DD  
DD  
DD  
DD  
DD  
DD  
DD  
DD  
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
A31  
AA28  
AA4  
AE28  
AE4  
AH11  
AH15  
U28  
U4  
186  
Lucent Technologies Inc.  
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
Pin Information (continued)  
Table 75. OR3T125 600-Pin EBGA Pinout  
OR3T125  
OR3T125  
Function  
Pad  
Pin  
Function  
Pin  
Pad  
E4  
E3  
E2  
F5  
F4  
F3  
F2  
G5  
G4  
G3  
G2  
H5  
H4  
H3  
H2  
J5  
R2  
R1  
T4  
T3  
T2  
U2  
U4  
U5  
U3  
U1  
V2  
V4  
V5  
PR12C  
PR12B  
PR12A  
PR13D  
PR13C  
PR13B  
PR13A  
PR14D  
PR14C  
PR14B  
PECKR  
PR15D  
PR15C  
PR15B  
PR15A  
PR16D  
PR16C  
PR16B  
PR16A  
PR17D  
PR17C  
PR17B  
PR17A  
PR18D  
PR18C  
PR18B  
PR18A  
PR19D  
PR19C  
PR19B  
PR19A  
PR20D  
PR20C  
PR20B  
PR20A  
PR21D  
PR21C  
PR21B  
PR21A  
PR22D  
PR22C  
PR22B  
PR22A  
PR23D  
PR23C  
PR23B  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
PRD_CFGN  
PR1D  
PR1C  
PR1B  
PR1A  
PR2D  
PR2C  
PR2B  
PR2A  
PR3D  
PR3C  
PR3B  
PR3A  
PR4D  
PR4C  
PR4B  
PR4A  
PR5D  
PR5C  
PR5B  
PR5A  
PR6D  
PR6C  
PR6B  
PR6A  
PR7D  
PR7C  
PR7B  
PR7A  
PR8D  
PR8C  
PR8B  
PR8A  
PR9D  
PR9C  
PR9B  
PR9A  
PR10D  
PR10C  
PR10B  
PR10A  
PR11D  
PR11C  
PR11B  
PR11A  
PR12D  
RD_CFG  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O-WR  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I-ECKR  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O-M3  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O-M2  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O-M1  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
V3  
W2  
W3  
W4  
W5  
Y2  
J4  
J3  
J2  
J1  
Y3  
Y4  
K5  
K4  
K3  
K2  
K1  
L4  
L3  
L2  
L1  
M5  
M4  
M3  
M2  
M1  
N5  
N4  
N3  
N2  
P4  
P5  
P3  
P2  
P1  
R4  
R5  
R3  
AA1  
AA2  
AA3  
AA4  
AA5  
AB1  
AB2  
AB3  
AB4  
AB5  
AC2  
AC3  
AC4  
AC5  
AD1  
AD2  
AD3  
AD4  
AD5  
AE1  
AE2  
AE3  
AE4  
AF1  
AF2  
I/O  
I/O  
I/O  
I/O-RD/MPI_STRB  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O-CS0  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O-CS1  
I/O  
Lucent Technologies Inc.  
187  
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
Pin Information (continued)  
Table 75. OR3T125 600-Pin EBGA Pinout (continued)  
OR3T125  
OR3T125  
Pad  
Pin  
Function  
Pin  
Function  
Pad  
PR23A  
PR24D  
PR24C  
PR24B  
PR24A  
PR25D  
PR25C  
PR25B  
PR25A  
PR26D  
PR26C  
PR26B  
PR26A  
PR27D  
PR27C  
PR27B  
PR27A  
PR28D  
PR28C  
PR28B  
PR28A  
PPRGMN  
PRESETN  
PDONE  
PB28D  
PB28C  
PB28B  
PB28A  
PB27D  
PB27C  
PB27B  
PB27A  
PB26D  
PB26C  
PB26B  
PB26A  
PB25D  
PB25C  
PB25B  
PB25A  
PB24D  
PB24C  
PB24B  
PB24A  
PB23D  
AF3  
AF4  
AF5  
AG1  
AG2  
AG3  
AG4  
AG5  
AH2  
AH3  
AH4  
AH5  
AJ2  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O-M0  
PRGM  
RESET  
DONE  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
AP10  
AR10  
AM11  
AN11  
AP11  
AR11  
AL12  
AM12  
AN12  
AP12  
AR12  
AL13  
AM13  
AN13  
AP13  
AM14  
AL14  
AN14  
AP14  
AR14  
AM15  
AL15  
AN15  
AP15  
AR15  
AM16  
AN16  
AP16  
AP17  
AM17  
AL17  
AN17  
AR17  
AP18  
AM18  
AL18  
AN18  
AP19  
AN19  
AM19  
AL19  
AP20  
AN20  
AM20  
AR21  
AP21  
PB23C  
PB23B  
PB23A  
PB22D  
PB22C  
PB22B  
PB22A  
PB21D  
PB21C  
PB21B  
PB21A  
PB20D  
PB20C  
PB20B  
PB20A  
PB19D  
PB19C  
PB19B  
PB19A  
PB18D  
PB18C  
PB18B  
PB18A  
PB17D  
PB17C  
PB17B  
PB17A  
PB16D  
PB16C  
PB16B  
PB16A  
PB15D  
PB15C  
PB15B  
PECKB  
PB14D  
PB14C  
PB14B  
PB14A  
PB13D  
PB13C  
PB13B  
PB13A  
PB12D  
PB12C  
PB12B  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
AJ3  
AJ4  
AJ5  
I/O  
I/O-LDC  
I/O  
AK2  
AK3  
AK4  
AK5  
AL2  
AL3  
AL4  
AM5  
AN5  
AP5  
AL6  
AM6  
AN6  
AP6  
AL7  
AM7  
AN7  
AP7  
AL8  
AM8  
AN8  
AP8  
AL9  
AM9  
AN9  
AP9  
AR9  
AL10  
AM10  
AN10  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O-HDC  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I-ECKB  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O-INIT  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
188  
Lucent Technologies Inc.  
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
Pin Information (continued)  
Table 75. OR3T125 600-Pin EBGA Pinout (continued)  
OR3T125  
OR3T125  
Function  
Pad  
Pin  
Function  
Pin  
Pad  
PB12A  
PB11D  
PB11C  
PB11B  
PB11A  
PB10D  
PB10C  
PB10B  
PB10A  
PB9D  
PB9C  
PB9B  
PB9A  
PB8D  
PB8C  
PB8B  
PB8A  
PB7D  
PB7C  
PB7B  
PB7A  
PB6D  
PB6C  
PB6B  
PB6A  
PB5D  
PB5C  
PB5B  
PB5A  
PB4D  
PB4C  
PB4B  
PB4A  
PB3D  
PB3C  
PB3B  
PB3A  
PB2D  
PB2C  
PB2B  
PB2A  
PB1D  
PB1C  
AN21  
AM21  
AL21  
AR22  
AP22  
AN22  
AM22  
AL22  
AP23  
AN23  
AM23  
AL23  
AR24  
AP24  
AN24  
AM24  
AL24  
AR25  
AP25  
AN25  
AM25  
AR26  
AP26  
AN26  
AM26  
AL26  
AR27  
AP27  
AN27  
AM27  
AL27  
AP28  
AN28  
AM28  
AL28  
AP29  
AN29  
AM29  
AL29  
AP30  
AN30  
AM30  
AL30  
AP31  
AN31  
AM31  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O-A17  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O-A16  
AL32  
AL33  
AL34  
AK31  
AK32  
AK33  
AK34  
AJ31  
AJ32  
AJ33  
AJ34  
AH31  
AH32  
AH33  
AH34  
AG31  
AG32  
AG33  
AG34  
AG35  
AF31  
AF32  
AF33  
AF34  
AF35  
AE32  
AE33  
AE34  
AE35  
AD31  
AD32  
AD33  
AD34  
AD35  
AC31  
AC32  
AC33  
AC34  
AB32  
AB31  
AB33  
AB34  
AB35  
AA32  
AA31  
AA33  
PCCLK  
PL28A  
PL28B  
PL28C  
PL28D  
CCLK  
I/O-A15  
I/O  
I/O  
I/O  
I/O  
PL27A  
PL27B  
PL27C  
PL27D  
PL26A  
PL26B  
PL26C  
PL26D  
PL25A  
PL25B  
PL25C  
PL25D  
PL24A  
PL24B  
PL24C  
PL24D  
PL23A  
PL23B  
PL23C  
PL23D  
PL22A  
PL22B  
PL22C  
PL22D  
PL21A  
PL21B  
PL21C  
PL21D  
PL20A  
PL20B  
PL20C  
PL20D  
PL19A  
PL19B  
PL19C  
PL19D  
PL18A  
PL18B  
PL18C  
PL18D  
I/O-SECKLL  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O-A14  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O-A13  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O-A12  
I/O-A11/MPI_IRQ  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
PB1B  
PB1A  
Lucent Technologies Inc.  
189  
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
Pin Information (continued)  
Table 75. OR3T125 600-Pin EBGA Pinout (continued)  
OR3T125  
OR3T125  
Pad  
Pin  
Function  
Pin  
Function  
Pad  
AA34  
AA35  
Y32  
Y33  
Y34  
W34  
W32  
W31  
W33  
W35  
V34  
V32  
V31  
V33  
U34  
U33  
U32  
U31  
T34  
T33  
T32  
R35  
R34  
R33  
R32  
R31  
P35  
P34  
P33  
P32  
P31  
N34  
N33  
N32  
N31  
M35  
M34  
M33  
M32  
M31  
L35  
PL17A  
PL17B  
PL17C  
PL17D  
PL16A  
PL16B  
PL16C  
PL16D  
PL15A  
PL15B  
PL15C  
PL15D  
PL14A  
PL14B  
PL14C  
PECKL  
PL13A  
PL13B  
PL13C  
PL13D  
PL12A  
PL12B  
PL12C  
PL12D  
PL11A  
PL11B  
PL11C  
PL11D  
PL10A  
PL10B  
PL10C  
PL10D  
PL9A  
I/O-A10/MPI_BI  
K33  
K32  
K31  
J35  
J34  
J33  
PL6C  
PL6D  
PL5A  
PL5B  
PL5C  
PL5D  
PL4A  
PL4B  
PL4C  
PL4D  
PL3A  
PL3B  
PL3C  
PL3D  
PL2A  
PL2B  
PL2C  
PL2D  
PL1A  
PL1B  
PL1C  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
J32  
J31  
I/O-A9/MPI_ACK  
I/O-A8/MPI_RW  
H34  
H33  
H32  
H31  
G34  
G33  
G32  
G31  
F34  
F33  
F32  
F31  
E34  
E33  
E32  
D31  
C31  
B31  
E30  
D30  
C30  
B30  
E29  
D29  
C29  
B29  
E28  
D28  
C28  
B28  
E27  
D27  
C27  
B27  
A27  
E26  
D26  
C26  
I/O  
I/O  
I/O  
I/O-A7/MPI_CLK  
I/O  
I/O  
I-ECKL  
I/O-A6  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O-A0/MPI_BE0  
I/O  
I/O  
I/O  
I/O  
I/O  
PL1D  
PRD_DATA  
PT1A  
I/O  
I/O-A5  
I/O-A4  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O-A3  
I/O  
I/O  
I/O  
I/O-A2  
I/O  
I/O  
I/O  
I/O  
I/O  
RD_DATA/TDO  
I/O-TCK  
I/O  
PT1B  
PT1C  
PT1D  
PT2A  
PT2B  
PT2C  
PT2D  
PT3A  
PT3B  
PT3C  
PT3D  
PT4A  
PT4B  
PT4C  
PT4D  
PT5A  
PT5B  
PT5C  
PT5D  
PT6A  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
PL9B  
PL9C  
PL9D  
PL8A  
PL8B  
PL8C  
PL8D  
PL7A  
PL7B  
PL7C  
PL7D  
PL6A  
I/O  
I/O  
I/O  
I/O-TMS  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O-TDI  
L34  
L33  
L32  
K35  
K34  
I/O  
I/O-A1/MPI_BE1  
I/O  
PL6B  
I/O  
190  
Lucent Technologies Inc.  
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
Pin Information (continued)  
Table 75. OR3T125 600-Pin EBGA Pinout (continued)  
OR3T125  
OR3T125  
Function  
Pad  
Pin  
Function  
Pin  
Pad  
B26  
A26  
D25  
C25  
B25  
A25  
E24  
D24  
C24  
B24  
A24  
E23  
D23  
C23  
B23  
D22  
E22  
C22  
B22  
A22  
D21  
E21  
C21  
B21  
A21  
D20  
C20  
B20  
B19  
D19  
E19  
C19  
A19  
B18  
D18  
E18  
C18  
B17  
C17  
D17  
E17  
B16  
C16  
D16  
A15  
B15  
PT6B  
PT6C  
PT6D  
PT7A  
PT7B  
PT7C  
PT7D  
PT8A  
PT8B  
PT8C  
PT8D  
PT9A  
PT9B  
PT9C  
PT9D  
PT10A  
PT10B  
PT10C  
PT10D  
PT11A  
PT11B  
PT11C  
PT11D  
PT12A  
PT12B  
PT12C  
PT12D  
PT13A  
PT13B  
PT13C  
PT13D  
PT14A  
PT14B  
PT14C  
PT14D  
PT15A  
PT15B  
PT15C  
PECKT  
PT16A  
PT16B  
PT16C  
PT16D  
PT17A  
PT17B  
PT17C  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
C15  
D15  
E15  
A14  
B14  
C14  
D14  
E14  
B13  
C13  
D13  
E13  
A12  
B12  
C12  
D12  
E12  
A11  
B11  
C11  
D11  
A10  
B10  
C10  
D10  
E10  
A9  
B9  
C9  
D9  
E9  
B8  
C8  
D8  
E8  
B7  
C7  
D7  
E7  
B6  
C6  
D6  
E6  
PT17D  
PT18A  
PT18B  
PT18C  
PT18D  
PT19A  
PT19B  
PT19C  
PT19D  
PT20A  
PT20B  
PT20C  
PT20D  
PT21A  
PT21B  
PT21C  
PT21D  
PT22A  
PT22B  
PT22C  
PT22D  
PT23A  
PT23B  
PT23C  
PT23D  
PT24A  
PT24B  
PT24C  
PT24D  
PT25A  
PT25B  
PT25C  
PT25D  
PT26A  
PT26B  
PT26C  
PT26D  
PT27A  
PT27B  
PT27C  
PT27D  
PT28A  
PT28B  
PT28C  
I/O  
I/O-D5  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O-D6  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O-D7  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O-DOUT  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O-D0/DIN  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O-D1  
I/O-D2  
I/O  
I/O  
I/O  
I/O-D3  
I/O  
I/O  
I-ECKT  
I/O-D4  
I/O  
I/O  
I/O  
I/O-RDY/RCLK/MPI_ALE  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
I/O  
B5  
C5  
D5  
I/O  
PT28D  
I/O-SECKUR  
Lucent Technologies Inc.  
191  
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
Pin Information (continued)  
Table 75. OR3T125 600-Pin EBGA Pinout (continued)  
OR3T125  
OR3T125  
Pad  
Pin  
Function  
Pin  
Function  
Pad  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
SS  
A13  
A16  
A20  
A23  
A28  
A29  
A3  
A32  
A33  
A4  
A7  
A8  
AC1  
AC35  
AH1  
AH35  
AJ1  
AJ35  
AM1  
AM2  
AM3  
AM33  
AM34  
AM35  
AN1  
AN2  
AN32  
AN34  
AN35  
AN4  
AP3  
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
B3  
B32  
B33  
B4  
C1  
C2  
C32  
C34  
C35  
C4  
D1  
D2  
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
D3  
D33  
D34  
D35  
G1  
G35  
H1  
H35  
N1  
N35  
T1  
T35  
Y1  
Y35  
A1  
A17  
A18  
A2  
A30  
A31  
A34  
A35  
A5  
DD  
DD  
DD  
DD  
DD  
DD  
DD  
DD  
DD  
DD  
DD  
DD  
DD  
DD  
DD  
DD  
DD  
DD  
DD  
DD  
DD  
DD  
DD  
DD  
DD  
DD  
DD  
DD  
DD  
DD  
DD  
DD  
DD  
DD  
DD  
DD  
DD  
DD  
DD  
DD  
AP32  
AP33  
AP4  
AR13  
AR16  
AR20  
AR23  
AR28  
AR29  
AR3  
AR32  
AR33  
AR4  
AR7  
AR8  
A6  
AE31  
AE5  
AK1  
AK35  
AL1  
AL11  
AL16  
AL20  
AL25  
AL31  
192  
Lucent Technologies Inc.  
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
Pin Information (continued)  
Table 75. OR3T125 600-Pin EBGA Pinout (continued)  
OR3T125  
OR3T125  
Function  
Pad  
Pin  
Function  
Pin  
Pad  
DD  
V
DD  
V
DD  
V
DD  
V
DD  
V
DD  
V
DD  
V
DD  
V
DD  
V
DD  
V
DD  
V
DD  
V
DD  
V
DD  
V
DD  
V
DD  
V
DD  
V
DD  
V
DD  
V
DD  
V
DD  
V
DD  
V
DD  
V
DD  
V
DD  
DD  
DD  
DD  
DD  
DD  
DD  
DD  
DD  
DD  
DD  
DD  
DD  
DD  
DD  
DD  
DD  
DD  
DD  
DD  
DD  
DD  
DD  
DD  
DD  
V
DD  
V
DD  
V
DD  
V
DD  
V
DD  
V
DD  
V
DD  
V
DD  
V
DD  
V
DD  
V
DD  
V
DD  
V
DD  
V
DD  
V
DD  
V
DD  
V
DD  
V
DD  
V
DD  
V
DD  
V
DD  
V
DD  
V
DD  
V
DD  
V
DD  
V
DD  
V
DD  
V
DD  
V
DD  
V
DD  
V
DD  
V
DD  
V
DD  
V
DD  
V
DD  
V
DD  
V
DD  
V
DD  
V
DD  
V
DD  
V
DD  
V
DD  
V
DD  
V
DD  
V
DD  
V
DD  
V
DD  
V
AL35  
AL5  
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
V
C3  
C33  
D32  
D4  
AM32  
AM4  
AN3  
AN33  
AP1  
E1  
E11  
E16  
E20  
E25  
E31  
E35  
E5  
AP2  
AP34  
AP35  
AR1  
AR18  
AR19  
AR2  
AR30  
AR31  
AR34  
AR35  
AR5  
AR6  
B1  
F1  
F35  
L31  
L5  
T31  
T5  
U35  
V1  
V35  
W1  
Y31  
Y5  
B2  
B34  
B35  
Lucent Technologies Inc.  
193  
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
ψ
JC  
Package Thermal Characteristics  
This JEDEC designated parameter correlates the junc-  
tion temperature to the case temperature. It is generally  
used to infer the junction temperature while the device  
is operating in the system. It is not considered a true  
thermal resistance, and it is defined by:  
There are four thermal parameters that are in common  
use: ΘJA, ψJC, ΘJC, and ΘJB. It should be noted that all  
the parameters are affected, to varying degrees, by  
package design (including paddle size) and choice of  
materials, the amount of copper in the test board or  
system board, and system airflow.  
TJ TC  
ψ
JC = -------------------  
Q
The data base containing the thermal values for all of  
Lucent Technologies’ IC packages is currently being  
updated to conform to modern JEDEC standards.  
Thus, Table 76 contains the currently available thermal  
specifications for Lucent Technologies’ FPGA pack-  
ages mounted on both JEDEC and non-JEDEC test  
boards. The thermal values for the newer package  
types correspond to those packages mounted on a  
JEDEC four-layer board. The values for the older pack-  
ages, however, correspond to those packages mounted  
on a non-JEDEC, single-layer, sparse copper board  
(see Note 2). It should also be noted that the values for  
the older packages are considered conservative.  
where TC is the case temperature at top dead center,  
TJ is the junction temperature, and Q is the chip power.  
During the ΘJA measurements described above,  
besides the other parameters measured, an additional  
temperature reading, TC, is made with a thermocouple  
ψJC is also  
attached at top-dead-center of the case.  
expressed in units of °C/watt.  
ΘJC  
This is the thermal resistance from junction to case. It  
is most often used when attaching a heat sink to the  
top of the package. It is defined by:  
ΘJA  
This is the thermal resistance from junction to ambient  
(a.k.a. theta-JA, R-theta, etc.).  
TJ TC  
ΘJC = --------------------  
Q
TJ TA  
ΘJA = -------------------  
Q
The parameters in this equation have been defined  
above. However, the measurements are performed with  
the case of the part pressed against a water-cooled  
heat sink so as to draw most of the heat generated by  
the chip out the top of the package. It is this difference  
in the measurement process that differentiates ΘJC  
where TJ is the junction temperature, TA is the ambient  
air temperature, and Q is the chip power.  
Experimentally, ΘJA is determined when a special ther-  
mal test die is assembled into the package of interest,  
and the part is mounted on the thermal test board. The  
diodes on the test chip are separately calibrated in an  
oven. The package/board is placed either in a JEDEC  
natural convection box or in the wind tunnel, the latter  
for forced convection measurements. A controlled  
amount of power (Q) is dissipated in the test chip’s  
heater resistor, the chip’s temperature (TJ) is deter-  
mined by the forward drop on the diodes, and the ambi-  
ent temperature (TA) is noted. Note that ΘJA is  
expressed in units of °C/watt.  
ψJC. ΘJC is a true thermal resistance and is  
from  
expressed in units of °C/watt.  
ΘJB  
This is the thermal resistance from junction to board  
(a.k.a. ΘJL). It is defined by:  
TJ TB  
ΘJB = ------------------  
Q
where TB is the temperature of the board adjacent to a  
lead measured with a thermocouple. The other param-  
eters on the right-hand side have been defined above.  
This is considered a true thermal resistance, and the  
measurement is made with a water-cooled heat sink  
pressed against the board so as to draw most of the  
heat out of the leads. Note that ΘJB is expressed in  
units of °C/watt, and that this parameter and the way it  
is measured is still in JEDEC committee.  
194  
Lucent Technologies Inc.  
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
Package Thermal Characteristics (continued)  
FPGA Maximum Junction Temperature  
Once the power dissipated by the FPGA has been determined (see the Estimating Power Dissipation section), the  
maximum junction temperature of the FPGA can be found. This is needed to determine if speed derating of the  
device from the 85 °C junction temperature used in all of the delay tables is needed. Using the maximum ambient  
temperature, TAmax, and the power dissipated by the device, Q (expressed in °C), the maximum junction tempera-  
ture is approximated by:  
TJmax = TAmax + (Q • ΘJA)  
Table 76 lists the plastic package thermal characteristics for the ORCA Series FPGAs.  
Table 76. Plastic Package Thermal Characteristics for the ORCA Series1  
ΘJA (°C/W)  
TA = 70 °C max  
TJ = 125 °C max  
@ 0 fpm (W)  
Package  
0 fpm  
200 fpm  
500 fpm  
208-Pin SQFP1  
208-Pin SQFP21  
240-Pin SQFP1  
240-Pin SQFP21  
256-Pin PBGA1, 2  
256-Pin PBGA1, 3  
352-Pin PBGA1, 2  
352-Pin PBGA1, 3  
432-Pin EBGA1  
600-Pin EBGA1  
26.5  
12.8  
25.5  
13.0  
22.5  
26.0  
19.0  
25.5  
11.0  
11.0  
23.0  
10.3  
22.5  
10.0  
19.0  
22.0  
16.0  
22.0  
8.5  
21.0  
9.1  
2.1  
4.3  
2.2  
4.2  
2.4  
2.1  
2.9  
2.1  
5.0  
5.5  
21.0  
9.0  
17.5  
20.5  
15.0  
20.5  
7.5  
8.5  
7.5  
1. Mounted on 4-layer JEDEC standard test board with two power/ground planes.  
2. With thermal balls connected to board ground plane.  
3. Without thermal balls connected to board ground plane.  
Lucent Technologies Inc.  
195  
 
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
Package Coplanarity  
represent the contributions of all components of a  
package, which include the bond wires, all internal  
package routing, and the external leads.  
The coplanarity limits of the ORCA Series 3 packages  
are as follows.  
Four inductances in nH are listed: LSW and LSL, the  
self-inductance of the lead; and LMW and LML, the  
mutual inductance to the nearest neighbor lead. These  
parameters are important in determining ground  
bounce noise and inductive crosstalk noise. Three  
capacitances in pF are listed: CM, the mutual capaci-  
tance of the lead to the nearest neighbor lead; and C1  
and C2, the total capacitance of the lead to all other  
leads (all other leads are assumed to be grounded).  
These parameters are important in determining capaci-  
tive crosstalk and the capacitive loading effect of the  
lead. The lead resistance value, RW, is in M.  
Table 77. Package Coplanarity  
Coplanarity Limit  
Package Type  
(mils)  
EBGA  
PBGA  
8.0  
8.0  
SQFP/SQFP2  
4.0  
3.15  
Package Parasitics  
The parasitic values in Table 78 are for the circuit  
model of bond wire and package lead parasitics. If the  
mutual capacitance value is not used in the designer’s  
model, then the value listed as mutual capacitance  
should be added to each of the C1 and C2 capacitors.  
The electrical performance of an IC package, such as  
signal quality and noise sensitivity, is directly affected  
by the package parasitics. Table 78 lists eight parasitics  
associated with the ORCA packages. These parasitics  
Table 78. Package Parasitics  
Package Type  
LSW  
LMW  
RW  
C1  
C2  
CM  
LSL  
LML  
208-Pin SQFP  
208-Pin SQFP2  
240-Pin SQFP  
240-Pin SQFP2  
256-Pin PBGA  
352-Pin PBGA  
432-Pin EBGA  
600-Pin EBGA  
4
4
4
4
5
5
4
4
2
2
200  
200  
200  
200  
220  
220  
500  
500  
1
1
1
1
1
1
7—10  
6—9  
4—6  
4—6  
2
1
1
1
8—12  
7—11  
5—8  
5—8  
2
1
1
1
4—7  
2
1
1
1
2—4  
2
1.5  
1
1.5  
1
1.5  
0.3  
0.4  
7—12  
3—5.5  
3—6  
3—6  
1.5  
1.5  
0.5—1  
0.5—1  
1
1
LSW  
RW  
LSL  
BOARD PAD  
PAD N  
C1  
C2  
LMW  
LML  
CM  
PAD N + 1  
LSW  
RW  
LSL  
C1  
C2  
5-3862(F).a  
Figure 104. Package Parasitics  
196  
Lucent Technologies Inc.  
 
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
Package Outline Diagrams  
Terms and Definitions  
Basic Size (BSC):  
The basic size of a dimension is the size from which the limits for that dimension are derived  
by the application of the allowance and the tolerance.  
Design Size:  
The design size of a dimension is the actual size of the design, including an allowance for fit  
and tolerance.  
Typical (TYP):  
When specified after a dimension, this indicates the repeated design size if a tolerance is  
specified or repeated basic size if a tolerance is not specified.  
Reference (REF):  
The reference dimension is an untoleranced dimension used for informational purposes only.  
It is a repeated dimension or one that can be derived from other values in the drawing.  
Minimum (MIN) or  
Maximum (MAX):  
Indicates the minimum or maximum allowable size of a dimension.  
Lucent Technologies Inc.  
197  
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
Package Outline Diagrams (continued)  
208-Pin SQFP  
Dimensions are in millimeters.  
30.60 ± 0.20  
28.00 ± 0.20  
1.30 REF  
0.25  
PIN #1 IDENTIFIER ZONE  
208  
157  
1
156  
GAGE PLANE  
SEATING PLANE  
0.50/0.75  
DETAIL A  
28.00  
± 0.20  
30.60  
± 0.20  
0.090/0.200  
0.17/0.27  
M
0.10  
105  
52  
DETAIL B  
53  
104  
DETAIL A  
DETAIL B  
3.40 ± 0.20  
4.10 MAX  
SEATING PLANE  
0.08  
0.50 TYP  
0.25 MIN  
Note: The dimensions in this outline diagram are intended for informational purposes only.  
For detailed schematics to assist your design efforts, please contact your Lucent Technologies Sales Representative.  
198  
Lucent Technologies Inc.  
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
Package Outline Diagrams (continued)  
208-Pin SQFP2  
Dimensions are in millimeters.  
30.60 ± 0.20  
28.00 ± 0.20  
21.0 REF  
PIN #1 IDENTIFIER ZONE  
208  
1.30 REF  
157  
156  
0.25  
GAGE PLANE  
SEATING PLANE  
0.50/0.75  
21.0  
REF  
28.00  
± 0.20  
DETAIL A  
30.60  
± 0.20  
0.090/0.200  
0.17/0.2  
105  
M
0.10  
DETAIL B  
53  
104  
EXPOSED HEAT SINK APPEARS ON BOTTOM  
SURFACE: CHIP BONDED FACE UP. (SEE DETAIL C.)  
DETAIL A  
DETAIL B  
3.40 ± 0.20  
4.10 MAX  
SEATING PLANE  
0.08  
5-3828(F)  
0.50 TYP  
0.25 MIN  
CHIP BONDED FACE UP  
CHIP  
COPPER HEAT SINK  
DETAIL C (SQFP2 CHIP-UP)  
5-3828(F).a  
Lucent Technologies Inc.  
199  
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
Package Outline Diagrams (continued)  
240-Pin SQFP  
Dimensions are in millimeters.  
34.60 ± 0.20  
32.00 ± 0.20  
1.30 REF  
0.25  
PIN #1 IDENTIFIER ZONE  
240  
181  
1
180  
GAGE PLANE  
SEATING PLANE  
0.50/0.75  
DETAIL A  
32.00  
± 0.20  
34.60  
± 0.20  
0.090/0.200  
0.17/0.27  
M
0.10  
DETAIL B  
60  
121  
61  
120  
DETAIL A  
DETAIL B  
3.40 ± 0.20  
4.10 MAX  
SEATING PLANE  
0.08  
0.50 TYP  
0.25 MIN  
Note: The dimensions in this outline diagram are intended for informational purposes only. For detailed schematics to assist your design efforts,  
please contact your Lucent Technologies Sales Representative.  
200  
Lucent Technologies Inc.  
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
Package Outline Diagrams (continued)  
240-Pin SQFP2  
Dimensions are in millimeters.  
34.60 ± 0.20  
32.00 ± 0.20  
24. 2 REF  
1.30 REF  
PIN #1 IDENTIFIER ZONE  
240  
181  
1
180  
0.25  
GAGE PLANE  
SEATING PLANE  
0.50/0.75  
24.2  
RE F  
DETAIL A  
32.00  
± 0.20  
34.60  
± 0.20  
0.090/0.200  
0.17/0.27  
M
0.10  
DETAIL B  
60  
121  
61  
120  
EXPOSED HEAT SINK APPEARS ON BOTTOM  
SURFACE: CHIP BONDED FACE UP. (SEE DETAIL C.)  
DETAIL B  
DETAIL A  
3.40 ± 0.20  
4.10 MAX  
SEATING PLANE  
0.08  
0.50 TYP  
0.25 MIN  
CHIP BONDED FACE UP  
CHIP  
COPPER HEAT SINK  
DETAIL C (SQFP2 CHIP-UP)  
5-3825(F).a  
Lucent Technologies Inc.  
201  
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
Package Outline Diagrams (continued)  
256-Pin PBGA  
Dimensions are in millimeters.  
27.00 ± 0.20  
+0.70  
24.00  
–0.00  
A1 BALL  
IDENTIFIER ZONE  
+0.70  
–0.00  
24.00  
27.00  
± 0.20  
MOLD  
COMPOUND  
PWB  
1.17 ± 0.05  
2.13 ± 0.19  
0.36 ± 0.04  
SEATING PLANE  
0.20  
SOLDER BALL  
19 SPACES @ 1.27 = 24.13  
0.60 ± 0.10  
Y
W
V
U
T
R
P
0.75 ± 0.15  
N
M
L
K
J
19 SPACES  
@ 1.27 = 24.13  
H
G
F
CENTER ARRAY  
FOR THERMAL  
E
D
C
B
A
ENHANCEMENT  
(OPTIONAL)  
(SEE NOTE BELOW)  
1
2
3 4 5 6  
7
8 9 10 12 14 16 18 20  
11 13 15 17 19  
A1 BALL  
CORNER  
5-4406(F)  
Note: Although the 16 thermal enhancement balls are stated as an option, they are standard on the 256 FPGA package.  
202  
Lucent Technologies Inc.  
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
Package Outline Diagrams (continued)  
352-Pin PBGA  
Dimensions are in millimeters.  
35.00 ± 0.20  
+0.70  
30.00  
–0.00  
A1 BALL  
IDENTIFIER ZONE  
+0.70  
30.00  
–0.00  
35.00  
± 0.20  
MOLD  
COMPOUND  
PWB  
1.17 ± 0.05  
0.56 ± 0.06  
2.33 ± 0.21  
SEATING PLANE  
0.20  
SOLDER BALL  
25 SPACES @ 1.27 = 31.75  
0.60 ± 0.10  
AF  
AE  
AD  
AC  
AB  
AA  
Y
W
0.75 ± 0.15  
V
U
T
R
P
N
25 SPACES  
@ 1.27 = 31.75  
M
L
K
J
H
G
F
E
D
C
CENTER ARRAY  
FOR THERMAL  
ENHANCEMENT  
(OPTIONAL)  
(SEE NOTE BELOW)  
B
A
1 2 3  
4
5 6  
7
8 9 10 12 14 16 18 20 22 24 26  
11 13 15 17 19 21 23 25  
A1 BALL  
CORNER  
5-4407(F)  
Note: Although the 36 thermal enhancement balls are stated as an option, they are standard on the 352 FPGA package.  
Lucent Technologies Inc.  
203  
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
Package Outline Diagrams (continued)  
432-Pin EBGA  
Dimensions are in millimeters.  
40.00 ± 0.10  
A1 BALL  
IDENTIFIER ZONE  
40.00  
± 0.10  
0.91 ± 0.06  
1.54 ± 0.13  
SEATING PLANE  
0.20  
SOLDER BALL  
0.63 ± 0.07  
30 SPACES @ 1.27 = 38.10  
AL  
AJ  
AK  
AH  
AG  
AE  
AC  
AF  
AD  
0.75 ± 0.15  
AB  
Y
AA  
W
V
U
R
N
30 SPACES  
@ 1.27 = 38.10  
T
P
M
K
L
J
H
F
G
E
D
C
A
B
1
3
5
7
9
11 13 15 17 19 21 23 25 27 29 31  
10 12 14 16 18 20 22 24 26 28 30  
A1 BALL  
CORNER  
2
4
6
8
5-4409(F)  
204  
Lucent Technologies Inc.  
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
Package Outline Diagrams (continued)  
600-Pin EBGA  
Dimensions are in millimeters.  
45.00 ± 0.10  
A1 BALL  
IDENTIFIER ZONE  
45.00  
± 0.10  
0.91 ± 0.06  
1.54 ± 0.13  
SEATING PLANE  
0.20  
SOLDER BALL  
0.63 ± 0.07  
34 SPACES @ 1.27 = 43.18  
AR  
AN  
AL  
AJ  
AP  
AM  
AK  
AH  
AF  
AG  
AE  
0.75 ± 0.15  
AD  
AB  
Y
AC  
AA  
W
U
34 SPACES  
@ 1.27 = 43.18  
V
T
R
P
N
M
K
L
J
H
G
E
F
D
C
B
A
1
3
5
7
9
11 13 15 17 19 21 23 25 27 29 31 33 35  
A1 BALL  
CORNER  
2
4
6
8 10 12 14 16 18 20 22 24 26 28 30 32 34  
5-4408(F)  
Lucent Technologies Inc.  
205  
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
Ordering Information  
Example:  
OR3C80-4 PS 240  
TEMPERATURE RANGE  
NUMBER OF PINS  
PACKAGE TYPE  
DEVICE TYPE  
SPEED GRADE  
OR3C80, -4 Speed Grade, 240-pin Power Quad Shrink Flat Package (SQFP2), Commercial Temperature  
Table 79. Voltage Options  
Device  
Voltage  
OR3Cxx  
5.0 V  
3.3 V  
OR3Txxx  
Table 80. Temperature Options  
Symbol  
Description  
Temperature  
(Blank)  
I
Commercial  
Industrial  
0 °C to 70 °C  
–40 °C to +85 °C  
Table 81. Package Options  
Symbol  
Description  
BA  
BC  
PS  
S
Plastic Ball Grid Array (PBGA)  
Enhanced Ball Grid Array (EBGA)  
Power Quad Shrink Flat Package (SQFP2)  
Shrink Quad Flat Package (SQFP)  
Table 82.  
Series 3 Package Matrix  
ORCA  
208-Pin  
208-Pin  
240-Pin  
240-Pin  
256-Pin  
PBGA  
352-Pin  
PBGA  
432-Pin  
EBGA  
600-Pin  
EBGA  
EIAJ SQFP EIAJ/SQFP2 EIAJ SQFP EIAJ/SQFP2  
Packages  
S208  
Cl  
PS208  
S240  
CI  
PS240  
BA256  
CI  
BA352  
CI  
BC432  
BC600  
OR3T20  
OR3T30  
CI  
CI  
CI  
CI  
CI  
CI  
CI  
CI  
OR3C/T55  
OR3C/T80  
OR3T125  
CI  
CI  
CI  
CI  
CI  
CI  
CI  
CI  
CI  
Key: C = commercial, I = industrial.  
Table 83. Speed Grade Options  
Device  
Speed Grade  
OR3Cxx  
-4, -5  
OR3Txxx  
-5, -6, -7  
206  
Lucent Technologies Inc.  
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
E
Index  
Electrical Characteristics, 97, 98  
A
Error Checking (see FPGA Configuration)  
ExpressCLK, 1, 6, 31, 34, 37, 39, 41, 43, 47—51,  
70—74, 77—81  
Absolute Maximum Ratings, 96  
AND-OR-INVERT (AOI), 6  
AND-OR-INVERT (AOI),1  
(see also Supplemental Logic  
Interconnect Cell (SLIC), 1  
Architecture  
(see also Clock distribution Network and  
Programmable Clock Manager)  
F
Overview, 6—9  
ASWE, 9, 11, 15—17, 23, 33, 48  
Fast Clock, 46—51, 54  
(see Clock Distribution Network)  
5 V Tolerant I/O 35  
Flexible Input Structure (FINS) 1, 27, 32  
(see also Routing)  
FPGA Configuration, 87—94  
Configuration Frame Format, 87  
Configuration Modes, 89  
Asynchronous Peripheral Mode, 91  
Daisy-Chaining, 95  
B
Bidirectional Buffers (BIDIs), 6, 19, 43, 83  
(see also Routingand SLIC)  
Bit Stream (see FPGA Configuration)  
Bit Stream Error Checking, 88  
(see also FPGA states of Operation)  
Boundary Scan, 55  
Master Parallel Mode, 89  
Master Serial Mode, 90  
Microprocessor Interface (MPI) Mode, 91  
Slave Parallel Mode, 94  
Slave Serial Mode, 94  
(see Special Function Blocks)  
C
Clock Control (CLKCNTRL), 50  
(see also Clock Distribution Network and  
Special Function Blocks)  
Clock Distribution Network, 48–51  
CLKCNTRL, 50  
Data Format, 86  
Data Frame, 86  
Using ORCA Foundry to Generate RAM Data, 86  
FPGA States of Operation  
Configuration, 83  
ExpressCLK, 48  
Inputs, 51  
Fast Clock, 48, 51  
Global Control Signals, 48  
In the PICs  
Initialization, 82  
Other Configuration Options, 85  
Partial Reconfiguration, 85  
Reconfiguration, 85  
ExpressCLK, 50  
System Clock, 50  
Start-Up, 84  
In the PLC Array  
I
Fast Clock, 49  
IEEE Standard, 1149.1 55, 59  
Initialization (see FPGA States of Operation)  
Input/Output Buffers  
System Clock, 49  
PFU Clock Sources, 48  
Selecting Clock Input Pins, 51  
System Clock, 48  
Measurement Conditions, 138  
Output Buffer Characteristics  
OR3Cxx, 139  
To the PLC Array  
Fast Clock, 50  
System Clock, 50  
OR3Txxx, 141  
Clock Enable (CE), 9, 11, 17, 23, 31, 48  
Clock Multiplication (see PCM)  
Comparator (see LUT Operating Modes)  
Configuration (see FPGA States of Operation  
or FPGA Configuration)  
Control Inputs (see PICs, Inputs)  
J
JTAG (see Boundry Scan)  
D
Demultiplexing (see PICs, Input Demultiplexing), 38  
Duty-Cycle Adjustment (see PCM)  
Lucent Technologies Inc.  
207  
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
432-Pin EBGA Pinout, 177  
600-Pin EBGA Pinout, 184  
Package Compatibility, 152  
Pin Descriptions 147, 151  
Power Dissipation, 144  
5 V Tolerant I/O, 143  
OR3Cxx, 144  
OR3Txxx, 145  
PowerPC (see Microprocessor Interface)  
Programmable Clock Manager (PCM), 6, 81  
Clock Delay, 74  
Index (continued)  
L
Look-Up Table (LUT) Operating Modes, 11—18  
Adder-Subtractor Submode, 15  
Counter Submode, 15  
Equality Comparators, 16  
Half-Logic Mode, 14  
Logic Mode, 12  
Memory Mode, 17  
Multiplier Submode, 16  
Ripple Mode, 14  
Clock Multiplication, 75  
DLL Mode, 73  
PCM Cautions, 81  
LSR, 11, 17, 23—24, 31, 48  
PCM Detailed Programming, 77  
PCM Operation, 76  
PCM/FPGA Internal Interface, 76  
PLL Mode, 74  
M
Maximum Ratings (see Absolute Maximum Ratings)  
Microprocessor Interface (MPI), 62—69  
i960 System, 64  
Registers, 71  
Programmable Function Unit (PFU), 9  
Cintrol Inputs, 11  
Interface to FPGA, 65  
PowerPC System, 63  
Operating Modes, 11  
Softwired LUTs (SWL), 12  
Twin-quad Architecture, 1, 8, 14, 19  
Programmable Input/Output Cells (PICs), 34—44  
5 V Tolerant I/O, 35  
Architecture, 43  
Setup and Control Registers, 66  
Multiplexing (see Output Multiplexing)  
Multiplier (see LUT Operating Modes)  
O
ORCA Foundry Development System, 25  
Overview, 7  
Ordering Information  
Control Inputs, 11, 23  
ASWE, 11  
CE, 11  
CLK, 11  
Package Matrix, 207  
Package Options, 207  
Temperature Options, 207  
Voltage Options, 207  
Output (see PICs)  
GSRN, 11, 24  
LSR, 11  
SEL, 11  
Input Demultiplexing, 38  
Inputs, 36  
Output Multiplexing, 39  
Output Multiplexing, 39  
Outputs, 39  
P
Open-Drain Output Option, 39  
Propagation Delays, 39  
Overview, 32  
PIO, 34  
PIO Logic, 41  
Package Information, 200—206  
Package Matrix, 204  
Package Outline Diagrams, 200  
208-Pin SQFP2, 199  
240-Pin SQFP2, 202  
256-Pin PBGA, 203  
PIO Options, 35  
PIO Register Control Signals, 41  
Zero-Hold Input, 37  
Programmable Logic Cells (PLCs), 9—33  
Architecture, 32  
352-Pin PBGA, 204  
432-Pin EBGA, 205  
600-Pin EBGA, 206  
Terms and Definitions, 200  
PAL, 1 (see also Supplemental Logic and  
Interconnect Cell (SLIC)) 1  
PIC Routing (see Routing)  
Pin Information  
208-Pin SQFP2 Pinout, 151  
240-Pin SQFP2 Pinout, 156  
256-Pin PBGA Pinout, 162  
352-Pin PBGA Pinout, 165  
208  
Latches/Flip-Flops, 23, 24  
PFU, 9  
Propagation Delays (see PICs, Outputs)  
Routing, 25  
SLIC, 19—22  
Lucent Technologies Inc.  
Data Sheet  
June 1999  
ORCA Series 3C and 3T FPGAs  
T
Index (continued)  
Timing Characteristics  
R
Asynchronous Peripheral Configuration Mode, 132  
Boundry-Scan Timing, 119  
Clock Timing, 119  
Derating, 98  
Description, 98  
General Configuration Mode Timing, 129, 130  
Master Parallel Configuration Mode, 131  
Master Serial Configuration Mode, 130  
Microprocessor Interface Configuration Timing, 137  
PFU Timing, 100  
RAM (see also FPGA Configuration), 85  
Dual-port, 3, 10, 17  
Single-port, 3, 10, 17  
Recommended Operating Conditions, 95  
Reconfiguration (see FPGA States of Operation)  
Routing  
3-Statable Bidirectional Buffers, 24  
BIDI Routing, 24, 27  
Clock (and Global CE and LSR) Routing, 30  
Configurable Interconnect Points (CIPs), 24  
Control Signal and Fast-Carry Routing, 27  
Flexible Input Structure (FINS), 26  
Inter-PLC Routing Resources, 28  
Interquad Routing, 44  
Intra-PLC Routing Resources, 26—27  
Minimizing Routing Delay, 30  
Overview, 5  
PIO Timing, 108, 109, 110  
PLC Timing, 107  
Programmable Clock Manager Timing, 115  
Readback Timing, 139  
Slave Parallel Configuration Mode, 134  
Slave Serial Configuration Mode, 133  
SLIC Timing, 107  
Tolerant I/O (see 5 V Tolerant I/O), 34  
PFU Output Switching, 26  
PIC Routing, 41—43  
TS_ALL, 52 Twin-quad Architecture (see PFU), 1  
PIC Interquad (MID) Routing, 46  
PLC Routing, 26—32  
U—Z  
Programmable Corner Cell Routing, 45  
SLIC Connectivity, 27  
Zero-hold Inputs, 34—36  
Switching Routing Segments (xSW), 26  
S
SEL, 8, 10, 22  
Softwired LUTs (SWLs),1, 6, 11, 12  
(see also Look-Up Table Operating Modes)  
Special Function Blocks  
Boundary Scan, 60  
Boundary-Scan Cells, 59  
Boundary-Scan Timing, 60  
Microprocessor Interface (MPI), 61—68  
Programmable Clock Manager (PCM), 69—80  
Single Function Blocks, 51  
Clock Control (CLKCNTRL), 53  
Global 3-State Control (TS_ALL), 52  
Global Set/Reset (GSRN), 52  
Internal Oscillator, 52  
Readback Logic, 51  
Start-Up Logic, 53  
Start-Up (see FPGA States of Operation)  
StopCLK, 1, 5, 53  
(see also Special Function Blocks)  
Subtractor (see LUT Operating Modes)  
Supplemental Logic and Interconnect Cell (SLIC), 1,  
18—21  
System Clock (see Clock Distribution Network), 47  
3-state, 3—4, 17—18, 34, 38, 45—46, 52, 56, 59, 82, 84  
Lucent Technologies Inc.  
209  
For additional information, contact your Microelectronics Group Account Manager or the following:  
INTERNET:  
E-MAIL:  
http://www.lucent.com/micro, or for FPGA information, http://www.lucent.com/orca  
docmaster@micro.lucent.com  
N. AMERICA: Microelectronics Group, Lucent Technologies Inc., 555 Union Boulevard, Room 30L-15P-BA, Allentown, PA 18103  
1-800-372-2447, FAX 610-712-4106 (In CANADA: 1-800-553-2448, FAX 610-712-4106)  
ASIA PACIFIC: Microelectronics Group, Lucent Technologies Singapore Pte. Ltd., 77 Science Park Drive, #03-18 Cintech III, Singapore 118256  
Tel. (65) 778 8833, FAX (65) 777 7495  
CHINA:  
Microelectronics Group, Lucent Technologies (China) Co., Ltd., A-F2, 23/F, Zao Fong Universe Building, 1800 Zhong Shan Xi Road  
Shanghai 200233 P. R. China Tel. (86) 21 6440 0468, ext. 316, FAX (86) 21 6440 0652  
Microelectronics Group, Lucent Technologies Japan Ltd., 7-18, Higashi-Gotanda 2-chome, Shinagawa-ku, Tokyo 141, Japan  
Tel. (81) 3 5421 1600, FAX (81) 3 5421 1700  
JAPAN:  
EUROPE:  
Data Requests: MICROELECTRONICS GROUP DATALINE: Tel. (44) 7000 582 368, FAX (44) 1189 328 148  
Technical Inquiries: GERMANY: (49) 89 95086 0 (Munich), UNITED KINGDOM: (44) 1344 865 900 (Ascot),  
FRANCE:(33)140836800(Paris),SWEDEN:(46)859460700(Stockholm),FINLAND:(358)943542800(Helsinki),ITALY:(39)02  
6608131 (Milan), SPAIN: (34) 1 807 1441 (Madrid)  
LucentTechnologiesInc.reservestherighttomakechangestotheproduct(s)orinformationcontainedhereinwithoutnotice.Noliabilityisassumedasaresultoftheiruseorapplication.Norightsunderanypatent  
accompany the sale of any such product(s) or information. ORCA is a registered trademark of Lucent Technologies Inc. Foundry is a trademark of Xilinx, Inc.  
Copyright © 1999 Lucent Technologies Inc.  
All Rights Reserved  
Printed in U.S.A.  
June 1999  
DS99-087FPGA (Replaces DS98-163FPGA-01)  

相关型号:

OR3T20-7BA256

3C and 3T Field-Programmable Gate Arrays
AGERE

OR3T20-7BA256

Field Programmable Gate Array, 1152-Cell, CMOS, PBGA256,
LATTICE

OR3T20-7BA256I

3C and 3T Field-Programmable Gate Arrays
AGERE

OR3T20-7BA256I

Field Programmable Gate Array, 1152-Cell, CMOS, PBGA256,
LATTICE

OR3T20-7BA352

3C and 3T Field-Programmable Gate Arrays
AGERE

OR3T20-7BA352I

3C and 3T Field-Programmable Gate Arrays
AGERE

OR3T20-7BA352I

Field Programmable Gate Array, 1152-Cell, CMOS, PBGA352,
LATTICE

OR3T20-7S208

3C and 3T Field-Programmable Gate Arrays
AGERE

OR3T20-7S208I

3C and 3T Field-Programmable Gate Arrays
AGERE

OR3T20-7S208I

Field Programmable Gate Array, 1152-Cell, CMOS, PQFP208,
LATTICE

OR3T20-7S240

3C and 3T Field-Programmable Gate Arrays
AGERE

OR3T20-7S240I

3C and 3T Field-Programmable Gate Arrays
AGERE