EM92P203 [ETC]

Telephone Dialer Multi-Function Dialer ; 电话拨号器多功能拨号器\n
EM92P203
型号: EM92P203
厂家: ETC    ETC
描述:

Telephone Dialer Multi-Function Dialer
电话拨号器多功能拨号器\n

电话拨号器
文件: 总18页 (文件大小:535K)
中文:  中文翻译
下载:  下载PDF数据表文档文件
                                                                     ꢁꢂꢃꢄꢅꢄꢆꢇ  
                          ꢅꢈꢉꢊꢁꢋꢀꢌꢍꢎꢏꢁꢐꢀꢑꢍꢋꢒꢀꢇꢀꢓꢁꢋꢓ  
 ꢈꢔꢀꢈꢋꢅꢀꢂꢁꢂꢈꢐꢕꢀꢎꢖꢌꢀꢋꢈꢖꢁꢀꢌꢍꢎꢏꢁꢐꢀꢔꢗꢖꢉꢋꢍꢈꢖ  
CONTENT  
1. GENERAL DESCRIPTION.......................................................................... 2  
2. FEATURES.................................................................................................. 2  
3. APPLICATIONS .......................................................................................... 3  
4. PIN CONFIGURATIONS.............................................................................. 3  
FUNCTION BLOCK DIAGRAM......................................................................... 3  
6. PIN DESCRIPTIONS................................................................................... 4  
7. FUNCTION DESCRIPTIONS....................................................................... 5  
8. ABSOLUTE MAXIMUM RATINGS.............................................................. 9  
9. DC ELECTRICAL CHARACTERISTICS ................................................... 10  
10. AC ELECTRICAL CHARACTERISTIC ......................................................11  
11. TIMING DIAGRAM.................................................................................... 12  
12. APPLICATION CIRCUIT ........................................................................... 15  
13.PAD DIAGRAM .......................................................................................... 18  
ꢀꢁꢂꢃꢄꢅꢁꢅꢆꢇꢈꢄꢉꢄꢈꢊꢋꢄꢌꢍꢁꢊꢎꢇꢁꢅꢏꢐꢑꢇꢈꢋꢁꢋꢌꢁꢐꢇꢁꢈꢃꢊꢍꢒꢇꢓꢁꢔꢄꢋꢃꢌꢏꢋꢁꢍꢌꢋꢄꢈꢇꢕ  
ꢀꢁꢂꢃꢁꢀꢄꢄꢄ  
                                                                     ꢁꢂꢃꢄꢅꢄꢆꢇ  
                          ꢅꢈꢉꢊꢁꢋꢀꢌꢍꢎꢏꢁꢐꢀꢑꢍꢋꢒꢀꢇꢀꢓꢁꢋꢓ  
 ꢈꢔꢀꢈꢋꢅꢀꢂꢁꢂꢈꢐꢕꢀꢎꢖꢌꢀꢋꢈꢖꢁꢀꢌꢍꢎꢏꢁꢐꢀꢔꢗꢖꢉꢋꢍꢈꢖ  
1. GENERAL DESCRIPTION  
ꢀꢁꢂꢃꢄꢅꢆꢇꢈꢇꢉꢊꢃꢋꢌꢃꢍꢃꢎꢏꢐꢑꢋꢒꢓꢏꢔꢓꢕꢌꢂꢃꢖꢋꢍꢐꢂꢔꢃꢑꢁꢍꢑꢃꢋꢌꢃꢗꢕꢎꢓꢕꢌꢂꢖꢃꢕꢘꢃꢈꢕꢗꢙꢂꢑꢃꢖꢋꢍꢐꢂꢔꢃꢍꢚꢖꢃꢛꢋꢚꢜꢐꢂ  
ꢑꢕꢚꢂꢃꢝꢃꢞꢏꢍꢐꢃꢑꢕꢚꢂꢃꢖꢋꢍꢐꢂꢔ  
!ꢚꢕꢗꢙꢂꢑꢋꢍꢐꢂꢔꢕꢖꢂꢔꢕ"ꢋꢖꢂꢌꢂꢑꢌꢇꢒꢖꢋꢜꢋꢑꢔꢕꢜꢔꢍꢎꢎꢍ#ꢐꢂ$ꢀꢈꢄꢅ$%&  
'ꢋꢑꢁꢃ ꢙꢂ(ꢃ ꢋꢚꢓꢏꢑꢃ ꢓꢋꢚꢌꢃ )*+,ꢃ *ꢇ,ꢃ *ꢊ-ꢃ ꢘꢕꢔꢃ ꢖꢋꢍꢐꢋꢚꢜ ꢃ ꢀꢁꢂꢃ ꢞꢀꢅ.ꢃ ꢓꢋꢚꢃ ꢗꢍꢚꢃ ꢖꢔꢋ"ꢂꢃ #ꢏ//ꢂꢔ  
)%ꢂꢌꢕꢚꢍꢚꢑ.ꢔꢂ0ꢏꢂꢚꢗ(+ +*1/-ꢋꢔꢂꢗꢑꢐ( ꢂꢑꢌꢇꢒꢖꢋꢜꢋꢑꢁꢕꢚꢂꢏꢎ#ꢂꢔꢍꢚ#  
ꢓꢔꢕꢜꢔꢍꢎꢎꢂꢖꢃꢑꢁꢔꢕꢏꢜꢁꢃꢑꢁꢂꢃ%ꢝ2,ꢃ34*ꢃꢍꢚꢖꢃꢛꢞ!$ꢃꢓꢋꢚꢌꢃꢑꢕꢃ$ꢀꢈꢃꢎꢂꢎꢕꢔ(  
!ꢚꢃꢛꢋꢚꢜꢐꢂꢃꢑꢕꢚꢂꢃꢝꢞꢏꢍꢐꢃꢑꢕꢚꢂꢃꢖꢋꢍꢐꢂꢔꢃꢎꢕꢖꢂꢃꢋꢑꢃꢓꢔꢕ"ꢋꢖꢂꢌꢃꢛꢀꢃꢓꢋꢚꢃꢘꢕꢔꢃꢌꢂꢐꢂꢗꢑꢃꢂꢋꢑꢁꢂꢔꢃꢌꢋꢚꢜꢐꢂꢃꢑꢕꢚꢂ  
ꢕꢏꢑꢓꢏꢑꢃꢕꢔꢃꢖꢏꢍꢐꢃꢑꢕꢚꢂꢃꢕꢏꢑꢓꢏꢑ ꢃ5ꢚꢖꢃꢓꢔꢕ"ꢋꢖꢂꢌꢃ34*ꢃ6ꢃꢛꢞ!$ꢃꢓꢋꢚꢌꢃꢘꢕꢔꢃꢌꢂꢔꢋꢂꢌꢃꢖꢍꢑꢍꢃꢋꢚꢓꢏꢑꢃꢘꢔꢕꢎ  
ꢎꢋꢗꢔꢕꢓꢔꢕꢗꢂꢌꢌꢕꢔ ꢃ5ꢘꢑꢂꢔꢃꢔꢂꢗꢂꢋ"ꢂꢖꢃ7ꢃ#ꢋꢑꢌꢃꢖꢍꢑꢍ,ꢃ'ꢁꢂꢚꢃꢑꢁꢂꢃꢀ$ꢄꢃꢓꢋꢚꢃꢋꢚꢓꢏꢑꢃꢁꢋꢜꢁ,ꢃꢑꢁꢂꢃꢖꢍꢑꢍꢃꢋꢌ  
ꢐꢍꢑꢗꢁꢂꢖꢃꢋꢚꢑꢕꢃꢞꢀꢅ.ꢃꢜꢂꢚꢂꢔꢍꢑꢕꢔ ꢃꢀꢁꢂꢃꢑꢕꢚꢂꢃ'ꢋꢐꢐꢃ#ꢂꢃꢕꢏꢑꢓꢏꢑꢃꢘꢔꢕꢎꢃꢞꢀꢅ.ꢃꢓꢋꢚ  
2. FEATURES  
ꢘꢙꢚꢀꢅꢈꢉꢊꢁꢋꢀꢌꢍꢎꢏꢁꢐꢀꢂꢈꢌꢁꢀꢛ  
2ꢋꢖꢂꢃꢕꢓꢂꢔꢍꢑꢋꢚꢜꢃ"ꢕꢐꢑꢍꢜꢂꢃꢘꢔꢕꢎꢃꢇ ꢉ8ꢃꢑꢕꢃ9 98  
!
3ꢐꢕꢗꢙꢃꢛꢕꢏꢔꢗꢂꢃ:ꢃꢊ 9;ꢆ979ꢅ1/ꢃ3ꢔ(ꢌꢑꢍꢐꢃ)ꢕꢔꢃꢗꢂꢔꢍꢎꢋꢗꢃꢔꢂꢌꢕꢚꢍꢑꢕꢔ-  
!
$ꢀꢈꢃꢎꢂꢎꢕꢔ(ꢃꢗꢍꢓꢍꢗꢋꢑ(ꢃ:ꢃꢊ<ꢊꢇꢃꢚꢋ##ꢐꢂꢌ  
!
ꢞꢀꢅ.ꢃꢑꢕꢚꢂꢃꢕꢏꢑꢓꢏꢑ  
!
4ꢕ'ꢃꢓꢕ'ꢂꢔꢃꢗꢕꢚꢌꢏꢎꢓꢑꢋꢕꢚ  
!
ꢘꢄꢚꢀꢋꢈꢖꢁꢀꢌꢍꢎꢏꢁꢐꢀꢂꢈꢌꢁꢀꢛ  
"
2ꢋꢖꢂꢃꢕꢓꢂꢔꢍꢑꢋꢚꢜꢃ"ꢕꢐꢑꢍꢜꢂꢃꢘꢔꢕꢎꢃꢇ ꢉ8ꢃꢑꢕꢃ9 98  
!
3ꢐꢕꢗꢙꢃꢛꢕꢏꢔꢗꢂꢃ:ꢃꢊ 9;ꢆ979ꢅ1/ꢃꢗꢔ(ꢌꢑꢍꢐꢃ)ꢕꢔꢃꢗꢂꢔꢍꢎꢋꢗꢃꢔꢂꢌꢕꢚꢍꢑꢕꢔ-ꢃꢕꢔꢃꢂ=ꢑꢂꢔꢚꢍꢐꢃꢗꢐꢕꢗꢙꢃꢘꢔꢕꢎ  
!
ꢎꢋꢗꢔꢕꢓꢔꢕꢗꢂꢌꢌꢕꢔꢃ'ꢋꢑꢁꢃꢊ 9;ꢆ979ꢃꢅ1/ꢃꢗꢔ(ꢌꢑꢍꢐ  
ꢞꢋꢔꢂꢗꢑꢃꢋꢚꢑꢂꢔꢘꢍꢗꢂꢃ'ꢋꢑꢁꢃꢎꢋꢗꢔꢕꢓꢔꢕꢗꢂꢌꢌꢕꢔ  
!
ꢛꢋꢚꢜꢐꢂꢃꢑꢕꢚꢂꢃꢝꢃꢞꢏꢍꢐꢃꢑꢕꢚꢂꢃꢕꢏꢑꢓꢏꢑꢃꢌ'ꢋꢑꢗꢁꢍ#ꢐꢂ  
!
ꢀꢁꢂꢃꢄꢅꢁꢅꢆꢇꢈꢄꢉꢄꢈꢊꢋꢄꢌꢍꢁꢊꢎꢇꢁꢅꢏꢐꢑꢇꢈꢋꢁꢋꢌꢁꢐꢇꢁꢈꢃꢊꢍꢒꢇꢓꢁꢔꢄꢋꢃꢌꢏꢋꢁꢍꢌꢋꢄꢈꢇꢕ  
ꢀꢁꢂꢃꢁꢀꢄꢄꢄ  
                                                                     ꢁꢂꢃꢄꢅꢄꢆꢇ  
                          ꢅꢈꢉꢊꢁꢋꢀꢌꢍꢎꢏꢁꢐꢀꢑꢍꢋꢒꢀꢇꢀꢓꢁꢋꢓ  
 ꢈꢔꢀꢈꢋꢅꢀꢂꢁꢂꢈꢐꢕꢀꢎꢖꢌꢀꢋꢈꢖꢁꢀꢌꢍꢎꢏꢁꢐꢀꢔꢗꢖꢉꢋꢍꢈꢖ  
3. APPLICATIONS  
ꢈꢕꢗꢙꢂꢑꢃꢖꢋꢍꢐꢂꢔꢃꢍꢚꢖꢃꢀꢕꢚꢂꢃꢖꢋꢍꢐꢂꢔ  
4. PIN CONFIGURATIONS  
ꢀꢁꢂꢃꢄꢃꢅꢆ  
ꢑꢔ  
ꢘꢀꢍꢏꢓꢔꢍꢙꢍꢚ  
ꢘꢁꢍꢑꢛꢘ  
ꢘꢂꢍꢎꢋꢗꢓ  
ꢐꢑ  
ꢀꢃ  
ꢀꢂ  
ꢀꢁ  
ꢀꢀ  
ꢀꢇ  
ꢊꢋꢋ  
ꢊꢌꢌꢍꢎꢏ  
ꢐꢑ  
ꢒꢓꢋꢔ  
ꢋꢏꢒꢕ  
ꢐꢑ  
ꢊꢎꢎ  
ꢖꢓꢜꢏ  
ꢖꢗꢐ  
5. FUNCTION BLOCK DIAGRAM  
ꢀꢁꢂꢃꢄꢅꢂꢆꢂꢇ  
ꢆꢇꢈ  
ꢉꢊꢉꢆꢋꢌ  
ꢎꢆꢏꢈꢋꢆꢒ  
ꢎꢓ  
ꢀꢈꢂꢉꢊꢀ  
ꢀꢋꢂꢌꢍꢎꢄ  
ꢍꢊꢎꢆꢍꢊꢋ  
ꢀꢁꢂ  
ꢃꢁꢄꢅꢆꢇ  
ꢈꢆꢏꢊ  
ꢐꢊꢏꢊꢋꢆꢋ  
ꢏꢐꢑ  
ꢍꢃꢕꢖ  
$ꢛ3  
ꢏꢒꢓꢔ  
ꢗꢌꢌ  
ꢀꢁꢂꢃꢄꢅꢁꢅꢆꢇꢈꢄꢉꢄꢈꢊꢋꢄꢌꢍꢁꢊꢎꢇꢁꢅꢏꢐꢑꢇꢈꢋꢁꢋꢌꢁꢐꢇꢁꢈꢃꢊꢍꢒꢇꢓꢁꢔꢄꢋꢃꢌꢏꢋꢁꢍꢌꢋꢄꢈꢇꢕ  
ꢀꢁꢂꢃꢁꢀꢄꢄꢄ  
                                                                     ꢁꢂꢃꢄꢅꢄꢆꢇ  
                          ꢅꢈꢉꢊꢁꢋꢀꢌꢍꢎꢏꢁꢐꢀꢑꢍꢋꢒꢀꢇꢀꢓꢁꢋꢓ  
 ꢈꢔꢀꢈꢋꢅꢀꢂꢁꢂꢈꢐꢕꢀꢎꢖꢌꢀꢋꢈꢖꢁꢀꢌꢍꢎꢏꢁꢐꢀꢔꢗꢖꢉꢋꢍꢈꢖ  
6. PIN DESCRIPTIONS  
ꢎꢝꢞ !"  
ꢀꢀ+,ꢊꢁꢁ  
ꢂꢂꢃ7ꢎꢏ8  
ꢗꢍꢓ  
---  
#$%&'(  
--- ꢌ!.(/,0)11"ꢝ,1&*02  
--- ꢚ/&%(,ꢓꢏꢌ,ꢒ!3(  
ꢕ)*$%&!*  
---  
ꢀꢁꢊ,ꢚ/&%(,'!"%45(,0)11"ꢝ  
ꢗ72ꢋ8  
--- ꢌ!$6(%,ꢋ&4"(/,ꢒ!3(  ꢌ!$6(%,3&4"(/  
ꢏ!*(,3&4"(/,ꢒ!3(  
ꢋ)4",%!*(,!)%1)%  
ꢎ&*5"(,%!*(,!)%1)%  
9
ꢒ!3(  
ꢍꢑꢔ  
---  
9
9
ꢌ!$6(%,3&4"(/,ꢞ!3(2  
ꢏ!*(,3&4"(/,ꢞ!3(2  
ꢌ!.(/,3!.*,4*3,ꢓꢎꢑ,ꢎꢏꢓ2  
ꢑ:&1,(*4 "(2  
ꢘꢀ  
7ꢏꢓꢔꢍꢙꢍꢚ8  
ꢗ72ꢜ28  
--- ꢎ%4ꢝ,:&5:,&ꢞ1(34*$(,.:(*,$:&1,*!%,(*4 "(,7ꢍꢑꢔ;:&5:82  
ꢌ!$6(%,ꢋ&4"(/,ꢒ!3( ꢘ(ꢝ,ꢀ,&*1)%,1&*2  
ꢚ&%:,1)"",)1,/(0&0%!/2  
ꢏ!*(,3&4"(/,ꢒ!3(  
ꢚ/&%(,ꢓꢏꢌ,ꢒ!3(  
ꢏ!*(,(*4 "(0,1&*,7ꢏꢓꢔ82  
ꢙ(43ꢍꢚ/&%(,&*1)%,1&*,7ꢙꢍꢚ82  
ꢘꢁ,7ꢑꢛꢘ8  
ꢗ72ꢜ8  
--- ꢎ%4ꢝ,:&5:,&ꢞ1(34*$(,.:(*,$:&1,*!%,(*4 "(,7ꢍꢑꢔ;:&5:82  
ꢌ!$6(%,ꢋ&4"(/,ꢒ!3( ꢘ(ꢝ,ꢁ,&*1)%,1&*2  
ꢚ&%:,1)"",)1,/(0&0%!/2  
ꢏ!*(,3&4"(/,ꢒ!3(  
ꢚ/&%(,ꢓꢏꢌ,ꢒ!3(  
ꢎ(/&(0,34%4,&*,$"!$6,1&*,7ꢑꢛꢘ82  
ꢎ(/&(0,34%4,&*,$"!$6,1&*,7ꢑꢛꢘ82  
ꢚ&%:,1)"",)1,/(0&0%!/2  
ꢘꢂ  
7ꢎꢋꢗ,ꢍ,ꢎꢋꢗꢓ8  
ꢗ72ꢜ28ꢍꢓ --- ꢎ%4ꢝ,:&5:,&ꢞ1(34*$(,.:(*,$:&1,*!%,(*4 "(,7ꢍꢑꢔ;:&5:82  
ꢌ!$6(%,ꢋ&4"(/,ꢒ!3( ꢘ(ꢝ,ꢂ,&*1)%,1&*2  
ꢚ&%:,1)"",)1,/(0&0%!/2  
ꢏ!*(,3&4"(/,ꢒ!3(  
ꢚ/&%(,ꢓꢏꢌ,ꢒ!3(  
ꢎ(/&(0,34%4,&*1)%,1&*,7ꢎꢋꢗ82  
ꢎ(/&(0,34%4,&*1)%ꢍ!)%1)%,1&*,7ꢎꢋꢗꢓ8  
ꢘꢂ,1&*,&0,!)%1)%,1&*,.:(*,ꢙꢍꢚ,1&*  
$!**($%0,%!,:&5:,"('("2,#*3,ꢘꢂ,1&*,&0  
&*1)%,1&*,.:(*,ꢙꢍꢚ,1&*,$!**($%0,%!  
"!.,"('("2  
ꢖ&*  
ꢖ!)%  
ꢋꢏꢒꢕ  
---  
---  
--- ꢑ/ꢝ0%4",&*1)%,%(/ꢞ&*4",!/,(<%(/*4",$"!$6,&*1)%,1&*2  
--- ꢑ/ꢝ0%4",!)%1)%,%(/ꢞ&*4",!/,$!**($%0,%!,=/!)*32  
--- ꢏ:(,ꢋꢏꢒꢕ,7ꢋ)4",ꢏ!*(,ꢒ)"%&-ꢕ/(>)(*$ꢝ8,0&5*4",!)%1)%,1&*2  
!ꢝ$ꢃ: !ꢚꢓꢏꢑꢃꢝꢃ$ꢏꢑꢓꢏꢑ  
 > : ꢈꢏꢐꢐꢃ>ꢓ  
4ꢃ: 4ꢕ'  
!ꢃ: !ꢚꢓꢏꢑ  
 ꢞ : ꢈꢏꢐꢐꢃꢞꢕ'ꢚ  
?ꢃ: ꢞꢕꢚ@ꢑꢃ3ꢍꢔꢂ  
$ꢃ: $ꢏꢑꢓꢏꢑ  
1ꢃ: 1ꢋꢜꢁ  
ꢖꢜꢝꢞꢛ  
ꢀꢁꢂꢃꢄꢅꢁꢅꢆꢇꢈꢄꢉꢄꢈꢊꢋꢄꢌꢍꢁꢊꢎꢇꢁꢅꢏꢐꢑꢇꢈꢋꢁꢋꢌꢁꢐꢇꢁꢈꢃꢊꢍꢒꢇꢓꢁꢔꢄꢋꢃꢌꢏꢋꢁꢍꢌꢋꢄꢈꢇꢕ  
ꢀꢁꢂꢃꢁꢀꢄꢄꢄ  
                                                                     ꢁꢂꢃꢄꢅꢄꢆꢇ  
                          ꢅꢈꢉꢊꢁꢋꢀꢌꢍꢎꢏꢁꢐꢀꢑꢍꢋꢒꢀꢇꢀꢓꢁꢋꢓ  
 ꢈꢔꢀꢈꢋꢅꢀꢂꢁꢂꢈꢐꢕꢀꢎꢖꢌꢀꢋꢈꢖꢁꢀꢌꢍꢎꢏꢁꢐꢀꢔꢗꢖꢉꢋꢍꢈꢖ  
7. FUNCTION DESCRIPTIONS  
The mode transition is controlled by MODE,/CE and Vpp pin  
ꢕ)*$%&!* ꢒ!3( ꢍꢑꢔ ꢊꢌꢌ  
ꢘꢀ  
ꢘꢁ  
ꢘꢂ  
ꢐ!%(0  
ꢋ)4",ꢏ!*(  
ꢒ!3(  
ꢏ!*( ꢎ(/&(0,34%4-   
ꢋ)/4%&!* &*,$"!$6  
ꢋꢏꢒꢕ,%!*(,?,ꢋ  
ꢋꢏꢒꢕ,%!*(,?,ꢀ  
ꢋꢏꢒꢕ,%!*(,?,ꢁ  
ꢋꢏꢒꢕ,%!*(,?,ꢂ  
ꢋꢏꢒꢕ,%!*(,?,ꢃ  
ꢋꢏꢒꢕ,%!*(,?,ꢄ  
ꢋꢏꢒꢕ,%!*(,?,ꢅ  
ꢋꢏꢒꢕ,%!*(,?,ꢆ  
ꢋꢏꢒꢕ,%!*(,?,ꢉ  
ꢋꢏꢒꢕ,%!*(,?,ꢈ  
ꢋꢏꢒꢕ,%!*(,?,ꢇ  
ꢋꢏꢒꢕ,%!*(,?,@  
ꢋꢏꢒꢕ,%!*(,?,A  
ꢋꢏꢒꢕ,%!*(,?,#  
ꢋꢏꢒꢕ,%!*(,?,B  
ꢋꢏꢒꢕ,%!*(,?,ꢑ  
ꢗ*:& &%  
ꢎ&*5"(,ꢏ!*(  
ꢒ!3(  
ꢏ!*( ꢎ(/&(0,34%4-      
ꢋ)/4%&!* &*,$"!$6  
ꢙꢀ,%!*(  
ꢙꢁ,%!*(  
ꢙꢂ,%!*(  
ꢙꢃ,%!*(  
ꢑꢀ,%!*(  
ꢑꢁ,%!*(  
ꢑꢂ,%!*(  
ꢑꢃ,%!*(  
    
9&C  
ꢗ*:& &%  
ꢗ3"(,ꢒ!3(  
9&C  
ꢘꢀ  
9&C  
ꢘꢁ  
ꢓꢎꢑ,ꢎꢏꢓꢌ,4*3  
ꢌ!.(/,3!.*  
ꢌ!$6(%  
ꢋ&4"(/  
ꢒ!3(  
ꢘꢂ  
ꢓꢎꢑ,ꢔꢐ#Bꢛꢔ,.:(*  
ꢘ(ꢝ,&*+,0%!1,.:(*  
#33/(00,$!)*%(/, &%,ꢃ  
$4//ꢝ,!/,0%!1,$!3(2  
ꢚ/&%(,ꢓꢏꢌ  
ꢒ!3(  
ꢙꢍꢚ ꢎ(/&(0,34%4- ꢎ(/&(0,34%4 ꢛ4%$:,ꢘꢁ,4*3,ꢘꢂ,1&*  
&*,$"!$6 &*1)%,ꢍ,!)%1)% 40,ꢞ!3(,0("($%,.:(*  
ꢊ11,/4&0(,%!,ꢀꢁꢊ  
1 8 ꢃ: 8ꢓꢓꢃꢗꢕꢚꢚꢂꢗꢑꢃꢑꢕꢃ+ꢇ8  
?ꢃ: ꢞꢕꢚ@ꢑꢃꢗꢍꢔꢂ  
1ꢋAꢃ: 1ꢋꢜꢁꢃ!ꢎꢓꢂꢖꢍꢚꢗꢂ  
ꢖꢜꢝꢞꢛ  
ꢀꢁꢂꢃꢄꢅꢁꢅꢆꢇꢈꢄꢉꢄꢈꢊꢋꢄꢌꢍꢁꢊꢎꢇꢁꢅꢏꢐꢑꢇꢈꢋꢁꢋꢌꢁꢐꢇꢁꢈꢃꢊꢍꢒꢇꢓꢁꢔꢄꢋꢃꢌꢏꢋꢁꢍꢌꢋꢄꢈꢇꢕ  
ꢀꢁꢂꢃꢁꢀꢄꢄꢄ  
                                                                     ꢁꢂꢃꢄꢅꢄꢆꢇ  
                          ꢅꢈꢉꢊꢁꢋꢀꢌꢍꢎꢏꢁꢐꢀꢑꢍꢋꢒꢀꢇꢀꢓꢁꢋꢓ  
 ꢈꢔꢀꢈꢋꢅꢀꢂꢁꢂꢈꢐꢕꢀꢎꢖꢌꢀꢋꢈꢖꢁꢀꢌꢍꢎꢏꢁꢐꢀꢔꢗꢖꢉꢋꢍꢈꢖ  
ꢘꢙꢚꢀꢅꢈꢉꢊꢁꢋꢀꢌꢍꢎꢏꢁꢐꢀꢛ  
ꢛꢂꢑꢃꢅꢕꢖꢂꢃꢓꢋꢚꢃꢑꢕꢃꢐꢕ',ꢃꢝ3ꢄꢃꢑꢕꢃꢐꢕ'ꢃꢍꢚꢖꢃ8ꢓꢓꢃꢑꢕꢃꢐꢕ'ꢃ'ꢋꢐꢐꢃꢂꢚꢑꢂꢔꢃꢈꢕꢗꢙꢂꢑꢃꢞꢋꢍꢐꢂꢔꢃꢎꢕꢖꢂ  
*+,ꢃ*ꢇꢃ6ꢃ*ꢊꢃꢋꢚꢑꢂꢔꢚꢍꢐꢃꢓꢏꢐꢐꢃꢏꢓ ꢃ2ꢁꢂꢚꢃ*ꢂ(ꢃꢘꢍꢐꢐꢋꢚꢜꢃꢂꢖꢜꢂꢃ'ꢍꢌꢃꢖꢂꢑꢂꢗꢑꢂꢖ,ꢃꢕꢑꢁꢂꢔꢃꢙꢂ(ꢃꢋꢚꢓꢏꢑ  
'ꢂꢔꢂꢃ ꢖꢋꢌꢍ#ꢐꢂ ꢃ 5ꢚꢖꢃ ꢑꢁꢂꢃ ꢋꢚꢑꢂꢔꢚꢍꢐꢃ ꢓꢏꢐꢐꢃ ꢏꢓꢃ ꢔꢂꢌꢋꢌꢑꢕꢔꢃ ꢕꢘꢃ ꢊꢃ ꢙꢂ(ꢌꢃ 'ꢋꢐꢐꢃ #ꢂꢃ ꢌ'ꢋꢑꢗꢁꢂꢖꢃ ꢑꢕꢃ ꢁꢋꢜꢁ  
ꢋꢎꢓꢂꢖꢍꢚꢗꢂꢃꢔꢂꢌꢋꢌꢑꢕꢔꢃꢑꢕꢃꢍ"ꢕꢋꢖꢃꢓꢕ'ꢂꢔꢃꢗꢕꢚꢌꢏꢎꢓꢑꢋꢕꢚ  
5ꢘꢑꢂꢔꢃ *ꢂ(ꢃ ꢋꢚꢓꢏꢑꢃ ꢖꢂꢑꢂꢗꢑꢂꢖ,ꢃ ꢑꢁꢂꢃ 3$Bꢀ%$4ꢃ 3!%3>!ꢀꢃ 'ꢋꢐꢐꢃ ꢑꢏꢔꢚꢃ ꢕꢚꢃ ꢍꢐꢐꢃ ꢗꢋꢔꢗꢏꢋꢑ,ꢃ ꢍꢚꢖ  
ꢂꢚꢍ#ꢐꢂꢃ$ꢀꢈꢃꢎꢂꢎꢕꢔ(ꢃꢖꢍꢑꢍꢃꢕꢏꢑꢓꢏꢑ ꢃꢀꢁꢂꢃꢌꢂ0ꢏꢂꢚꢑꢋꢍꢐꢃꢓꢁꢕꢚꢂꢃꢚꢏꢎ#ꢂꢔꢃꢕꢘꢃꢓꢔꢂꢌꢌꢂꢖꢃꢙꢂ(ꢃꢌꢑꢕꢔꢂꢖ  
ꢋꢚꢃ ꢑꢁꢂꢃ $ꢀꢈꢃ ꢎꢂꢎꢕꢔ(ꢃ 'ꢋꢐꢐꢃ ꢌꢂꢚꢖꢃ ꢑꢕꢃ ꢖꢂꢗꢕꢖꢂꢔꢃ ꢍꢚꢖꢃ ꢎꢍꢙꢂꢌꢃ ꢑꢁꢂꢃ ꢀ$Bꢄꢃ ꢜꢂꢚꢂꢔꢍꢑꢕꢔꢃ ꢕꢏꢑꢓꢏꢑ  
ꢞꢀꢅ.ꢃꢌꢋꢜꢚꢍꢐ  
!ꢘꢃꢊꢇꢃꢚꢋ##ꢐꢂꢌꢃ'ꢍꢌꢃꢘꢏꢐꢐꢃꢘꢋꢐꢐꢂꢖꢃ'ꢋꢑꢁꢃꢓꢁꢕꢚꢂꢃꢚꢏꢎ#ꢂꢔ,ꢃꢍꢘꢑꢂꢔꢃꢑꢁꢂꢃꢊꢇꢀꢁꢂ  ꢚꢋ##ꢐꢂꢃꢘꢋꢚꢋꢌꢁꢂꢖꢃꢖꢋꢍꢐꢋꢚꢜ,  
ꢑꢁꢂꢃ 3$Bꢀ%$4ꢃ 3!%3>!ꢀꢃ 'ꢋꢐꢐꢃ ꢑꢏꢔꢚꢃ ꢕꢘꢘꢃ ꢍꢐꢐꢃ ꢗꢋꢔꢗꢏꢋꢑꢃ ꢍꢚꢖꢃ ꢌꢑꢕꢓꢃ $ꢛ3 ꢃ !ꢘꢃ ꢚꢕꢑꢃ ꢘꢏꢐꢐꢃ ꢘꢋꢐꢐꢂꢖ,  
'ꢁꢂꢚꢚꢗꢕꢏꢚꢑꢂꢔꢂꢖꢁꢂꢀ$ꢈ3$ꢞꢄ)+++ꢉ++++-,ꢁꢂ3$Bꢀ%$43!%3>!ꢀ'ꢋꢐꢐ  
ꢑꢏꢔꢚꢃꢕꢘꢘꢃꢍꢐꢐꢃꢗꢋꢔꢗꢏꢋꢑꢃꢍꢚꢖꢃꢌꢑꢕꢓꢃ$ꢛ3  
ꢀꢁꢂꢃꢑꢍ#ꢐꢂꢃꢕꢘꢃꢞꢄ3$ꢞꢄ%ꢃꢍꢑꢃꢓꢕꢗꢙꢂꢑꢃꢖꢋꢍꢐꢂꢔꢃꢎꢕꢖꢂꢃꢋꢌꢃꢍꢌꢃꢘꢕꢐꢐꢕ'ꢃ:  
ꢀꢁꢂꢁ  
ꢇꢇꢇꢇ  
ꢇꢇꢇꢀ  
ꢇꢇꢀꢇ  
ꢇꢇꢀꢀ  
ꢇꢀꢇꢇ  
ꢇꢀꢇꢀ  
ꢇꢀꢀꢇ  
ꢇꢀꢀꢀ  
ꢀꢇꢇꢇ  
ꢀꢇꢇꢀ  
ꢀꢇꢀꢇ  
ꢀꢇꢀꢀ  
ꢀꢀꢇꢇ  
ꢀꢀꢇꢀ  
ꢀꢀꢀꢇ  
ꢀꢀꢀꢀ  
ꢃꢄꢅꢆꢇꢄꢈꢂꢉꢈꢂ  
ꢀꢆꢊꢋꢌꢍꢉꢂꢍꢄꢅ  
ꢋ!*D%,$4/(  
7ꢖ8  
ꢋꢏꢒꢕ, , E,ꢀ,F  
ꢋꢏꢒꢕ, , E,ꢁ,F  
ꢋꢏꢒꢕ, , E,ꢂ,F  
ꢋꢏꢒꢕ, , E,ꢃ,F  
ꢋꢏꢒꢕ, , E,ꢄ,F  
ꢋꢏꢒꢕ, , E,ꢅ,F  
ꢋꢏꢒꢕ, , E,ꢆ,F  
ꢋꢏꢒꢕ, , E,ꢉ,F  
ꢋꢏꢒꢕ, , E,ꢈ,F  
@
ꢋꢏꢒꢕ, , E,ꢇ,F  
ꢋꢏꢒꢕ, , E,@,F  
A
ꢋꢏꢒꢕ, , E,A,F  
7ꢌ#ꢜꢎꢔ8  
7ꢎꢏꢓꢌ8  
7ꢎꢏꢓꢌ8  
ꢌ4)0(,ꢂ2ꢅ,0($  
ꢎ%!1,3&4"&*5,4*3,ꢓꢎꢑ,0%!1  
ꢎ%!1,3&4"&*5,4*3,ꢓꢎꢑ,0%!1  
ꢀꢁꢂꢃꢄꢅꢁꢅꢆꢇꢈꢄꢉꢄꢈꢊꢋꢄꢌꢍꢁꢊꢎꢇꢁꢅꢏꢐꢑꢇꢈꢋꢁꢋꢌꢁꢐꢇꢁꢈꢃꢊꢍꢒꢇꢓꢁꢔꢄꢋꢃꢌꢏꢋꢁꢍꢌꢋꢄꢈꢇꢕ  
ꢀꢁꢂꢃꢁꢀꢄꢄꢄ  
                                                                     ꢁꢂꢃꢄꢅꢄꢆꢇ  
                          ꢅꢈꢉꢊꢁꢋꢀꢌꢍꢎꢏꢁꢐꢀꢑꢍꢋꢒꢀꢇꢀꢓꢁꢋꢓ  
 ꢈꢔꢀꢈꢋꢅꢀꢂꢁꢂꢈꢐꢕꢀꢎꢖꢌꢀꢋꢈꢖꢁꢀꢌꢍꢎꢏꢁꢐꢀꢔꢗꢖꢉꢋꢍꢈꢖ  
)ꢇ-ꢃ2%!ꢀꢄꢃ$ꢀꢈꢃꢅ$ꢞꢄꢃ:  
ꢛꢂꢑꢃꢅꢕꢖꢂꢃꢓꢋꢚꢃꢑꢕꢃꢐꢕ',ꢃꢝ3ꢄꢃꢓꢋꢚꢃꢑꢕꢃꢐꢕ'ꢃꢍꢚꢖꢃ8ꢓꢓꢃꢑꢕꢃꢁꢋꢜꢁꢃ'ꢋꢐꢐꢃꢂꢚꢑꢂꢔꢃ2ꢔꢋꢑꢂꢃ$ꢀꢈꢃꢎꢕꢖꢂ  
ꢀꢁꢂꢃ*+ꢃꢓꢋꢚꢃꢋꢌꢃꢗꢍꢐꢐꢂꢖꢃ%ꢝ2,ꢃ*ꢇꢃꢓꢋꢚꢃꢋꢌꢃ34*ꢃꢍꢚꢖꢃ*ꢊꢃꢓꢋꢚꢃꢋꢌꢃꢛꢞ!$ꢃꢋꢚꢃꢑꢁꢋꢌꢃꢎꢕꢖꢂ  
ꢍ ꢃ2ꢔꢋꢑꢂꢃꢑꢕꢃ$ꢀꢈꢃꢅꢄꢅ$%&:  
2ꢁꢂꢚꢃ%ꢝ2ꢃꢓꢋꢚꢃꢋꢚꢓꢏꢑꢃꢐꢕ',ꢃꢑꢁꢂꢃꢛꢞ!$ꢃꢓꢋꢚꢃꢗꢁꢍꢚꢜꢂꢌꢃꢑꢕꢃꢌꢂꢔꢋꢂꢌꢃꢋꢚꢓꢏꢑꢃꢓꢕꢔꢑꢃꢘꢕꢔꢃ'ꢔꢋꢑꢂꢃ$ꢀꢈ  
ꢅꢄꢅ$%&  
ꢀꢁꢂꢃꢛꢞ!$ꢃꢌꢋꢜꢚꢍꢐꢃ'ꢋꢐꢐꢃ#ꢂꢃꢐꢍꢑꢗꢁꢂꢖꢃꢍꢑꢃꢑꢁꢂꢃꢘꢍꢐꢐꢋꢚꢜꢃꢂꢖꢜꢂꢃꢕꢘꢃ34*ꢃꢌꢋꢜꢚꢍꢐ ꢃ5ꢑꢃꢑꢁꢂꢃ7ꢀꢁꢃꢔꢍꢋꢌꢋꢚꢜ  
ꢂꢖꢜꢂ,ꢃꢌꢂꢔꢋꢂꢌꢃ7ꢃ#ꢋꢑꢌꢃꢖꢍꢑꢍꢃ'ꢍꢌꢃꢔꢂꢗꢂꢋ"ꢂꢖꢃ)ꢅꢛCꢃꢘꢋꢔꢌꢑ-,ꢃꢑꢁꢂꢚꢃ'ꢔꢋꢑꢂꢃꢑꢕꢃ$ꢀꢈꢃꢅꢄꢅ$%&  
ꢀꢁꢂꢃꢊꢃꢌꢂꢑꢌꢃꢕꢘꢃꢊꢇꢃꢚꢋ##ꢐꢂꢌꢃ$ꢀꢈꢃꢎꢂꢎꢕꢔ(ꢃꢗꢍꢚꢃ#ꢂꢃꢌꢂꢓꢍꢔꢍꢑꢂꢐ(ꢃꢓꢔꢕꢜꢔꢍꢎꢎꢂꢖꢃꢍꢚꢖꢃꢍꢓꢓꢂꢚꢖꢃꢕꢚ  
ꢑꢁꢂꢃꢂ=ꢋꢌꢑꢂꢖꢃꢌꢂꢑ  
# ꢃ%ꢂꢍꢖꢃꢕꢏꢑꢃꢘꢕꢔꢃꢖꢍꢑꢍꢃ"ꢂꢔꢋꢘꢋꢗꢍꢑꢋꢕꢚ:  
2ꢁꢂꢚꢃ %ꢝ2ꢃ ꢓꢋꢚꢃ ꢋꢚꢓꢏꢑꢃ ꢁꢋꢜꢁ,ꢃ ꢑꢁꢂꢃ ꢛꢞ!$ꢃ ꢓꢋꢚꢃ ꢗꢁꢍꢚꢜꢂꢌꢃ ꢑꢕꢃ ꢌꢂꢔꢋꢂꢌꢃ ꢕꢏꢑꢓꢏꢑꢃ ꢓꢕꢔꢑꢃ ꢘꢕꢔꢃ $ꢀꢈ  
ꢅꢄꢅ$%&ꢃꢖꢍꢑꢍꢃ"ꢂꢔꢋꢘꢋꢗꢍꢑꢋꢕꢚ  
ꢀꢁꢂꢃ 34*ꢃ ꢘꢍꢐꢐꢋꢚꢜꢃ ꢂꢖꢜꢂꢃ 'ꢋꢐꢐꢃ ꢑꢔꢋꢜꢜꢂꢔꢃ $ꢀꢈꢃ ꢅꢄꢅ$%&ꢃ ꢑꢕꢃ ꢌꢁꢋꢘꢑꢃ ꢕꢏꢑꢃ ꢌꢂꢔꢋꢂꢌꢃ 7ꢃ #ꢋꢑꢌꢃ ꢖꢍꢑꢍ  
ꢌꢂ0ꢏꢂꢚꢑꢋꢍꢐꢐ(ꢃꢘꢕꢔꢃ"ꢂꢔꢋꢘꢋꢗꢍꢑꢋꢕꢚꢃ)ꢅꢛCꢃꢘꢋꢔꢌꢑ-  
ꢘꢇꢚꢀꢌꢗꢎꢏꢀꢋꢈꢖꢁꢀꢂꢈꢌꢁꢀꢛ  
ꢛꢂꢑꢃꢅꢕꢖꢂꢃꢓꢋꢚꢃꢑꢕꢃꢁꢋꢜꢁ,ꢃꢝ3ꢄꢃꢑꢕꢃꢐꢕ'ꢃꢍꢚꢖꢃ8ꢓꢓꢃ)ꢛꢀ-ꢃꢑꢕꢃꢐꢕ'ꢃ'ꢋꢐꢐꢃꢂꢚꢑꢂꢔꢃꢞꢏꢍꢐꢃꢀꢕꢚꢂꢃꢎꢕꢖꢂ  
ꢀꢁꢂꢃ*+ꢃꢓꢋꢚꢃꢋꢌꢃꢗꢍꢐꢐꢂꢖꢃꢀ$ꢄ,ꢃ*ꢇꢃꢓꢋꢚꢃꢋꢌꢃ34*ꢃꢍꢚꢖꢃ*ꢊꢃꢓꢋꢚꢃꢋꢌꢃꢛꢞ!ꢃꢋꢚꢃꢑꢁꢋꢌꢃꢎꢕꢖꢂ  
ꢀꢁꢂꢃꢌꢂꢔꢋꢂꢌꢃꢖꢍꢑꢍꢃꢋꢚꢓꢏꢑꢃ)ꢛꢞ!-ꢃ'ꢋꢐꢐꢃ#ꢂꢃꢐꢍꢑꢗꢁꢂꢖꢃꢍꢑꢃꢑꢁꢂꢃꢘꢍꢐꢐꢋꢚꢜꢃꢂꢖꢜꢂꢃꢕꢘꢃ34*ꢃꢓꢋꢚꢃ)ꢅꢛCꢃꢘꢋꢔꢌꢑ-  
5ꢘꢑꢂꢔꢃꢌꢂꢔꢋꢂꢌꢃ7ꢃ#ꢋꢑꢌꢃꢖꢍꢑꢍꢃ'ꢍꢌꢃꢔꢂꢗꢂꢋ"ꢂꢖ,ꢃꢞꢄ3$ꢞꢄ%ꢃ'ꢋꢐꢐꢃꢖꢂꢗꢕꢖꢂꢃ7ꢃ#ꢋꢑꢌꢃꢖꢍꢑꢍꢃꢋꢚꢑꢕꢃ3ꢕꢐꢏꢎꢚ  
ꢖꢍꢑꢍꢃꢍꢚꢖꢃ%ꢕ'ꢃꢖꢍꢑꢍꢃꢍꢚꢖꢃꢌꢂꢚꢖꢃꢑꢕꢃꢀ$BꢄꢃDꢄBꢄ%5ꢀ$% ꢃ5ꢘꢑꢂꢔꢃꢌꢂꢑꢃꢀ$ꢄꢃꢓꢋꢚꢃꢑꢕꢃꢁꢋꢜꢁꢃꢐꢂ"ꢂꢐ,  
ꢖꢂꢌꢋꢔꢂꢖꢃꢞꢀꢅ.ꢃꢑꢕꢚꢂꢃ'ꢋꢐꢐꢃ#ꢂꢃꢕꢏꢑꢓꢏꢑꢃꢘꢔꢕꢎꢃꢞꢀꢅ.ꢃꢓꢋꢚ ꢃꢀꢝꢀꢄꢅꢆꢃꢖꢏꢔꢍꢑꢋꢕꢚꢃꢋꢌꢃꢗꢕꢚꢑꢔꢕꢐꢐꢂꢖꢃ#(  
ꢀ$ꢄꢃꢓꢋꢚ  
ꢀꢁꢂꢃꢄꢅꢁꢅꢆꢇꢈꢄꢉꢄꢈꢊꢋꢄꢌꢍꢁꢊꢎꢇꢁꢅꢏꢐꢑꢇꢈꢋꢁꢋꢌꢁꢐꢇꢁꢈꢃꢊꢍꢒꢇꢓꢁꢔꢄꢋꢃꢌꢏꢋꢁꢍꢌꢋꢄꢈꢇꢕ  
ꢀꢁꢂꢃꢁꢀꢄꢄꢄ  
                                                                     ꢁꢂꢃꢄꢅꢄꢆꢇ  
                          ꢅꢈꢉꢊꢁꢋꢀꢌꢍꢎꢏꢁꢐꢀꢑꢍꢋꢒꢀꢇꢀꢓꢁꢋꢓ  
 ꢈꢔꢀꢈꢋꢅꢀꢂꢁꢂꢈꢐꢕꢀꢎꢖꢌꢀꢋꢈꢖꢁꢀꢌꢍꢎꢏꢁꢐꢀꢔꢗꢖꢉꢋꢍꢈꢖ  
ꢀꢁꢂꢃꢑꢍ#ꢐꢂꢃꢕꢘꢃꢞꢄ3$ꢞꢄ%ꢃꢍꢑꢃꢞꢏꢍꢐꢃꢑꢕꢚꢂꢃꢖꢋꢍꢐꢂꢔꢃꢎꢕꢖꢂꢃꢋꢌꢃꢍꢌꢃꢘꢕꢐꢐꢕ'ꢃ:  
ꢀꢁꢂꢁ  
ꢇꢇꢇꢇ  
ꢇꢇꢇꢀ  
ꢇꢇꢀꢇ  
ꢇꢇꢀꢀ  
ꢇꢀꢇꢇ  
ꢇꢀꢇꢀ  
ꢇꢀꢀꢇ  
ꢇꢀꢀꢀ  
ꢀꢇꢇꢇ  
ꢀꢇꢇꢀ  
ꢀꢇꢀꢇ  
ꢀꢇꢀꢀ  
ꢀꢀꢇꢇ  
ꢀꢀꢇꢀ  
ꢀꢀꢀꢇ  
ꢀꢀꢀꢀ  
ꢃꢄꢅꢆꢇꢄꢈꢂꢉꢈꢂ  
ꢀꢆꢊꢋꢌꢍꢉꢂꢍꢄꢅ  
ꢋꢏꢒꢕ,E,ꢋ,F  
ꢋꢏꢒꢕ,E,ꢀ,F  
ꢋꢏꢒꢕ,E,ꢁ,F  
ꢋꢏꢒꢕ,E,ꢂ,F  
ꢋꢏꢒꢕ,E,ꢃ,F  
ꢋꢏꢒꢕ,E,ꢄ,F  
ꢋꢏꢒꢕ,E,ꢅ,F  
ꢋꢏꢒꢕ,E,ꢆ,F  
ꢋꢏꢒꢕ,E,ꢉ,F  
ꢋꢏꢒꢕ,E,ꢈ,F  
ꢋꢏꢒꢕ,E,ꢇ,F  
ꢋꢏꢒꢕ,E,@,F  
ꢋꢏꢒꢕ,E,A,F  
ꢋꢏꢒꢕ,E,#,F  
ꢋꢏꢒꢕ,E,B,F  
ꢋꢏꢒꢕ,E,ꢑ,F  
@
A
#
B
ꢘ ꢚꢀꢓꢍꢖ!ꢏꢁꢀꢋꢈꢖꢁꢀꢂꢈꢌꢁꢀꢛ  
ꢛꢂꢑꢃꢅꢕꢖꢂꢃꢓꢋꢚꢃꢑꢕꢃꢁꢋꢜꢁ,ꢃꢝ3ꢄꢃꢑꢕꢃꢐꢕ'ꢃꢍꢚꢖꢃ8ꢓꢓꢃ)ꢛꢀ-ꢃꢑꢕꢃꢁꢋꢜꢁꢃ'ꢋꢐꢐꢃꢂꢚꢑꢂꢔꢃꢛꢋꢚꢜꢐꢂꢃꢀꢕꢚꢂꢃꢎꢕꢖꢂ  
ꢀꢁꢂꢃ*+ꢃꢓꢋꢚꢃꢋꢌꢃꢗꢍꢐꢐꢂꢖꢃꢀ$ꢄ,ꢃ*ꢇꢃꢓꢋꢚꢃꢋꢌꢃ34*ꢃꢍꢚꢖꢃ*ꢊꢃꢓꢋꢚꢃꢋꢌꢃꢛꢞ!ꢃꢋꢚꢃꢑꢁꢋꢌꢃꢎꢕꢖꢂ  
ꢀꢁꢂꢂꢔꢋꢂꢌꢍꢑꢍꢍꢑꢗꢁꢂꢑꢁꢕꢖꢁꢂꢍꢎꢂꢏꢍꢐꢕꢚꢂꢕꢖꢂ Cꢏꢑꢄ3$ꢞꢄ%'ꢋꢐꢐ  
ꢖꢂꢗꢕꢖꢂꢃ7ꢃ#ꢋꢑꢌꢃꢖꢍꢑꢍꢃꢋꢚꢑꢕꢃꢌꢋꢚꢜꢐꢂꢃꢑꢕꢚꢂꢃꢖꢍꢑꢍꢃꢍꢚꢖꢃꢌꢂꢚꢖꢃꢑꢕꢃꢀ$BꢄꢃDꢄBꢄ%5ꢀ$%  
5ꢘꢑꢂꢔꢃꢌꢂꢑꢃꢀ$ꢄꢃꢓꢋꢚꢃꢑꢕꢃꢁꢋꢜꢁꢃꢐꢂ"ꢂꢐ,ꢃꢖꢂꢌꢋꢔꢂꢖꢃꢌꢋꢚꢜꢐꢂꢃꢑꢕꢚꢂꢃ'ꢋꢐꢐꢃ#ꢂꢃꢕꢏꢑꢓꢏꢑꢃꢘꢔꢕꢎꢃꢞꢀꢅ.ꢃꢓꢋꢚ  
ꢝꢀꢄꢅꢆꢃꢖꢏꢔꢍꢑꢋꢕꢚꢃꢋꢌꢃꢗꢕꢚꢑꢔꢕꢐꢐꢂꢖꢃ#(ꢃꢀ$ꢄꢃꢓꢋꢚ  
ꢀꢁꢂꢃꢄꢅꢁꢅꢆꢇꢈꢄꢉꢄꢈꢊꢋꢄꢌꢍꢁꢊꢎꢇꢁꢅꢏꢐꢑꢇꢈꢋꢁꢋꢌꢁꢐꢇꢁꢈꢃꢊꢍꢒꢇꢓꢁꢔꢄꢋꢃꢌꢏꢋꢁꢍꢌꢋꢄꢈꢇꢕ  
ꢀꢁꢂꢃꢁꢀꢄꢄꢄ  
                                                                     ꢁꢂꢃꢄꢅꢄꢆꢇ  
                          ꢅꢈꢉꢊꢁꢋꢀꢌꢍꢎꢏꢁꢐꢀꢑꢍꢋꢒꢀꢇꢀꢓꢁꢋꢓ  
 ꢈꢔꢀꢈꢋꢅꢀꢂꢁꢂꢈꢐꢕꢀꢎꢖꢌꢀꢋꢈꢖꢁꢀꢌꢍꢎꢏꢁꢐꢀꢔꢗꢖꢉꢋꢍꢈꢖ  
ꢀꢁꢂꢃꢑꢍ#ꢐꢂꢃꢕꢘꢃꢞꢄ3$ꢞꢄ%ꢃꢍꢑꢃꢛꢋꢚꢜꢐꢂꢃꢀꢕꢚꢂꢃꢖꢋꢍꢐꢂꢔꢃꢎꢕꢖꢂꢃꢋꢌꢃꢍꢌꢃꢘꢕꢐꢐꢕ':  
ꢀꢁꢂꢁ  
ꢇꢇꢇꢇ  
ꢇꢇꢇꢀ  
ꢇꢇꢀꢇ  
ꢇꢇꢀꢀ  
ꢇꢀꢇꢇ  
ꢇꢀꢇꢀ  
ꢇꢀꢀꢇ  
ꢇꢀꢀꢀ  
ꢀꢇꢇꢇ  
ꢀꢇꢇꢀ  
ꢀꢇꢀꢇ  
ꢀꢇꢀꢀ  
ꢀꢀꢇꢇ  
ꢀꢀꢇꢀ  
ꢀꢀꢀꢇ  
ꢀꢀꢀꢀ  
ꢃꢄꢅꢆꢇꢄꢈꢂꢉꢈꢂ  
7ꢗ*:& &%8  
7ꢗ*:& &%8  
7ꢗ*:& &%8  
7ꢗ*:& &%8  
ꢙꢀ  
ꢀꢆꢊꢋꢌꢍꢉꢂꢍꢄꢅ  
ꢐ!,ꢏ!*(,!)%1)%  
ꢐ!,ꢏ!*(,!)%1)%  
ꢐ!,ꢏ!*(,!)%1)%  
ꢐ!,ꢏ!*(,!)%1)%  
ꢓ)%1)%,ꢙꢀ,7ꢅꢈꢆ9G8,ꢏ!*(  
ꢓ)%1)%,ꢙꢁ,7ꢆꢆꢇ9G8,ꢏ!*(  
ꢓ)%1)%,ꢙꢂ,7ꢉꢄꢁ9G8,ꢏ!*(  
ꢓ)%1)%,ꢙꢃ,7ꢈꢃꢀ9G8,ꢏ!*(  
ꢓ)%1)%,ꢑꢀ,7ꢀꢁꢇꢈ9G8,ꢏ!*(  
ꢓ)%1)%,ꢑꢁ,7ꢀꢂꢂꢅ9G8,ꢏ!*(  
ꢓ)%1)%,ꢑꢂ,7ꢀꢃꢆꢆ9G8,ꢏ!*(  
ꢓ)%1)%,ꢑꢃ,7ꢀꢅꢂꢂ9G8,ꢏ!*(  
ꢐ!,ꢏ!*(,!)%1)%  
ꢙꢁ  
ꢙꢂ  
ꢙꢃ  
ꢑꢀ  
ꢑꢁ  
ꢑꢂ  
ꢑꢃ  
7ꢗ*:& &%8  
7ꢗ*:& &%8  
7ꢗ*:& &%8  
7ꢗ*:& &%8  
ꢐ!,ꢏ!*(,!)%1)%  
ꢐ!,ꢏ!*(,!)%1)%  
ꢐ!,ꢏ!*(,!)%1)%  
8. ABSOLUTE MAXIMUM RATINGS  
)5ꢎ#ꢋꢂꢚꢑꢃꢑꢂꢎꢓꢂꢔꢍꢑꢏꢔꢂꢃꢋꢌꢃꢇ9,ꢃꢍꢐꢐꢃ"ꢕꢐꢑꢍꢜꢂꢌꢃꢔꢂꢘꢂꢔꢂꢚꢗꢂꢃꢑꢕꢃ8ꢌꢌ-  
 ꢍꢝꢞ"#  
ꢈꢕ'ꢂꢔꢃꢌꢏꢓꢓꢐ(ꢃ"ꢕꢐꢑꢍꢜꢂ  
ꢓ$"%  
8ꢃꢃ  
8ꢆꢆ  
ꢐ&ꢝ'()  
ꢒꢉ 9EF ꢉꢃ8ꢖꢗ  
+ꢊꢃ8ꢖꢗ  
ꢗ('ꢝ  
8
8
!ꢚꢓꢏꢑꢃ"ꢕꢐꢑꢍꢜꢂꢃꢔꢍꢚꢜꢂ  
$ꢓꢂꢔꢍꢑꢋꢕꢚꢃꢑꢂꢎꢓꢂꢔꢍꢑꢏꢔꢂ  
ꢛꢑꢕꢔꢍꢜꢂꢃꢑꢂꢎꢓꢂꢔꢍꢑꢏꢔꢂ  
8ꢄꢇ  
ꢉꢆꢊ  
8ꢈꢈꢒꢉ 98E8ꢃꢃGꢉ 9"  
ꢉE;ꢉ  
8
ꢈꢅꢉ  
ꢒ7ꢉE+ꢇ9  
ꢎ2  
8
ꢈꢕ'ꢂꢔꢃꢗꢕꢚꢌꢏꢎꢓꢑꢋꢕꢚ  
$ꢏꢑꢓꢏꢑꢃ"ꢕꢐꢑꢍꢜꢂꢃꢔꢍꢚꢜꢂ  
 
8ꢕ  
9ꢉ  
ꢒꢉ 9E8ꢃꢃꢒꢉ 9  
ꢀꢁꢂꢃꢄꢅꢁꢅꢆꢇꢈꢄꢉꢄꢈꢊꢋꢄꢌꢍꢁꢊꢎꢇꢁꢅꢏꢐꢑꢇꢈꢋꢁꢋꢌꢁꢐꢇꢁꢈꢃꢊꢍꢒꢇꢓꢁꢔꢄꢋꢃꢌꢏꢋꢁꢍꢌꢋꢄꢈꢇꢕ  
ꢀꢁꢂꢃꢁꢀꢄꢄꢄ  
                                                                     ꢁꢂꢃꢄꢅꢄꢆꢇ  
                          ꢅꢈꢉꢊꢁꢋꢀꢌꢍꢎꢏꢁꢐꢀꢑꢍꢋꢒꢀꢇꢀꢓꢁꢋꢓ  
 ꢈꢔꢀꢈꢋꢅꢀꢂꢁꢂꢈꢐꢕꢀꢎꢖꢌꢀꢋꢈꢖꢁꢀꢌꢍꢎꢏꢁꢐꢀꢔꢗꢖꢉꢋꢍꢈꢖ  
9. DC ELECTRICAL CHARACTERISTICS  
)5ꢎ#ꢋꢂꢚꢑꢃꢑꢂꢎꢓꢂꢔꢍꢑꢏꢔꢂꢃꢋꢌꢃꢇ9ꢀꢁ8ꢞꢞHꢊ ꢉ8ꢃꢏꢚꢐꢂꢌꢌꢃꢕꢑꢁꢂꢔ'ꢋꢌꢂꢃꢚꢕꢑꢂꢖ,ꢃꢍꢐꢐꢃ"ꢕꢐꢑꢍꢜꢂꢌ  
ꢔꢂꢘꢂꢔꢂꢚꢗꢂꢃꢑꢕꢃ8ꢌꢌ,.ꢕꢌꢗHꢊ 9;ꢆ979ꢃꢅ1/-  
ꢅ&*&"ꢞꢝꢞ*  
ꢓ$"%  
8ꢃꢃ  
ꢂ'(% ꢋ$+% ꢂ&,% ꢗ('ꢝ  
ꢉꢜ(-'ꢝ'ꢜ(  
>ꢚꢐꢕꢍꢖ  
ꢏ5 5ꢑꢃ8ꢃꢃHꢊ8,  
ꢊ 9;ꢆ979ꢅ1/ꢃ?@ꢀꢍꢐ,  
ꢚꢕꢃꢐꢕꢍꢖ  
$ꢓꢂꢔꢍꢑꢋꢚꢜꢃ"ꢕꢐꢑꢍꢜꢂ  
$ꢓꢂꢔꢍꢑꢋꢚꢜꢃꢗꢏꢔꢔꢂꢚꢑ  
ꢇ ꢉ  
ꢒꢒ  
ꢒꢒ  
ꢒꢒ  
9 9  
7ꢉꢉ  
8
!
ꢃꢃ  
ꢈꢔꢕꢜꢔꢍꢎꢎꢋꢚꢜ  
8ꢐꢑꢍꢜꢂ  
8ꢓꢓ  
++ 9 +ꢇ  
+ꢇ 9  
8
ꢛꢑꢍꢚꢖ#(ꢃꢗꢏꢔꢔꢂꢚꢑ  
*+,*ꢇ,*ꢊ  
!
ꢒꢒ  
ꢒꢒ  
ꢒꢒ  
7;ꢉ  
+
ꢏ5 ꢝ3ꢄH1ꢋꢜꢁ  
$ꢚꢐ(ꢃꢈꢕꢗꢙꢂꢑꢃꢞꢋꢍꢐꢂꢔ  
*ꢂ  
ꢈꢋ  
%
ꢒꢒ  
ꢄꢌꢆꢍꢎꢎꢂꢍꢆꢏ  
!ꢚꢓꢏꢑꢃꢈꢏꢐꢐꢒꢏꢓ  
%ꢂꢌꢋꢌꢑꢍꢚꢗꢂ  
ꢎꢕꢖꢂꢃ'ꢋꢑꢁꢃꢈꢏꢐꢐꢃ>ꢓ  
ꢔꢂꢌꢋꢌꢑꢕꢔ  
8ꢈꢈꢃ!ꢚꢓꢏꢑꢃꢈꢏꢐꢐꢒ  
ꢖꢕ'ꢚꢃ%ꢂꢌꢋꢌꢑꢍꢚꢗꢂ  
*+,*ꢇ,*ꢊꢃꢛꢗꢁꢎꢋꢑꢑ  
ꢀꢔꢋꢜꢜꢂꢔꢃ)ꢋꢚꢓꢏꢑꢃꢓꢋꢚ-  
%
ꢒꢒ  
ꢇꢉꢉ  
ꢒꢒ  
*ꢂ  
ꢄꢌꢆꢍꢎꢎꢂꢃꢐꢑꢒꢏ  
8ꢓꢔꢎ  
8ꢎꢔꢓ  
8ꢌꢌ  
ꢒꢒ ꢉ ꢊ8ꢃꢃ  
8ꢃꢃ  
8
ꢉ ;8ꢃꢃ ꢒꢒ  
ꢞꢀꢅ.ꢃꢌꢋꢜꢚꢍꢐ  
ꢕꢏꢑꢓꢏꢑꢃꢞ3ꢃꢐꢂ"ꢂꢐ  
ꢞꢀꢅ.ꢃꢌꢋꢜꢚꢍꢐ  
ꢕꢏꢑꢓꢏꢑꢃ53ꢃꢐꢂ"ꢂꢐ  
ꢞꢀꢅ.ꢃꢌꢋꢜꢚꢍꢐ  
ꢈꢔꢂꢒꢂꢎꢓꢁꢍꢌꢂꢌ  
ꢞꢀꢅ.ꢃꢛꢋꢚꢙ  
ꢗꢏꢔꢔꢂꢚꢑ  
ꢞꢀꢅ.ꢃꢌꢋꢜꢚꢍꢐ  
4ꢕꢍꢖꢃꢔꢂꢌꢋꢌꢑꢍꢚꢗꢂ  
8ꢃꢅꢕꢖꢔꢃꢗ ꢉ 98ꢃꢃ ꢒꢒ ꢉ ;98ꢃꢃ  
8
8ꢃꢃꢃHꢇ ꢉ8E9 98  
8ꢃꢅꢕꢖꢔꢘꢗ  
ꢀ'ꢋꢌꢑ  
+Iꢉ ꢇꢉ9 ꢇ79 ꢎ8ꢔꢎꢌ  
%ꢕ'ꢃDꢔꢕꢏꢓꢃ)%H9*ꢂꢃ  
+
Gꢉ ꢇ  
9
ꢖC 3ꢕꢐꢏꢎꢚꢒ%ꢕ'  
!
ꢒꢒ  
ꢒꢒ  
ꢎ5  
ꢉꢎ  
%
ꢄꢂ  
ꢞꢀꢅ.ꢃꢞꢂ"ꢋꢍꢑꢋꢕꢚ  
.ꢖꢂ"  
ꢀ1ꢞ  
ꢒ+ 9  
ꢒꢒ  
G+ 9  
ꢒꢇꢊ  
J
8ꢃꢃHꢇ ꢉ8E9 98  
ꢞꢀꢅ.ꢃꢌꢋꢜꢚꢍꢐ  
ꢞꢋꢌꢑꢕꢔꢑꢋꢕꢚ  
ꢒꢊꢉ  
ꢖC  
%H9*ꢃꢐꢕꢍꢖꢂꢖ  
ꢞꢋꢌꢑꢕꢔꢑꢋꢕꢚꢃꢋꢚꢃꢖCꢃꢖꢂꢘꢋꢚꢂꢖꢃꢍꢌꢃ:  
 +  +     +  
ꢁꢂꢃ = ꢕꢜ  
 +  
2ꢁꢂꢔꢂꢃ        ꢍꢔꢂꢃ ꢑꢁꢂꢃ ꢏꢚ'ꢍꢚꢑꢂꢖꢃ ꢘꢔꢂ0ꢏꢂꢚꢗ(ꢃ ꢗꢕꢎꢓꢕꢚꢂꢚꢑꢌ,ꢃ  ꢋꢌꢃ ꢑꢁꢂꢃ ꢐꢕ'ꢃ ꢘꢔꢂ0ꢏꢂꢚꢗ(  
ꢑꢕꢚꢂ,ꢃ ꢃꢋꢌꢃꢑꢁꢂꢃꢁꢋꢜꢁꢃꢘꢔꢂ0ꢏꢂꢚꢗ(ꢃꢑꢕꢚꢂ  
ꢀꢁꢂꢃꢄꢅꢁꢅꢆꢇꢈꢄꢉꢄꢈꢊꢋꢄꢌꢍꢁꢊꢎꢇꢁꢅꢏꢐꢑꢇꢈꢋꢁꢋꢌꢁꢐꢇꢁꢈꢃꢊꢍꢒꢇꢓꢁꢔꢄꢋꢃꢌꢏꢋꢁꢍꢌꢋꢄꢈꢇꢕ  
ꢀꢁꢂꢃꢁꢀꢄꢄꢄ  
ꢂꢄ  
                                                                     ꢁꢂꢃꢄꢅꢄꢆꢇ  
                          ꢅꢈꢉꢊꢁꢋꢀꢌꢍꢎꢏꢁꢐꢀꢑꢍꢋꢒꢀꢇꢀꢓꢁꢋꢓ  
 ꢈꢔꢀꢈꢋꢅꢀꢂꢁꢂꢈꢐꢕꢀꢎꢖꢌꢀꢋꢈꢖꢁꢀꢌꢍꢎꢏꢁꢐꢀꢔꢗꢖꢉꢋꢍꢈꢖ  
5ꢗꢑꢏꢔꢍꢐꢃꢘꢔꢂ0ꢏꢂꢚꢗ(ꢃꢕꢏꢑꢓꢏꢑꢃ)ꢂ=ꢗꢂꢓꢑꢃ$ꢛ3 ꢃꢖꢂ"ꢋꢍꢑꢋꢕꢚ-ꢃ:  
ꢋꢜ(ꢞ  
%+  
%ꢇ  
%ꢊ  
%7  
3+  
3ꢇ  
3ꢊ  
37  
ꢓꢋꢎꢐꢌꢎꢖꢌꢀꢘꢒ.ꢚ ꢈꢗꢋꢅꢗꢋꢀꢘꢒ.ꢚ ꢌꢞ/'&ꢝ'ꢜ(ꢀꢘ0ꢚ  
Fꢆ;  
;;ꢉ  
Fꢆꢆ  
;FF  
Gꢉ ꢇI  
ꢒꢉ 9ꢇ  
ꢒꢉ 7;  
Gꢉ ;7  
Gꢉ 9;  
ꢒꢉ ꢊꢉ  
ꢒꢉ ꢊ7  
Gꢉ ;ꢊ  
I9ꢇ  
I7I  
ꢆ7+  
ꢆ7I  
+ꢇꢉꢆ  
+ꢊꢊF  
+7;;  
+Fꢊꢊ  
+ꢇ+F  
+ꢊꢊꢇ  
+7;ꢇ  
+F79  
10.AC ELECTRICAL CHARACTERISTIC  
)5ꢎ#ꢋꢂꢚꢑꢃ ꢑꢂꢎꢓꢂꢔꢍꢑꢏꢔꢂꢃ ꢋꢌꢃ ꢇ9ꢁꢅ8ꢞꢞHꢊ ꢉ8ꢃ ꢏꢚꢐꢂꢌꢌꢃ ꢕꢑꢁꢂꢔ'ꢋꢌꢂꢃ ꢚꢕꢑꢂꢖ,ꢃ ꢍꢐꢐꢃ "ꢕꢐꢑꢍꢜꢂꢌ  
ꢔꢂꢘꢂꢔꢂꢚꢗꢂꢃꢑꢕꢃ8ꢌꢌ,ꢃ.ꢕꢌꢗHꢊ 9;ꢆ979ꢃꢅ1/-  
ꢅ&*&"ꢞꢝꢞ*  
ꢓ$"%  
ꢀꢕꢚꢂꢃꢖꢏꢔꢍꢑꢋꢕꢚꢝ!ꢚꢑꢂꢔꢃꢑꢕꢚꢂꢃꢓꢍꢏꢌꢂꢃꢀꢋꢎꢂ ꢝꢀꢄꢅꢆ  
ꢂ'(%  
ꢆꢉ  
ꢋ$+%  
+ꢉꢉ  
ꢊ F  
ꢂ&,%  
+ꢇꢉ  
ꢒꢒ  
ꢗ('ꢝ  
ꢎꢌ  
ꢛꢂꢗ  
ꢎꢌ  
ꢏꢌ  
ꢈꢍꢏꢌꢂꢃꢀꢋꢎꢂ  
ꢀꢓꢍꢏꢌꢂ  
ꢀꢖ#  
ꢀꢔ,ꢀꢘ  
ꢀꢌꢏ  
ꢒꢒ  
*ꢂ(ꢃꢖꢂ#ꢕꢏꢗꢂꢃꢑꢋꢎꢂ  
!ꢚꢓꢏꢑꢃ%ꢋꢌꢂꢃꢍꢚꢖꢃ.ꢍꢐꢐꢃꢀꢋꢎꢂ  
ꢞꢍꢑꢍꢃꢌꢂꢑꢏꢓꢃꢑꢋꢎꢂ  
ꢇꢉ  
9
+
+
ꢒꢒ  
ꢒꢒ  
ꢏꢌ  
ꢏꢌ  
1ꢕꢐꢖꢃꢀꢋꢎꢂ,ꢃ34*ꢃꢑꢕꢃꢞꢍꢑꢍ  
34*ꢃ!ꢚꢓꢏꢑꢃꢈꢏꢐꢌꢂꢃ2ꢋꢖꢑꢁ  
ꢞꢂꢐꢍ(ꢃꢀꢋꢎꢂ  
ꢀꢁ  
ꢀ'  
+
ꢏꢌ  
ꢏꢌ  
ꢀꢖꢐ(  
ꢅꢎꢓ  
ꢅꢓꢎ  
ꢆꢛꢃ  
+
ꢒꢒ  
ꢒꢒ  
ꢒꢒ  
ꢒꢒ  
ꢒꢒ  
ꢒꢒ  
ꢒꢒ  
ꢒꢒ  
$ꢏꢑꢓꢏꢑꢃ%ꢋꢌꢂꢃꢑꢋꢎꢂ  
$ꢏꢑꢓꢏꢑꢃ.ꢍꢐꢐꢃꢑꢋꢎꢂ  
ꢈDꢅCꢃꢓꢔꢕꢜꢔꢍꢎꢃꢓꢏꢐꢌꢂꢃ'ꢋꢖꢑꢁ  
ꢇꢉꢉ  
ꢇꢉꢉ  
+ꢉꢉ  
ꢚꢌ  
ꢚꢌ  
ꢏꢌ  
ꢀꢁꢂꢃꢄꢅꢁꢅꢆꢇꢈꢄꢉꢄꢈꢊꢋꢄꢌꢍꢁꢊꢎꢇꢁꢅꢏꢐꢑꢇꢈꢋꢁꢋꢌꢁꢐꢇꢁꢈꢃꢊꢍꢒꢇꢓꢁꢔꢄꢋꢃꢌꢏꢋꢁꢍꢌꢋꢄꢈꢇꢕ  
ꢀꢁꢂꢃꢁꢀꢄꢄꢄ  
ꢂꢂ  
                                                                     ꢁꢂꢃꢄꢅꢄꢆꢇ  
                          ꢅꢈꢉꢊꢁꢋꢀꢌꢍꢎꢏꢁꢐꢀꢑꢍꢋꢒꢀꢇꢀꢓꢁꢋꢓ  
 ꢈꢔꢀꢈꢋꢅꢀꢂꢁꢂꢈꢐꢕꢀꢎꢖꢌꢀꢋꢈꢖꢁꢀꢌꢍꢎꢏꢁꢐꢀꢔꢗꢖꢉꢋꢍꢈꢖ  
11.TIMING DIAGRAM  
ꢘꢙꢚ ꢓꢑꢍꢋꢉꢒꢍꢖ!ꢀꢑꢎ1ꢁꢔꢈꢐꢂꢓꢀꢛ  
ꢄꢅꢆ  
ꢄꢅꢆ  
ꢊ  
ꢉ  
#ꢐH  
ꢗꢐꢌꢜꢏ  
#ꢐH  
ꢓꢜꢏꢌꢜꢏ  
ꢈꢉꢊ  
ꢈꢉꢊ  
ꢋꢉꢊ  
ꢋꢉꢊ  
ꢀꢁꢂꢃꢄꢅꢆꢉ  
ꢀꢁꢂꢃꢄꢅꢆꢇ  
ꢎꢋꢗ  
ꢌꢉꢊ  
ꢋ  
ꢀꢀ  
ꢁꢇ  
ꢈ  
ꢌꢉꢊ  
ꢌꢉꢊ  
ꢑꢛꢘ  
ꢁꢁ  
ꢑꢛꢘ  
ꢌꢉꢊ  
ꢀꢁꢂꢃꢄꢅꢆꢊ  
ꢀꢁꢂꢃꢄꢅꢆꢈ  
ꢘꢄꢚꢀꢅꢈꢉꢊꢁꢋꢀꢌꢍꢎꢏꢁꢐꢀꢂꢈꢌꢁꢀꢛ  
! ꢔ233ꢀꢔ'33ꢞ-ꢀ4'ꢝ5ꢀꢇꢄꢀ('663ꢞ#ꢀꢈꢋꢅꢀꢂꢁꢂꢈꢐꢕꢀꢛ  
ꢗ*'4"&3,6(ꢝ  
ꢘꢀ  
ꢘꢀ  
ꢘꢁ  
, , , , , , , , , ,  
ꢘꢔH  
, , , , ,  
, , , , , , , ,  
ꢋꢏꢒꢕ  
ꢌꢄꢂ  
ꢀ  
, , , , , , , , , , , , ,  
ꢋ4%4, , , , , , , , , , , , , ꢀ, , , , , ꢁ, , , , , , , , , , , , , , , , , , , , @, , , , , A  
ꢒꢜꢝꢝꢞ ꢚꢂ   ꢒꢜꢝꢝꢞ ꢙꢂ  !!!!!!!!!""ꢂ    ꢒꢜꢝꢝꢞ ꢂ#ꢚꢂ  ꢒꢜꢝꢝꢞ ꢂ#ꢙ  
ꢌꢃꢄꢘꢙꢇꢚꢛꢑꢙꢜꢐꢝꢝꢞꢛꢙꢋꢈ  
 ꢐꢑꢐ!ꢚꢛ"ꢙ"ꢐ#ꢞꢐꢑ$  
ꢖ!)%  
ꢀꢁꢂꢃꢄꢅꢁꢅꢆꢇꢈꢄꢉꢄꢈꢊꢋꢄꢌꢍꢁꢊꢎꢇꢁꢅꢏꢐꢑꢇꢈꢋꢁꢋꢌꢁꢐꢇꢁꢈꢃꢊꢍꢒꢇꢓꢁꢔꢄꢋꢃꢌꢏꢋꢁꢍꢌꢋꢄꢈꢇꢕ  
ꢀꢁꢂꢃꢁꢀꢄꢄꢄ  
ꢂꢀ  
                                                                     ꢁꢂꢃꢄꢅꢄꢆꢇ  
                          ꢅꢈꢉꢊꢁꢋꢀꢌꢍꢎꢏꢁꢐꢀꢑꢍꢋꢒꢀꢇꢀꢓꢁꢋꢓ  
 ꢈꢔꢀꢈꢋꢅꢀꢂꢁꢂꢈꢐꢕꢀꢎꢖꢌꢀꢋꢈꢖꢁꢀꢌꢍꢎꢏꢁꢐꢀꢔꢗꢖꢉꢋꢍꢈꢖ  
! ꢖꢜꢝꢀ723387'33ꢞ-ꢀ4'ꢝ5ꢀꢇꢄꢀ('663ꢞ#ꢀꢈꢋꢅꢀꢂꢁꢂꢈꢐꢕꢀꢛ  
ꢎꢏ  
ꢘꢔH  
ꢍꢇꢁꢎ  
, , , , , , , , , , , ,  
ꢌꢄꢂ  
ꢋꢏꢒꢕ  
ꢀ  
ꢋ4%4, , , , , , , , , , , , ꢀ, , , , , ꢁ, , , , , ꢋ, , , , , , , , , , , , , , ꢅ, , ,   
ꢌꢆꢘꢍꢈ$ꢏꢂ                      ꢌꢈꢅꢉꢆꢏ  
ꢌꢔꢒ%ꢙ"ꢐ#ꢞꢐꢑ$ꢙ&ꢚꢛꢑ  
ꢅꢑ'ꢒꢓꢑꢔꢛ(ꢛ"ꢙ!ꢔꢒ%ꢙ'ꢒ"ꢛ)ꢁꢁꢁꢁ*  
ꢖ!)%  
ꢘꢇꢚꢀꢑꢐꢍꢋꢁꢀꢋꢈꢀꢈꢋꢅꢀꢂꢈꢌꢁꢀꢛ  
"
ꢏꢐꢑꢒ  
9ꢉꢁ  
ꢂꢜ-ꢞ  
+ꢇ8  
1++ꢘꢓꢋꢚ  
ꢊꢙ9ꢀꢐ9ꢑ  
ꢋꢌꢍ ꢎꢌꢍ  
ꢋꢌꢍ  
ꢎꢌꢍ  
ꢊꢄ9ꢉꢏꢊ  
ꢊꢇ9ꢓꢌꢍꢈ  
ꢓꢁꢔ  
ꢓꢁꢔ  
ꢔꢙꢚꢛꢜꢝꢙꢞ ꢜ  
!"#ꢛꢜ$ꢙꢛ%ꢜ&'  
*%+(ꢜꢙ+&#ꢜ  
ꢔꢙꢚꢛꢜꢝꢙꢞ ꢜ  
!"#ꢛꢜ$ꢙꢛ%ꢜ&'  
ꢔꢙꢚꢛꢜꢝꢙꢞ ꢜ  
!ꢋ$ ꢞꢙ&(ꢜ'  
ꢆ(ꢜ+(ꢜꢙ+&#ꢜ  
*%+(ꢜꢙ+&#ꢜ  
    
ꢏꢛꢚꢚ)$,ꢂ  
ꢏꢛꢚꢚ)$ꢙꢂ  
Bꢋ##ꢐꢂꢃꢚꢕ  
)!ꢚꢑꢂꢔꢚꢍꢐ-
 
ꢈDꢅC  
ꢏꢛꢚꢚ)$ꢙꢄ  
ꢀꢁꢂ  
)!ꢚꢑꢂꢔꢚꢍꢐ-  
Bꢕꢑꢂꢃ:ꢃ1!Aꢃ:ꢃ1ꢋꢜꢁꢃ!ꢎꢓꢂꢖꢍꢚꢗꢂ  
      
ꢀꢁꢂꢃꢄꢅꢁꢅꢆꢇꢈꢄꢉꢄꢈꢊꢋꢄꢌꢍꢁꢊꢎꢇꢁꢅꢏꢐꢑꢇꢈꢋꢁꢋꢌꢁꢐꢇꢁꢈꢃꢊꢍꢒꢇꢓꢁꢔꢄꢋꢃꢌꢏꢋꢁꢍꢌꢋꢄꢈꢇꢕ  
ꢀꢁꢂꢃꢁꢀꢄꢄꢄ  
ꢂꢅ  
                                                                     ꢁꢂꢃꢄꢅꢄꢆꢇ  
                          ꢅꢈꢉꢊꢁꢋꢀꢌꢍꢎꢏꢁꢐꢀꢑꢍꢋꢒꢀꢇꢀꢓꢁꢋꢓ  
 ꢈꢔꢀꢈꢋꢅꢀꢂꢁꢂꢈꢐꢕꢀꢎꢖꢌꢀꢋꢈꢖꢁꢀꢌꢍꢎꢏꢁꢐꢀꢔꢗꢖꢉꢋꢍꢈꢖ  
ꢘ ꢚꢀꢌꢗꢎꢏꢀꢋꢈꢖꢁꢀꢂꢈꢌꢁꢀꢛ  
ꢈꢞ)/  
9ꢉꢁ  
ꢂꢜ-ꢞ  
1++ꢘꢓꢋꢚ  
ꢀ  
ꢁꢂꢃ  
ꢊꢙ9ꢋꢈꢁ  
ꢊꢄ9ꢉꢏꢊ  
ꢒ-.  
ꢉ-.  
ꢉꢍ ꢍ ꢉꢍ ꢍ ꢉꢍ ꢍ ꢋ  
ꢉꢍ ꢍ ꢉꢍ ꢍ ꢋꢍ ꢍ ꢉ  
ꢊꢇ9ꢓꢌꢍ  
;ꢜ2ꢝ  
ꢎꢋꢎ  
ꢎꢏꢎ  
ꢌꢋꢂꢔ  
 <<ꢃꢀꢝꢀꢄꢅꢆꢃꢖꢏꢔꢍꢑꢋꢕꢚꢃꢋꢌꢃꢗꢕꢚꢑꢔꢕꢐꢐꢂꢖꢃ#(ꢃꢀ$ꢄꢃꢓꢋꢚ  
ꢘ:ꢚꢀꢓꢍꢖ!ꢏꢁꢀꢋꢈꢖꢁꢀꢂꢈꢌꢁꢀꢛ  
ꢈꢞ)/  
9ꢉꢁ  
ꢂꢜ-ꢞ  
1++ꢘꢓꢋꢚ  
ꢀ  
ꢁꢂꢃ  
ꢊꢙ9ꢋꢈꢁ  
ꢊꢄ9ꢉꢏꢊ  
ꢉ-.  
ꢒ-.  
ꢋꢍ ꢍ ꢉꢍ ꢍ ꢉꢍ ꢍ ꢉ  
ꢉꢍ ꢍ ꢋꢍ ꢍ ꢉꢍ ꢍ ꢉ  
ꢊꢇ9ꢓꢌꢍ  
;ꢜ2ꢝ  
ꢎꢂꢙꢜ&%$  
ꢋꢂꢙꢜ&%$  
ꢌꢋꢂꢔ  
<<ꢃꢀꢝꢀꢄꢅꢆꢃꢖꢏꢔꢍꢑꢋꢕꢚꢃꢋꢌꢃꢗꢕꢚꢑꢔꢕꢐꢐꢂꢖꢃ#(ꢃꢀ$ꢄꢃꢓꢋꢚ  
ꢀꢁꢂꢃꢄꢅꢁꢅꢆꢇꢈꢄꢉꢄꢈꢊꢋꢄꢌꢍꢁꢊꢎꢇꢁꢅꢏꢐꢑꢇꢈꢋꢁꢋꢌꢁꢐꢇꢁꢈꢃꢊꢍꢒꢇꢓꢁꢔꢄꢋꢃꢌꢏꢋꢁꢍꢌꢋꢄꢈꢇꢕ  
ꢀꢁꢂꢃꢁꢀꢄꢄꢄ  
ꢂꢔ  
                                                                     ꢁꢂꢃꢄꢅꢄꢆꢇ  
                          ꢅꢈꢉꢊꢁꢋꢀꢌꢍꢎꢏꢁꢐꢀꢑꢍꢋꢒꢀꢇꢀꢓꢁꢋꢓ  
 ꢈꢔꢀꢈꢋꢅꢀꢂꢁꢂꢈꢐꢕꢀꢎꢖꢌꢀꢋꢈꢖꢁꢀꢌꢍꢎꢏꢁꢐꢀꢔꢗꢖꢉꢋꢍꢈꢖ  
12.APPLICATION CIRCUIT  
"
ꢘꢙꢚꢀꢅꢈꢉꢊꢁꢋꢀꢌꢍꢎꢏꢁꢐꢀꢛ  
 ꢈꢕꢗꢙꢂꢑꢃꢞꢋꢍꢐꢂꢔꢃꢅꢕꢖꢂꢃ:  
3V  
10uF  
VDD  
Vpp  
Mode  
DTMF  
3.9K  
BZZR  
/CE  
K1  
K1  
K2  
EMC  
EM92P203  
K2  
K3  
K3  
Xin  
Vss  
Xout  
3.58MHz  
33p  
33p  
ꢀꢁꢂꢃꢄꢅꢆꢇꢈꢉꢊꢄꢋ  
ꢀꢁꢂꢃꢄꢅꢁꢅꢆꢇꢈꢄꢉꢄꢈꢊꢋꢄꢌꢍꢁꢊꢎꢇꢁꢅꢏꢐꢑꢇꢈꢋꢁꢋꢌꢁꢐꢇꢁꢈꢃꢊꢍꢒꢇꢓꢁꢔꢄꢋꢃꢌꢏꢋꢁꢍꢌꢋꢄꢈꢇꢕ  
ꢀꢁꢂꢃꢁꢀꢄꢄꢄ  
ꢂꢃ  
                                                                     ꢁꢂꢃꢄꢅꢄꢆꢇ  
                          ꢅꢈꢉꢊꢁꢋꢀꢌꢍꢎꢏꢁꢐꢀꢑꢍꢋꢒꢀꢇꢀꢓꢁꢋꢓ  
 ꢈꢔꢀꢈꢋꢅꢀꢂꢁꢂꢈꢐꢕꢀꢎꢖꢌꢀꢋꢈꢖꢁꢀꢌꢍꢎꢏꢁꢐꢀꢔꢗꢖꢉꢋꢍꢈꢖ  
# ꢃ2ꢔꢋꢑꢂꢃ$ꢀꢈꢃꢅꢂꢎꢕꢔ(ꢃ:  
VDD  
Optional  
EM32117  
OK  
LCD DISPLAY  
Write  
3V  
Jack  
10uF  
VDD  
Mode  
DTMF  
VDD  
Vpp  
R/W  
CLK  
SDIO  
Vss  
BZZR  
Vpp  
Writer  
*6  
3.9K  
EMC  
K1  
K2  
K1  
K2  
EM92P203  
/CE  
K3  
ꢂꢙ   ꢀꢙ   ꢅꢙ     
K3  
Xin Xout  
ꢔꢙ   ꢃꢙ   ꢕꢙ  ꢐꢑꢒꢓ  
Vss  
ꢖꢙ   ꢗꢙ   ꢘꢙ  ꢎꢒꢋ  
0ꢙ   ꢄꢙ   1ꢙ  -ꢈ  
3.58MHz  
33p  
33p  
Keyboard  
ꢌꢋꢈꢅꢄꢆꢍꢎꢀꢆꢏꢄꢐꢁꢋꢑ  
Bꢕꢑꢂ:ꢃꢈꢕꢗꢙꢂꢑꢃꢞꢋꢍꢐꢂꢔꢃ2ꢔꢋꢑꢂꢔꢃꢁꢍꢌꢃꢍꢐꢔꢂꢍꢖ(ꢃꢔꢂꢐꢂꢍꢌꢂꢖ,ꢃꢓꢐꢂꢍꢌꢂꢃꢗꢕꢚꢑꢍꢗꢑꢃ'ꢋꢑꢁꢃꢄꢅ3  
ꢀꢁꢂꢃꢄꢅꢁꢅꢆꢇꢈꢄꢉꢄꢈꢊꢋꢄꢌꢍꢁꢊꢎꢇꢁꢅꢏꢐꢑꢇꢈꢋꢁꢋꢌꢁꢐꢇꢁꢈꢃꢊꢍꢒꢇꢓꢁꢔꢄꢋꢃꢌꢏꢋꢁꢍꢌꢋꢄꢈꢇꢕ  
ꢀꢁꢂꢃꢁꢀꢄꢄꢄ  
ꢂꢕ  
                                                                     ꢁꢂꢃꢄꢅꢄꢆꢇ  
                          ꢅꢈꢉꢊꢁꢋꢀꢌꢍꢎꢏꢁꢐꢀꢑꢍꢋꢒꢀꢇꢀꢓꢁꢋꢓ  
 ꢈꢔꢀꢈꢋꢅꢀꢂꢁꢂꢈꢐꢕꢀꢎꢖꢌꢀꢋꢈꢖꢁꢀꢌꢍꢎꢏꢁꢐꢀꢔꢗꢖꢉꢋꢍꢈꢖ  
ꢘꢄꢚꢀꢋꢈꢖꢁꢀꢌꢍꢎꢏꢁꢐꢀꢛ  
VDD  
General  
10uF  
Mode  
DTMF  
VDD  
Vpp  
Purpose  
uC  
EMC  
/EN  
/CE  
K1  
EM92P203  
D0  
D1  
K2  
K3  
Vss  
D2  
Xin Xout  
Xin  
3.58MHz  
Xout  
Mute  
Mute  
DTMF  
Speech Network  
ꢎꢁꢒꢄꢆꢇꢈꢉꢊꢄꢋ  
ꢀꢁꢂꢃꢄꢅꢁꢅꢆꢇꢈꢄꢉꢄꢈꢊꢋꢄꢌꢍꢁꢊꢎꢇꢁꢅꢏꢐꢑꢇꢈꢋꢁꢋꢌꢁꢐꢇꢁꢈꢃꢊꢍꢒꢇꢓꢁꢔꢄꢋꢃꢌꢏꢋꢁꢍꢌꢋꢄꢈꢇꢕ  
ꢀꢁꢂꢃꢁꢀꢄꢄꢄ  
ꢂꢖ  
                                                                     ꢁꢂꢃꢄꢅꢄꢆꢇ  
                          ꢅꢈꢉꢊꢁꢋꢀꢌꢍꢎꢏꢁꢐꢀꢑꢍꢋꢒꢀꢇꢀꢓꢁꢋꢓ  
 ꢈꢔꢀꢈꢋꢅꢀꢂꢁꢂꢈꢐꢕꢀꢎꢖꢌꢀꢋꢈꢖꢁꢀꢌꢍꢎꢏꢁꢐꢀꢔꢗꢖꢉꢋꢍꢈꢖ  
13.PAD DIAGRAM  
ꢅ&-ꢀ-'&)*&"ꢀꢛ  
ꢅꢕꢖꢂ  
++  
8ꢈꢈ  
+ꢊ  
*ꢇ  
*+ ꢝ3ꢄ 8ꢞꢞ  
+
+7  
ꢀꢁ ꢂꢁꢃ  
F
I
+ꢉ  
ꢞꢀꢅ.  
7
;
?ꢋꢚ  
DBꢞ  
?ꢕꢏꢑ  
*ꢊ  
3ꢁꢋꢓꢃꢛꢋ/ꢂꢃ:ꢃ++ꢆꢉ<+ꢉFꢉꢃꢏꢎ  
ꢉꢜꢜ*-'(&ꢝꢞ#ꢀꢛ  
ꢅ'(ꢀꢖꢜ  
ꢖ&"ꢞ  
ꢉꢜꢜ*-'(&ꢝꢞ  
ꢘ;<ꢕꢚ  
ꢅ'(ꢀꢖꢜ  
ꢖ&"ꢞ  
ꢉꢜꢜ*-'(&ꢝꢞ  
ꢘ;<ꢕꢚ  
ꢇꢉꢊ ꢉ,ꢒꢊIꢉ  
+
7
9
F
;
3ꢄ  
*+  
*ꢇ  
*ꢊ  
ꢒꢆꢊ +,ꢊ;ꢆ ꢆ  
ꢒꢇF9 ꢊ,ꢊ;ꢆ ꢆ  
ꢒ7ꢊI +,ꢊ;ꢆ ꢆ  
ꢒ7ꢇI ꢊ,ꢒꢊIꢉ ꢉ  
I
?ꢋꢚ  
+ꢉ  
++  
+ꢇ  
+ꢊ  
+7  
ꢞꢀꢅ.  
ꢅ$ꢞꢄ  
ꢊꢆ9 ;,ꢒꢊIꢉ  
77+ 9,ꢊ;ꢆ ꢆ  
DBꢞ  
?ꢕꢏꢑ  
ꢒꢇꢊꢆ 7,ꢒꢊIꢉ ꢉ  
ꢒ7ꢇ +,ꢒꢊIꢉ  
8ꢈꢈ  
8ꢞꢞ  
ꢇꢆꢊ F,ꢊ;ꢆ ꢆ  
;I ꢊ,ꢊ;ꢆ ꢆ  
              ꢄꢅꢆꢇꢈꢉꢈꢊꢋ  
ꢀꢁꢂꢃꢄꢅꢁꢅꢆꢇꢈꢄꢉꢄꢈꢊꢋꢄꢌꢍꢁꢊꢎꢇꢁꢅꢏꢐꢑꢇꢈꢋꢁꢋꢌꢁꢐꢇꢁꢈꢃꢊꢍꢒꢇꢓꢁꢔꢄꢋꢃꢌꢏꢋꢁꢍꢌꢋꢄꢈꢇꢕ  
ꢀꢁꢂꢃꢁꢀꢄꢄꢄ  
ꢂꢗ  

相关型号:

EM9301

Single-Cell Battery Bluetooth low energy controller
EMMICRO

EM9304

5.0 LOW-ENERGY COMPANION OR SOC
EMMICRO

EM9304V01LF28B+

5.0 LOW-ENERGY COMPANION OR SOC
EMMICRO
EOREX
EOREX
EOREX
EOREX
EOREX
EOREX
EOREX
EOREX
EOREX