TMJ1620 [ETC]

LED 驱动控制专用电路; LED驱动控制专用电路
TMJ1620
型号: TMJ1620
厂家: ETC    ETC
描述:

LED 驱动控制专用电路
LED驱动控制专用电路

驱动
文件: 总16页 (文件大小:2497K)
中文:  中文翻译
下载:  下载PDF数据表文档文件
深圳市天微电子有限公司  
王生 15818572651 QQ 3103278211  
LED动控制专用电路  
TM1620B  
一、 概述  
TM1620B 是一种带键盘扫描接口的LED(发光二极管显示器)驱动控制专用电路,  
内部集成有MCU 数字接口据锁存器LED 高压驱动盘扫描等电路产品性能  
优良量可靠要应用于VCRVCDDVD 及家庭影院等产品的显示屏驱动用SOP20  
的封装形式。  
二、 特性说明  
采用功率CMOS 工艺  
显示模式(6 段×7 位~9 段×4 位)  
按键(6×1Bit)  
辉度调节电路(占空比8 级可调)  
串行接口(CLK,STB,DIO)  
振荡方式:内置RC 振荡(450KHz+5%)  
内置上电复位电路  
封装形式:SOP20  
三、 管脚定义:  
1
2
3
4
5
6
7
8
9
20  
19  
18  
17  
16  
15  
14  
13  
12  
11  
GND  
DIO  
CLK  
STB  
K2  
VDD  
SEG1/ KS1  
SEG2/ KS2  
SEG3/ KS3  
SEG4/ KS4  
GR1  
GR2  
GND  
GR3  
GR4  
SEG14 /GR5  
SEG13 /GR6  
SEG12 /GR7  
SEG6/ KS6  
SEG5/ KS5  
10  
四、管脚功能定义:  
www.titanmec.com  
- 1 -  
深圳市天微电子有限公司  
王生 15818572651 QQ 3103278211  
LED动控制专用电路  
TM1620B  
符号  
DIO  
管脚名称  
说明  
数据输入/输出  
在时钟上升沿输入/输出串行数据,从低位开始;  
在上升或下降沿初始化串行接口,随后等待接收指  
令。STB 为低后的第一个字节作为指令,当处理指  
令时前其它处理被终止STB 为高时CLK 被  
忽略  
STB  
片选  
CLK  
K2  
时钟输入  
在上升沿输入/输出串行数据。  
键扫数据输入  
输入该脚的数据在显示周期结束后被锁存  
Seg1/KS1~  
Seg6/KS6  
输出(段)  
段输出(也用作键扫描),p管开漏输出  
GR1~ GR4  
输出(位)  
位输出,N管开漏输出  
段位复用输出  
Seg12/GR7 ~  
Seg14/GR5  
输出(段/位)  
VDD  
GND  
逻辑电源  
逻辑地  
5V±10%  
接系统地  
注意:DIO口输出数据时为N管开漏输出,在读键的时候需要外接1K-10K的上拉电阻。本公司推  
荐10K的上拉电阻DIO在时钟的下降沿控制N管的动作时读数时不稳定可以参考6,  
在时钟的上升沿读数才时稳定。  
VCC  
芯片内部电路  
10K  
DIO  
CT  
GND  
图(1)  
五、 显示寄存器地址和显示模式:  
该寄存器存储通过串行接口从外部器件传送到TM1620B 的数据址从00H-0DH共14字节单元,  
分别与芯片SGE和GRID管脚所接的LED灯对应,分配如下图:  
LED显示数据的时候,按照从显示地址从低位到高位,从数据字节的低位到高位操作。  
www.titanmec.com  
- 2 -  
深圳市天微电子有限公司  
王生 15818572651  
QQ 3103278211  
LED动控制专用电路  
TM1620B  
X
X
X
X
X
X
X
xxHL(低四位)  
xxHU(高四位)  
xxHL(低四位)  
B1 B2  
01HL  
xxHU(高四位)  
B0 B1 B2 B3 B4 B5 B6 B7 B0  
B3  
B4  
B5 B6 B7  
00HL  
02HL  
04HL  
06HL  
08HL  
0AHL  
0CHL  
00HU  
02HU  
04HU  
06HU  
08HU  
0AHU  
0CHU  
01HU  
03HU  
05HU  
07HU  
09HU  
0BHU  
0DHU  
GRID1  
GRID2  
GRID3  
GRID4  
GRID5  
GRID6  
GRID7  
03HL  
05HL  
07HL  
09HL  
0BHL  
0DHL  
图(2)  
六、 键扫描和键扫数据寄存器:  
键扫矩阵为6×1bit,如下所示:  
K2  
图(3)  
键扫数据储存地址如下所示,先发读键命令后,开始读取按键数据BYTE1—BYTE3字节,读数据  
从低位开始输出,其中B0,B2,B3,B5,B6和B7位为无效位,此时芯片输出为0。芯片K和KS引脚对  
应的按键按下时,相对应的字节内的 BIT位为1。  
B0  
K1  
B1  
K2  
B2  
K3  
B3  
K1  
B4  
K2  
B5  
K3  
B6  
B7  
KS1  
KS3  
KS5  
KS2  
KS4  
KS6  
0
0
0
0
0
0
BYTE1  
BYTE2  
BYTE3  
图(4)  
注意:1、TM1620B最多可以读3个字节,不允许多读。  
2、读数据字节只能按顺序从BYTE1-BYTE3读取,不可跨字节读。例如:硬件上的K2与KS6  
对应按键按下时,此时想要读到此按键数据,必须需要读到第3个字节的第4BIT位,才可读出数据。  
七、 指令说明:  
www.titanmec.com  
- 3 -  
深圳市天微电子有限公司  
王生 15818572651 QQ 3103278211  
LED动控制专用电路  
TM1620B  
指令用来设置显示模式和LED 驱动器的状态。  
在STB下降沿后由DIO输入的第一个字节作为一条指令。经过译码,取最高B7、B6两位比特位以  
区别不同的指令。  
B7  
0
B6  
0
指令  
显示模式设置  
数据命令设置  
显示控制命令设置  
地址命令设置  
0
1
1
0
1
1
如果在指令或数据传输时STB被置为高电平,串行通讯被初始化,并且正在传送的指令或数据  
无效(之前传送的指令或数据保持有效)。  
(1) 显示模式设置:  
MSB  
B7  
LSB  
B0  
B6  
B5  
B4  
B3  
B2  
B1  
显示模式  
0
0
0
0
0
0
0
0
0
0
1
1
0
1
0
1
4位9段  
5位8段  
6位7段  
7位6段  
无关项,0  
该指令用来设置选择段和位的个数(4~7 位,6~9 段)。当指令执行时,显示被强制关闭。  
要送显示控制命令开显示,原先显示的数据内容不会被改变,但当相同模式被设置时,则上述情况  
并不发生。  
(2) 数据命令设置:  
该指令用来设置数据写和读,B1和B0位不允许设置01或11。  
MSB  
B7  
LSB  
B0  
B6  
B5  
B4  
B3  
B2  
B1  
功能  
说明  
0
0
0
0
0
0
1
1
1
1
1
1
0
1
0
0
数据读写模式  
设置  
写数据到显示寄存器  
读键扫数据  
自动地址增加  
固定地址  
无关项,  
填0  
0
1
地址增加模式  
设置  
0
1
测试模式设置  
(内部使用)  
普通模式  
测试模式  
www.titanmec.com  
- 4 -  
深圳市天微电子有限公司  
王生 15818572651  
QQ 3103278211  
LED动控制专用电路  
TM1620B  
(3) 地址命令设设置:  
MSB  
LSB  
B7  
B6  
B5  
B4  
B3  
B2  
B1  
B0  
显示地址  
1
1
1
1
1
1
1
1
1
1
1
1
1
1
1
1
1
1
1
1
1
1
1
1
1
1
1
1
0
0
0
0
0
0
0
0
1
1
1
1
1
1
0
0
0
0
1
1
1
1
0
0
0
0
1
1
0
0
1
1
0
0
1
1
0
0
1
1
0
0
0
1
0
1
0
1
0
1
0
1
0
1
0
1
00H  
01H  
02H  
03H  
04H  
05H  
06H  
07H  
08H  
09H  
0AH  
0BH  
0CH  
0DH  
无关项,  
填0  
该指令用来设置显示寄存器的地址。  
如果地址设为0EH 或更高,数据被忽略,直到有效地址被设定。  
上电时,地址默认设为00H。  
(4) 显示控制:  
MSB  
LSB  
B0  
B7  
B6  
B5  
B4  
B3  
B2  
B1  
功能  
说明  
1
1
1
1
1
1
1
1
1
1
0
0
0
0
0
0
0
0
0
0
0
0
0
0
1
1
1
1
0
0
1
1
0
0
1
1
0
1
0
1
0
1
0
1
设置脉冲宽度1/16  
设置脉冲宽度2/16  
设置脉冲宽度4/16  
设置脉冲宽度10/16  
设置脉冲宽度11/16  
设置脉冲宽度12/16  
设置脉冲宽度13/16  
设置脉冲宽度14/16  
显示关  
消光数量设置  
无关项,  
填0  
0
1
显示开关设置  
显示开  
八、串行数据传输格式:  
www.titanmec.com  
- 5 -  
深圳市天微电子有限公司  
王生 15818572651  
QQ 3103278211  
LED动控制专用电路  
TM1620B  
读取和接收1个BIT都在时钟的上升沿操作。  
数据接收(写数据)  
CLK  
DIO  
STB  
1
2
3
4
5
6
7
8
B0  
B1  
B2  
B3  
B4  
B5  
B6  
B7  
图(5)  
数据读取(读数据)  
CLK  
DIO  
STB  
1
2
``````  
``````  
8
B0  
B1  
B7  
B0  
B1  
B2  
B3  
送读按键命令  
Twait  
图(6)  
读取按键数据  
▲注意:读取数据时,从串行时钟CLK 的第8 个上升沿开始设置指令到CLK 下降沿读数据之间需要  
一个等待时间Twait(最小1μS)。  
九、 显示和键扫:  
(1) 显示:  
1、驱动共阴数码管:  
SEG1  
SEG2  
SEG3  
SEG4  
SEG5  
SEG6  
SEG12  
SEG1  
SEG2  
SEG3  
SEG4  
SEG5  
SEG6  
SEG12  
1
2
3
4
5
6
7
DPY  
a
a
b
c
d
e
f
b
g
GRID1  
GRID1  
e
c
d
f
g
[LEDgn]  
图(7)  
图7给出共阴数码管的连接示意图,如果让该数码管显示“0那你需要在GRID1为低电平  
的时候让SEG1,SEG2,SEG3,SEG4,SEG5,SEG6为高电平,SEG12为低电平,  
查看图(2)显示地址表格,只需在00H地址单元里面写数据3FH,01H地址单元里面写00H就可以让  
数码管显示“0。  
SEG8  
SEG7  
SEG6  
SEG5  
SEG4  
SEG3  
SEG2  
SEG1  
www.titanmec.com  
- 6 -  
深圳市天微电子有限公司  
王生 15818572651  
QQ 3103278211  
LED动控制专用电路  
TM1620B  
00H  
0
0
1
1
1
1
1
1
B7  
B6  
B5  
B4  
B3  
B2  
B1  
B0  
2、驱动共阳数码管:  
GRID1  
1
GRID2  
GRID1  
GRID2  
GRID3  
GRID4  
GRID5  
GRID6  
GRID7  
1
GRID3  
DPY  
a
1
A
B
C
D
E
F
f
b
GRID4  
SEG1  
SEG1  
g
1
e
c
d
GRID5  
[LEDgn]  
1
G
GRID6  
1
GRID7  
1
图(8)  
图8给出共阳数码管的连接示意图,如果让该数码管显示“0那你需要在GRID1,GRID2,  
GRID3,GRID4,GRID5,GRID6为低电平的时候让SEG1为高电平,在GRID7为低电平的时候让SEG1为  
低电平。要向地址单元00H,02H,04H,06H,08H,0AH里面分别写数据01H,其余的地址单元全部  
写数据00H。  
SEG8  
SEG7  
SEG6  
SEG5  
SEG4  
SEG3  
SEG2  
SEG1  
0
0
0
0
0
0
0
1
00H  
02H  
04H  
06H  
08H  
0AH  
0CH  
0
0
0
0
0
0
0
1
0
0
0
0
0
0
0
1
0
0
0
0
0
0
0
1
0
0
0
0
0
0
0
1
0
0
0
0
0
0
0
1
0
0
0
0
0
0
0
0
B7  
B6  
B5  
B4  
B3  
B2  
B1  
B0  
▲注意SEG1-11为P管开漏输出GRID1-7为N管开漏输出使用时候SEG1-11只能接LED的阳极,  
GRID只能接LED的阴极,不可反接。  
(2)键盘扫描:  
你可以按照图(9)用示波器观察观察SEG1/KS1和SEG2/KS2的输出波形,SEGN/KSN输出的波形  
www.titanmec.com  
- 7 -  
深圳市天微电子有限公司  
王生 15818572651  
QQ 3103278211  
LED动控制专用电路  
TM1620B  
见图(10。  
1
20  
GND  
2
GR1  
GR2  
GND  
GR3  
GR4  
19  
18  
17  
16  
15  
14  
13  
12  
11  
DIO  
3
4
5
6
7
8
9
10  
CLK  
STB  
K2  
VDD  
SEG1/ KS1  
SEG2/ KS2  
SEG3/ KS3  
SEG4/ KS4  
TM1620B  
VCC  
SEG14/GR5  
SEG13/GR6  
SEG12/GR7  
SEG6/ KS6  
SEG5/ KS5  
1
接示波器探头  
2
接示波器探头  
R1  
1k  
R1  
1k  
图(9)  
IC在键盘扫描的时候SEGN/KSN的波形:  
SEG1/KS1  
SEG2/KS2  
SEG3/KS3  
SEGN/KSN  
Tdisp=500us  
图(10)  
图(10)  
Tdisp和IC工作的振荡频率有关司TM1620B经过多次完善荡频率不完全一致500US  
仅仅提供参考,以实际测量为准。  
一般情况下使用图(11可以满足按键设计的要求。  
K2  
图(11)  
当S1被按下的时候,在第1个字节的B0读到“1如果多个按键被按下,将会读到多个“1,  
www.titanmec.com  
- 8 -  
深圳市天微电子有限公司  
王生 15818572651  
QQ 3103278211  
LED动控制专用电路  
TM1620B  
当S2,S3被按下的时候,可以在第1个字节的B1,B3读到“1。  
十、 应用时串行数据的传输:  
(1) 地址增加模式  
使用地址自动加1模式,设置地址实际上是设置传送的数据流存放的起始地址。起始地址命令  
字发送完毕,“STB”不需要置高紧跟着传数据,最多14BYTE,数据传送完毕才将“STB”置高。  
CLK  
DI O  
STB  
Command1  
Command2  
Command3  
Data1  
Data2  
```````  
Data n  
Command4  
Command1: 设置显示模式  
Command2: 设置数据命令  
Command3: 设置显示地址  
Data1~ n: 传输显示数据至Command3地址和后面的地址内(最多14 bytes)  
Command4: 显示控制命令  
(2) 固定地址模式  
使用固定地址模式,设置地址其实际上是设置需要传送的1BYTE数据存放的地址。地址发送完  
毕,“STB”不需要置高,紧跟着传1BYTE数据,数据传送完毕才将“STB”置高。然后重新设置第2  
个数据需要存放的地址,最多14BYTE数据传送完毕,“STB”置高。  
CLK  
DI O  
Command1  
Command2  
Command3  
Data1  
Command4  
Data2  
```````  
Command5  
STB  
Command1: 设置显示模式  
Command2: 设置数据命令  
Command3: 设置显示地址1  
Data1: 传输显示数据1至Command3地址内  
Command4: 设置显示地址2  
Data2: 传输显示数据2至Command4地址内  
Command5: 显示控制命令  
(3)读按键时序  
CLK  
DIO  
STB  
Command1  
Data1  
Data2  
Data3  
Command1: 设置显示模式  
Data1~3:读取按键数据  
(4)程序设计流程图:  
采用地址自动加1的程序设计流程图:  
www.titanmec.com  
- 9 -  
深圳市天微电子有限公司  
王生 15818572651  
QQ 3103278211  
LED动控制专用电路  
TM1620B  
开 始  
设 置 读 键 数 据  
命 令 ( 42H  
No  
初 始 化  
1BTYE内  
设 置 显 示 模  
式 ( 03H  
将 按 键 值 存 放 在  
MCU的 寄 存 器 中  
设 置 写 显 存 的 数 据 命 令 ,  
采 用 地 址 自 动 加 140H)  
读 完 4BYTE  
吗 ?  
设 置 起 始 地  
址 ( 0C0H  
No  
传 送 数 据  
有 按 键 被 按  
下 吗 ?  
14BYTE数 据 传  
送 完 毕 了 ?  
按 键 处 理 程  
No  
传 显 示 控 制 命 令 设 置  
结 束  
最 高 亮 度 ( 8FH  
采用固定地址的程序设计流程图:  
www.titanmec.com  
- 10 -  
深圳市天微电子有限公司  
王生 15818572651  
QQ 3103278211  
LED动控制专用电路  
TM1620B  
传 显 示 控 制 命 令 设 置  
最 高 亮 度 ( 8FH  
开 始  
设 置 读 键 数 据  
初 始 化  
命 令 ( 42H  
No  
设 置 显 示 模  
1BTYE内 容  
式 ( 03H  
设 置 写 显 存 的 数 据 命 令  
采 用 固 定 地 址 ( 44H  
将 按 键 值 存 放 在  
MCU的 寄 存 器 中  
设 置 地 址  
0C0H)  
读 完 4BYTE  
吗 ?  
传 送 1BYTE  
数 据  
有 按 键 被 按  
下 吗 ?  
重 新 设 置 地  
址 ( 0C1H  
传 送 1BYTE  
按 键 处 理 程  
数 据  
No  
……传 完 所  
有 的 数 据  
结 束  
十一.应用电路:  
TM1620B用于驱动共阴数码屏的典型应用电路(16):  
www.titanmec.com  
- 11 -  
深圳市天微电子有限公司  
王生 15818572651  
QQ 3103278211  
LED动控制专用电路  
TM1620B  
led1  
led2  
led3  
SEG1  
SEG2  
SEG3  
SEG4  
SEG5  
SEG6  
SEG1  
SEG2  
SEG3  
SEG4  
SEG5  
SEG6  
SEG1  
SEG2  
SEG3  
SEG4  
SEG5  
SEG6  
DPY  
a
DPY  
a
DPY  
a
a
b
c
d
a
b
c
d
a
b
c
d
GR1  
GR3  
GR5  
f
b
f
b
f
b
g
g
g
e
e
e
e
c
e
c
e
c
d
d
d
SEG12fg  
SEG12fg  
SEG12fg  
[LEDgn]  
[LEDgn]  
[LEDgn]  
led4  
led5  
led6  
SEG1  
SEG2  
SEG3  
SEG4  
SEG5  
SEG6  
SEG1  
SEG2  
SEG3  
SEG4  
SEG5  
SEG6  
SEG1  
SEG2  
SEG3  
SEG4  
SEG5  
SEG6  
DPY  
a
DPY  
a
DPY  
a
a
b
c
d
e
a
b
c
d
e
a
b
c
d
e
GR2  
GR4  
GR6  
f
b
f
b
f
b
g
g
g
e
c
e
c
e
c
d
d
d
SEG12fg  
SEG12fg  
SEG12fg  
[LEDgn]  
[LEDgn]  
[LEDgn]  
S1  
S4  
S2  
S5  
S3  
S6  
VCC  
10k  
10k  
10k  
R1  
K2  
R2  
tm1620b  
R3  
DIO  
CLK  
STB  
GR1  
GR2  
NC  
GR1  
DIO  
CLK  
STB  
K2  
GR2  
GND  
GR3  
GR4  
GR3  
GR4  
GR5  
GR6  
SEG12  
SEG6  
SEG5  
C1  
VCC K2  
SEG1  
101  
101  
101  
C2  
VDD  
SEG14/GR5  
VCC  
C3  
SEG1/ KS1 SEG13/GR6  
SEG2/ KS2 SEG12/GR7  
SEG3/ KS3  
SEG4/ KS4  
SEG2  
SEG3  
SEG4  
:滤波电容与芯片VDD  
SEG6/ KS6  
SEG5/ KS5  
GND之间回路尽量短  
.
C4  
100uF  
C5  
104  
图(16)  
TM1620B用于驱动共阳数码屏的典型应用电路(17):  
led1  
led2  
led3  
GR1  
GR2  
GR3  
GR4  
GR5  
GR6  
GR7  
GR1  
GR2  
GR3  
GR4  
GR5  
GR6  
GR7  
GR1  
GR2  
DPY  
a
DPY  
a
DPY  
a
a
b
c
d
e
a
b
c
d
a
b
c
d
e
SEG1  
SEG3  
GR3  
GR4  
GR5  
GR6  
GR7  
SEG5  
f
b
f
b
f
b
g
g
g
e
e
c
e
c
e
c
d
d
d
f
g
f
g
f
g
[LEDgn]  
[LEDgn]  
[LEDgn]  
led4  
led5  
led6  
GR1  
GR2  
GR3  
GR4  
GR5  
GR6  
GR7  
GR1  
GR2  
GR3  
GR4  
GR5  
GR6  
GR7  
GR1  
GR2  
GR3  
GR4  
GR5  
GR6  
GR7  
DPY  
a
DPY  
a
DPY  
a
a
b
c
d
a
b
c
d
a
b
c
d
SEG2  
SEG4  
SEG12  
f
b
f
b
f
b
g
g
g
e
e
e
e
c
e
c
e
c
d
d
d
f
g
f
g
f
g
[LEDgn]  
[LEDgn]  
[LEDgn]  
S1  
S4  
S2  
S5  
S3  
S6  
VCC  
10k  
10k  
R1  
K2  
R2  
tm1620b  
10k  
R3  
DIO  
CLK  
STB  
GR1  
GR2  
NC  
GR1  
DIO  
CLK  
STB  
K2  
GR2  
GND  
GR3  
GR4  
GR3  
GR4  
GR5  
GR6  
GR7  
SEG6  
SEG5  
101  
101  
101  
C1  
VCC K2  
SEG1  
C2  
VDD  
SEG14/GR5  
VCC  
C3  
SEG1/ KS1 SEG13/GR6  
SEG2/ KS2 SEG12/GR7  
SEG3/ KS3  
SEG4/ KS4  
SEG2  
SEG3  
SEG4  
:滤波电容与芯片VDD  
SEG6/ KS6  
SEG5/ KS5  
GND之间回路尽量短  
.
C4  
100uF  
C5  
104  
图(17)  
www.titanmec.com  
- 12 -  
深圳市天微电子有限公司  
王生 15818572651  
QQ 3103278211  
LED动控制专用电路  
TM1620B  
▲注意:1、VDD、GND之间滤波电容在PCB板布线应尽量靠近TM1620B芯片放置,加强滤波效果。  
2、连接在DIO、CLK、STB通讯口上三个100P电容可以降低对通讯口的干扰。  
3、因蓝光数码管的导通压降压约为3V,因此TM1620B供电应选用5V。  
十二、 电气参数:  
极限参数(Ta = 25℃, Vss = 0 V)  
参数  
逻辑电源电压  
逻辑输入电压  
LED Seg 驱动输出电流  
LED Grid 驱动输出电流  
功率损耗  
符号  
VDD  
VI1  
IO1  
IO2  
PD  
范围  
-0.5 ~+7.0  
-0.5 ~ VDD + 0.5  
-50  
单位  
V
V
mA  
mA  
mW  
+200  
400  
工作温度  
Topt  
Tstg  
-40 ~ +80  
-65 ~+150  
储存温度  
正常工作范围(Ta = -20 ~ +70℃,Vss = 0 V)  
参数  
符号  
最小  
典型  
最大  
单位  
V
测试条件  
逻辑电源电压  
VDD  
5
-
-
高电平输入电压  
低电平输入电压  
VIH  
VIL  
0.7 VDD  
0
-
-
VDD  
V
V
0.3 VDD  
-
电气特性(Ta = -20 ~ +70℃,VDD = 4.5 ~ 5.5 V, Vss = 0 V  
参数  
符号  
最小  
典型  
最大  
单位  
测试条件  
Seg1~Seg11,  
Vo = vdd-2V  
高电平输出电流  
Ioh1  
20  
25  
40  
mA  
www.titanmec.com  
- 13 -  
深圳市天微电子有限公司  
王生 15818572651  
QQ 3103278211  
LED动控制专用电路  
TM1620B  
Seg1~Seg11,  
Ioh2  
20  
30  
50  
mA  
Vo = vdd-3V  
Grid1~Grid6  
Vo=0.3V  
低电平输入电流  
低电平输出电流  
IOL1  
80  
4
140  
-
-
-
mA  
mA  
Idout  
VO = 0.4V,dout  
高电平输出电流容  
许量  
VO = VDD – 3V,  
Seg1~Seg11  
Itolsg  
-
-
-
5
%
输出下拉电阻  
输入电流  
RL  
II  
10  
-
KΩ  
μA  
V
K1~K3  
±1  
VI = VDD / VSS  
CLK,DIN,STB  
0.7  
VDD  
高电平输入电压  
VIH  
-
0.3  
VDD  
低电平输入电压  
滞后电压  
VIL  
VH  
-
-
-
-
0.35  
-
V
V
CLK,DIN,STB  
CLK,DIN,STB  
无负载,显示关  
-
5
动态电流损耗  
IDDdyn  
mA  
开关特性(Ta = -20 ~ +70℃,VDD = 4.5 ~ 5.5 V)  
参数  
符号  
fosc  
tPLZ  
最小  
典型  
500  
-
最大  
单位  
KHz  
ns  
测试条件  
R = 16.5 KΩ  
CLK → DOUT  
振荡频率  
-
-
-
300  
传输延迟时间  
tPZL  
-
-
-
-
100  
2
ns  
CL = 15pF, RL = 10K Ω  
TTZH 1  
μs  
Seg1~Seg11  
CL =  
300p F  
上升时间  
Grid1~Grid4  
Seg12/Grid7~  
Seg14/Grid5  
TTZH  
2
-
-
0.5  
μs  
www.titanmec.com  
- 14 -  
深圳市天微电子有限公司  
王生 15818572651  
QQ 3103278211  
LED动控制专用电路  
TM1620B  
下降时间  
TTHZ  
-
-
120  
μs  
CL = 300pF,Segn, Gridn  
最大时钟频率  
输入电容  
Fmax  
CI  
1
-
-
-
-
MHz  
pF  
占空比50%  
-
15  
时序特性(Ta = -20 +70℃,VDD = 4.5 5.5 V)  
参数  
符号  
PWCLK  
PWSTB  
tSETUP  
tHOLD  
最小 典型 最大 单位  
测试条件  
时钟脉冲宽度  
选通脉冲宽度  
数据建立时间  
数据保持时间  
400  
1
-
-
-
-
-
-
-
-
ns  
μs  
ns  
-
-
-
-
100  
100  
ns  
tCLK  
STB  
CLK →STB 时间  
1
1
-
-
-
-
μs  
μs  
CLK↑→STB↑  
CLK↑→CLK↓  
等待时间  
tWAIT  
时序波形图:  
www.titanmec.com  
- 15 -  
深圳市天微电子有限公司  
王生 15818572651  
QQ 3103278211  
LED动控制专用电路  
TM1620B  
十三 IC装示意图:  
z All specs and applications shown above subject to change without prior notice.  
(以上电路及规格仅供参考,如本公司进行修正,恕不另行通知。)  
本应用文档最后更新日期为:2008-8-4  
www.titanmec.com  
- 16 -  

相关型号:

TMJ212CB7225KG8T

Soft Termination MLCC for Telecommunications infrastructure and Industrial equipment / Medical devices

Warning: Undefined variable $rtag in /www/wwwroot/website_ic37/www.icpdf.com/pdf/pdf/index.php on line 217
-
TAIYO YUDEN

TMJ212CB7225KGHT

CAP CER 2.2UF 25V X7R 0805

Warning: Undefined variable $rtag in /www/wwwroot/website_ic37/www.icpdf.com/pdf/pdf/index.php on line 217
-
TAIYO YUDEN

TMJ212CB7225MG8T

Soft Termination MLCC for Telecommunications infrastructure and Industrial equipment / Medical devices

Warning: Undefined variable $rtag in /www/wwwroot/website_ic37/www.icpdf.com/pdf/pdf/index.php on line 217
-
TAIYO YUDEN

TMJ212CB7225MGHT

Soft Termination MLCC for Automotive

Warning: Undefined variable $rtag in /www/wwwroot/website_ic37/www.icpdf.com/pdf/pdf/index.php on line 217
-
TAIYO YUDEN

TMJ316BB7106KL8T

Soft Termination MLCC for Telecommunications infrastructure and Industrial equipment / Medical devices

Warning: Undefined variable $rtag in /www/wwwroot/website_ic37/www.icpdf.com/pdf/pdf/index.php on line 217
-
TAIYO YUDEN

TMJ316BB7106KLHT

CAP CER 10UF 25V X7R 1206

Warning: Undefined variable $rtag in /www/wwwroot/website_ic37/www.icpdf.com/pdf/pdf/index.php on line 217
-
TAIYO YUDEN

TMJ316BB7106ML8T

Soft Termination MLCC for Telecommunications infrastructure and Industrial equipment / Medical devices

Warning: Undefined variable $rtag in /www/wwwroot/website_ic37/www.icpdf.com/pdf/pdf/index.php on line 217
-
TAIYO YUDEN

TMJ316BB7106MLHT

CAP CER 10UF 25V X7R 1206

Warning: Undefined variable $rtag in /www/wwwroot/website_ic37/www.icpdf.com/pdf/pdf/index.php on line 217
-
TAIYO YUDEN

TMJ316BB7474KL8T

Soft Termination MLCC for Telecommunications infrastructure and Industrial equipment / Medical devices

Warning: Undefined variable $rtag in /www/wwwroot/website_ic37/www.icpdf.com/pdf/pdf/index.php on line 217
-
TAIYO YUDEN

TMJ316BB7474KLHT

CAP CER 0.47UF 25V X7R 1206

Warning: Undefined variable $rtag in /www/wwwroot/website_ic37/www.icpdf.com/pdf/pdf/index.php on line 217
-
TAIYO YUDEN

TMJ316BB7474ML8T

Soft Termination MLCC for Telecommunications infrastructure and Industrial equipment / Medical devices

Warning: Undefined variable $rtag in /www/wwwroot/website_ic37/www.icpdf.com/pdf/pdf/index.php on line 217
-
TAIYO YUDEN

TMJ316BB7474MLHT

Soft Termination MLCC for Automotive

Warning: Undefined variable $rtag in /www/wwwroot/website_ic37/www.icpdf.com/pdf/pdf/index.php on line 217
-
TAIYO YUDEN