FQD4N50TF [FAIRCHILD]

Power Field-Effect Transistor, 2.6A I(D), 500V, 2.7ohm, 1-Element, N-Channel, Silicon, Metal-oxide Semiconductor FET, TO-252, DPAK-3;
FQD4N50TF
型号: FQD4N50TF
厂家: FAIRCHILD SEMICONDUCTOR    FAIRCHILD SEMICONDUCTOR
描述:

Power Field-Effect Transistor, 2.6A I(D), 500V, 2.7ohm, 1-Element, N-Channel, Silicon, Metal-oxide Semiconductor FET, TO-252, DPAK-3

文件: 总9页 (文件大小:693K)
中文:  中文翻译
下载:  下载PDF数据表文档文件
ꢀꢁꢂꢃꢄꢅꢆꢇꢇꢇ  
ꢀꢁ  
QFET  
ꢀꢁꢂꢃꢄꢅꢆꢇꢈꢇꢀꢁꢉꢃꢄꢅꢆ  
ꢀꢁꢁꢂꢃꢄꢅꢆꢇꢈꢉꢉꢊꢋꢃꢌꢍꢎꢏꢐꢑ  
ꢀꢁꢂꢁꢃꢄꢅꢆꢇꢁꢈꢉꢃꢊꢋꢌꢊꢍꢂ  
ꢎꢁꢄꢌꢏꢃꢁꢈ  
ꢀꢁꢂꢃꢂꢄ ꢅꢆꢇꢁꢈꢉꢉꢂꢊꢄ ꢂꢉꢁꢈꢉꢋꢂꢌꢂꢉꢍꢄ ꢌꢎꢏꢂꢄ ꢐꢎꢑꢂꢒꢄ ꢓꢔꢂꢊꢏꢄ ꢂꢓꢓꢂꢋꢍ  
ꢍꢒꢈꢉꢃꢔꢃꢍꢎꢒꢃꢄ ꢈꢒꢂꢄ ꢐꢒꢎꢏꢕꢋꢂꢏꢄ ꢕꢃꢔꢉꢖꢄ ꢗꢈꢔꢒꢋꢁꢔꢊꢏꢘꢃꢄ ꢐꢒꢎꢐꢒꢔꢂꢍꢈꢒꢙꢚ  
ꢐꢊꢈꢉꢈꢒꢄꢃꢍꢒꢔꢐꢂꢚꢄꢛꢜꢝꢞꢄꢍꢂꢋꢁꢉꢎꢊꢎꢖꢙ  
$
$
$
$
$
$
% &'ꢚꢄ())*ꢚꢄ+  
ꢄ,ꢄ% -ꢄ.* ꢄ,ꢄ/)ꢄ*  
ꢀꢁꢂꢃꢄꢅ ꢆꢁ  
0ꢎꢑꢄꢖꢈꢍꢂꢄꢋꢁꢈꢒꢖꢂꢄ1ꢄꢍꢙꢐꢔꢋꢈꢊꢄ/)ꢄꢉꢇ2  
0ꢎꢑꢄꢇꢒꢃꢃꢄ1ꢄꢍꢙꢐꢔꢋꢈꢊꢄꢄ& )ꢄꢐꢗ2  
ꢗꢈꢃꢍꢄꢃꢑꢔꢍꢋꢁꢔꢉꢖ  
ꢀꢁꢔꢃꢄꢈꢏ!ꢈꢉꢋꢂꢏꢄꢍꢂꢋꢁꢉꢎꢊꢎꢖꢙꢄꢁꢈꢃꢄ"ꢂꢂꢉꢄꢂꢃꢐꢂꢋꢔꢈꢊꢊꢙꢄꢍꢈꢔꢊꢎꢒꢂꢏꢄꢍꢎ  
ꢌꢔꢉꢔꢌꢔ#ꢂꢄ ꢎꢉꢆꢃꢍꢈꢍꢂꢄ ꢒꢂꢃꢔꢃꢍꢈꢉꢋꢂꢚꢄ ꢐꢒꢎ!ꢔꢏꢂꢄ ꢃꢕꢐꢂꢒꢔꢎꢒꢄ ꢃꢑꢔꢍꢋꢁꢔꢉꢖ  
ꢐꢂꢒꢓꢎꢒꢌꢈꢉꢋꢂꢚꢄ ꢈꢉꢏꢄ ꢑꢔꢍꢁꢃꢍꢈꢉꢏꢄ ꢁꢔꢖꢁꢄ ꢂꢉꢂꢒꢖꢙꢄ ꢐꢕꢊꢃꢂꢄ ꢔꢉꢄ ꢍꢁꢂ  
ꢈ!ꢈꢊꢈꢉꢋꢁꢂꢄꢈꢉꢏꢄꢋꢎꢌꢌꢕꢍꢈꢍꢔꢎꢉꢄꢌꢎꢏꢂ ꢄꢀꢁꢂꢃꢂꢄꢏꢂ!ꢔꢋꢂꢃꢄꢈꢒꢂꢄꢑꢂꢊꢊ  
ꢃꢕꢔꢍꢂꢏꢄꢓꢎꢒꢄꢁꢔꢖꢁꢄꢂꢓꢓꢔꢋꢔꢂꢉꢋꢙꢄꢃꢑꢔꢍꢋꢁꢄꢌꢎꢏꢂꢄꢐꢎꢑꢂꢒꢄꢃꢕꢐꢐꢊꢙꢚꢄꢐꢎꢑꢂꢒ  
ꢓꢈꢋꢍꢎꢒꢄ ꢋꢎꢒꢒꢂꢋꢍꢔꢎꢉꢚꢄ ꢂꢊꢂꢋꢍꢒꢎꢉꢔꢋꢄ ꢊꢈꢌꢐꢄ "ꢈꢊꢊꢈꢃꢍꢄ "ꢈꢃꢂꢏꢄ ꢎꢉꢄ ꢁꢈꢊꢓ  
"ꢒꢔꢏꢖꢂ  
/))3ꢄꢈ!ꢈꢊꢈꢉꢋꢁꢂꢄꢍꢂꢃꢍꢂꢏ  
4ꢌꢐꢒꢎ!ꢂꢏꢄꢏ!5ꢏꢍꢄꢋꢈꢐꢈ"ꢔꢊꢔꢍꢙ  
!
"
! "  
"
"
!
ꢆꢆꢀꢁꢂꢃꢄꢅ  
ꢀꢁꢂꢃꢄꢅꢆꢇꢅꢈ  
ꢀꢆꢂꢃꢄꢅ  
ꢀꢁꢉꢃꢄꢅꢆꢇꢅꢈ  
!
ꢒꢓꢔꢕꢋꢖꢗꢊꢃꢌꢈꢘꢙꢚꢖꢚꢃꢛꢈꢗꢙꢉꢜꢔꢀꢀꢀꢁ ꢀꢂꢀꢃꢄꢅꢆꢀꢇꢈꢉꢊꢋꢋꢀꢌꢍꢎꢊꢏꢐꢑꢋꢊꢀꢈꢌꢍꢊꢒ  
ꢂꢃꢄꢅꢆꢇ  
ꢈꢉꢊꢉꢄꢋꢌꢋꢊ  
ꢍꢎꢏꢐꢑꢒꢓꢔꢕꢔꢍꢎꢖꢐꢑꢒꢓ  
ꢖꢗꢘꢌꢙ  
*
*
4
ꢛꢒꢈꢔꢉꢆꢞꢎꢕꢒꢋꢂꢄ*ꢎꢊꢍꢈꢖꢂ  
())  
% &  
ꢀꢁꢁ  
ꢆꢄꢇꢎꢉꢍꢔꢉꢕꢎꢕꢃꢄ1ꢀ ꢄ,ꢄ%(6ꢇ2  
ꢛꢒꢈꢔꢉꢄꢇꢕꢒꢒꢂꢉꢍꢄ  
'
ꢆꢄꢇꢎꢉꢍꢔꢉꢕꢎꢕꢃꢄ1ꢀ ꢄ,ꢄ/))6ꢇ2  
/ &7  
/) 7  
±:)  
%&)  
'
4
ꢓꢔꢌꢍꢊꢀꢕꢖ  
ꢛꢒꢈꢔꢉꢄꢇꢕꢒꢒꢂꢉꢍꢄꢄ ꢆꢄ8ꢕꢊꢃꢂꢏ  
9ꢈꢍꢂꢆꢞꢎꢕꢒꢋꢂꢄ*ꢎꢊꢍꢈꢖꢂ  
'
ꢀꢈ  
*
;
4
*
ꢆꢁꢁ  
ꢉꢁ  
ꢓꢔꢌꢍꢊꢀꢃꢖ  
ꢓꢔꢌꢍꢊꢀꢕꢖ  
ꢓꢔꢌꢍꢊꢀꢕꢖ  
ꢓꢔꢌꢍꢊꢀꢗꢖ  
ꢞꢔꢉꢖꢊꢂꢄ8ꢕꢊꢃꢂꢏꢄ'!ꢈꢊꢈꢉꢋꢁꢂꢄ;ꢉꢂꢒꢖꢙ  
'!ꢈꢊꢈꢉꢋꢁꢂꢄꢇꢕꢒꢒꢂꢉꢍ  
ꢌ<  
'
% &  
ꢉꢊ  
;
+ꢂꢐꢂꢍꢔꢍꢔ!ꢂꢄ'!ꢈꢊꢈꢉꢋꢁꢂꢄ;ꢉꢂꢒꢖꢙ  
8ꢂꢈ=ꢄꢛꢔꢎꢏꢂꢄ+ꢂꢋꢎ!ꢂꢒꢙꢄꢏ!5ꢏꢍ  
7 (  
ꢌ<  
*5ꢉꢃ  
?
ꢉꢊ  
ꢏ!5ꢏꢍ  
7 (  
8ꢎꢑꢂꢒꢄꢛꢔꢃꢃꢔꢐꢈꢍꢔꢎꢉꢄ1ꢀ ꢄ,ꢄ%(6ꢇ2ꢄ>  
% (  
8
8ꢎꢑꢂꢒꢄꢛꢔꢃꢃꢔꢐꢈꢍꢔꢎꢉꢄ1ꢀ ꢄ,ꢄ%(6ꢇ2  
7(  
?
ꢆꢄꢛꢂꢒꢈꢍꢂꢄꢈ"ꢎ!ꢂꢄ%(6ꢇ  
ꢝꢐꢂꢒꢈꢍꢔꢉꢖꢄꢈꢉꢏꢄꢞꢍꢎꢒꢈꢖꢂꢄꢌꢐꢂꢒꢈꢍꢕꢒꢂꢄ+ꢈꢉꢖꢂ  
) :&  
ꢆ((ꢄꢍꢎꢄ@/()  
?56ꢇ  
6ꢇ  
 ꢚꢄꢀ  
ꢁꢌꢆ  
ꢜꢈAꢔꢌꢕꢌꢄꢊꢂꢈꢏꢄꢍꢂꢌꢐꢂꢒꢈꢍꢕꢒꢂꢄꢓꢎꢒꢄꢃꢎꢊꢏꢂꢒꢔꢉꢖꢄꢐꢕꢒꢐꢎꢃꢂꢃꢚ  
:))  
6ꢇ  
/5Bꢀꢁꢓꢒꢎꢌꢄꢋꢈꢃꢂꢄꢓꢎꢒꢄ(ꢄꢃꢂꢋꢎꢉꢏꢃ  
ꢑꢇꢊꢝꢚꢈꢋꢃꢆꢇꢈꢝꢈꢞꢗꢊꢝꢙꢔꢗꢙꢞꢔꢃ  
ꢂꢃꢄꢅꢆꢇ  
ꢈꢉꢊꢉꢄꢋꢌꢋꢊ  
ꢀꢃꢚ  
ꢆꢆ  
ꢁꢉꢛ  
% -B  
()  
ꢖꢗꢘꢌꢙ  
6ꢇ?  
6ꢇ?  
6ꢇ?  
+
+
+
ꢀꢁꢂꢒꢌꢈꢊꢄ+ꢂꢃꢔꢃꢍꢈꢉꢋꢂꢚꢄ<ꢕꢉꢋꢍꢔꢎꢉꢆꢍꢎꢆꢇꢈꢃꢂ  
ꢀꢁꢂꢒꢌꢈꢊꢄ+ꢂꢃꢔꢃꢍꢈꢉꢋꢂꢚꢄ<ꢕꢉꢋꢍꢔꢎꢉꢆꢍꢎꢆ'ꢌ"ꢔꢂꢉꢍꢄ>  
ꢀꢁꢂꢒꢌꢈꢊꢄ+ꢂꢃꢔꢃꢍꢈꢉꢋꢂꢚꢄ<ꢕꢉꢋꢍꢔꢎꢉꢆꢍꢎꢆ'ꢌ"ꢔꢂꢉꢍ  
θꢋꢇ  
ꢆꢆ  
θꢋꢉ  
ꢆꢆ  
//)  
θꢋꢉ  
ꢀꢁꢂꢃꢄꢅꢁꢆꢇꢈꢅꢉꢄꢊꢁꢇꢅꢁꢉꢃꢄꢁꢆꢋꢅꢋꢆꢈꢆꢁꢌꢍꢊꢁꢎꢋꢏꢄꢁꢐꢄꢑꢇꢆꢆꢄꢅꢊꢄꢊꢁꢒꢓꢔꢕꢁꢖꢇꢈꢅꢉꢗ  
ꢀꢁꢂꢂꢂꢃꢄꢅꢆꢇꢈꢉꢆꢊꢋꢃꢌꢍꢎꢆꢈꢏꢐꢋꢑꢈꢒꢏꢇꢃꢓꢐꢒꢍꢇꢐꢅꢒꢆꢏꢐꢅꢊ  
ꢔꢍꢕꢖꢃꢗꢘꢃꢗꢙꢇꢆꢊꢃꢁꢂꢂꢂ  
ꢐꢋꢊꢞꢗꢝꢙꢞꢈꢋꢃꢆꢇꢈꢝꢈꢞꢗꢊꢝꢙꢔꢗꢙꢞꢔꢀꢀꢀꢀꢀ ꢀꢂꢀꢃꢄꢅꢆꢀꢇꢈꢉꢊꢋꢋꢀꢌꢍꢎꢊꢏꢐꢑꢋꢊꢀꢈꢌꢍꢊꢒ  
ꢂꢃꢄꢅꢆꢇ  
ꢈꢉꢊꢉꢄꢋꢌꢋꢊ  
ꢀꢋꢙꢌꢔꢝꢆꢗꢞꢘꢌꢘꢆꢗꢙ  
ꢁꢘꢗ  
 
ꢁꢉꢛ  
ꢖꢗꢘꢌꢙ  
ꢐꢑꢑꢆꢒꢓꢄꢃꢄꢉꢌꢁꢃꢊꢈꢌꢊꢉꢈ  
C*  
*
ꢄ,ꢄ)ꢄ*ꢚꢄ4 ꢄ,ꢄ%()ꢄµ'  
ꢆꢁ   
ꢛꢒꢈꢔꢉꢆꢞꢎꢕꢒꢋꢂꢄCꢒꢂꢈ=ꢏꢎꢑꢉꢄ*ꢎꢊꢍꢈꢖꢂꢄ  
())  
ꢆꢆ  
ꢆꢆ  
ꢆꢆ  
ꢆꢆ  
*
ꢀꢁꢁ  
C*  
Cꢒꢂꢈ=ꢏꢎꢑꢉꢄ*ꢎꢊꢍꢈꢖꢂꢄꢌꢐꢂꢒꢈꢍꢕꢒꢂꢄ  
ꢇꢎꢂꢓꢓꢔꢋꢔꢂꢉꢍꢄ  
ꢀꢁꢁ  
4 ꢄ,ꢄ%()ꢄµ'ꢚꢄ+ꢂꢓꢂꢒꢂꢉꢋꢂꢏꢄꢍꢎꢄ%(6ꢇ  
) :B  
*56ꢇ  
5ꢄꢄꢄ  
4
*
*
*
*
ꢄ,ꢄ())ꢄ*ꢄ* ꢄ,ꢄ)ꢄ*  
ꢆꢆ  
ꢆꢆ  
ꢆꢆ  
ꢆꢆ  
ꢆꢆ  
ꢆꢆ  
ꢆꢆ  
ꢆꢆ  
/
µ'  
µ'  
ꢉ'  
ꢉ'  
ꢀꢁꢁ  
ꢀꢁ  
ꢀꢁ  
ꢆꢁ  
ꢆꢁ  
ꢆꢁ  
Dꢂꢒꢎꢄ9ꢈꢍꢂꢄ*ꢎꢊꢍꢈꢖꢂꢄꢛꢒꢈꢔꢉꢄꢇꢕꢒꢒꢂꢉꢍ  
ꢄ,ꢄ7))ꢄ*ꢄꢀ ꢄ,ꢄ/%(6ꢇ  
/)  
4
ꢄ,ꢄ:)ꢄ*ꢚꢄ* ꢄ,ꢄ)ꢄ*ꢄ  
ꢀꢁ  
9ꢈꢍꢂꢆCꢎꢏꢙꢄ0ꢂꢈ=ꢈꢖꢂꢄꢇꢕꢒꢒꢂꢉꢍꢚꢄꢗꢎꢒꢑꢈꢒꢏ  
9ꢈꢍꢂꢆCꢎꢏꢙꢄ0ꢂꢈ=ꢈꢖꢂꢄꢇꢕꢒꢒꢂꢉꢍꢚꢄ+ꢂ!ꢂꢒꢃꢂ  
/))  
ꢆ/))  
ꢆꢁꢁꢎ  
4
ꢄ,ꢄꢆ:)ꢄ*ꢄ* ꢄ,ꢄ)ꢄ*ꢄ  
ꢀꢁ  
ꢆꢁꢁꢊ  
ꢐꢂꢆꢒꢓꢄꢃꢄꢉꢌꢁꢃꢊꢈꢌꢊꢉꢈꢆꢆꢆ  
*
*
*
*
ꢄ,ꢄ* ꢚꢄ4 ꢄ,ꢄ%()ꢄµ'  
9ꢈꢍꢂꢄꢀꢁꢒꢂꢃꢁꢎꢊꢏꢄ*ꢎꢊꢍꢈꢖꢂꢄ  
: )  
ꢆꢆ  
ꢆꢆ  
( )  
% -  
ꢆꢆ  
*
ꢆꢁꢂꢏꢐꢅ  
ꢀꢁ  
ꢆꢁ  
ꢀꢁ  
ꢆꢁ  
+
ꢞꢍꢈꢍꢔꢋꢄꢛꢒꢈꢔꢉꢆꢞꢎꢕꢒꢋꢂꢄ  
ꢝꢉꢆ+ꢂꢃꢔꢃꢍꢈꢉꢋꢂ  
ꢀꢁꢂꢃꢄꢅ  
ꢄ,ꢄ/)ꢄ*ꢚꢄ4 ꢄ,ꢄ/ :ꢄ'  
% )  
% &  
ꢄ,ꢄ()ꢄ*ꢚꢄ4 ꢄ,ꢄ/ :ꢄ'ꢄꢄꢀꢀꢀꢀꢀꢀꢀꢀꢀ  
ꢓꢔꢌꢍꢊꢀꢘꢖ  
ꢗꢎꢒꢑꢈꢒꢏꢄꢀꢒꢈꢉꢃꢋꢎꢉꢏꢕꢋꢍꢈꢉꢋꢂ  
ꢆꢆ  
ꢎꢁ  
ꢇꢔꢂꢄꢕꢊꢉꢆꢒꢓꢄꢃꢄꢉꢌꢁꢃꢊꢈꢌꢊꢉꢈ  
4ꢉꢐꢕꢍꢄꢇꢈꢐꢈꢋꢔꢍꢈꢉꢋꢂ  
ꢆꢆ  
ꢆꢆ  
ꢆꢆ  
:()  
((  
&
7&)  
-)  
B
ꢐꢗ  
ꢐꢗ  
ꢐꢗ  
ꢑꢒꢒ  
*
ꢄ,ꢄ%(ꢄ*ꢚꢄ* ꢄ,ꢄ)ꢄ*ꢚꢄ  
ꢆꢁ  
ꢀꢁ  
ꢝꢕꢍꢐꢕꢍꢄꢇꢈꢐꢈꢋꢔꢍꢈꢉꢋꢂ  
ꢃꢒꢒ  
ꢓꢒꢒ  
ꢓꢄ,ꢄ/ )ꢄꢜE#  
ꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀ  
+ꢂ!ꢂꢒꢃꢂꢄꢀꢒꢈꢉꢃꢓꢂꢒꢄꢇꢈꢐꢈꢋꢔꢍꢈꢉꢋꢂ  
ꢖꢗꢊꢌꢉꢓꢊꢂꢘꢆꢒꢓꢄꢃꢄꢉꢌꢁꢃꢊꢈꢌꢊꢉꢈꢆꢆꢆ  
ꢀꢕꢒꢉꢆꢝꢉꢄꢛꢂꢊꢈꢙꢄꢀꢔꢌꢂ  
ꢀꢕꢒꢉꢆꢝꢉꢄ+ꢔꢃꢂꢄꢀꢔꢌꢂ  
ꢀꢕꢒꢉꢆꢝꢓꢓꢄꢛꢂꢊꢈꢙꢄꢀꢔꢌꢂ  
ꢀꢕꢒꢉꢆꢝꢓꢓꢄꢗꢈꢊꢊꢄꢀꢔꢌꢂ  
ꢍꢈꢊꢄ9ꢈꢍꢂꢄꢇꢁꢈꢒꢖꢂ  
9ꢈꢍꢂꢆꢞꢎꢕꢒꢋꢂꢄꢇꢁꢈꢒꢖꢂ  
9ꢈꢍꢂꢆꢛꢒꢈꢔꢉꢄꢇꢁꢈꢒꢖꢂ  
ꢆꢆ  
ꢆꢆ  
ꢆꢆ  
ꢆꢆ  
ꢆꢆ  
ꢆꢆ  
ꢆꢆ  
/%  
7(  
%)  
:)  
/)  
% (  
7 -  
:)  
/))  
()  
-)  
/:  
ꢆꢆ  
ꢉꢃ  
ꢉꢃ  
ꢔꢂꢃꢄꢅ  
*
ꢄ,ꢄ%()ꢄ*ꢚꢄ4 ꢄ,ꢄ: 7ꢄ'ꢚ  
ꢀꢀ  
+ ꢄ,ꢄ%(ꢄΩ  
ꢉꢃ  
ꢔꢂꢃꢕꢕꢅ  
ꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢊꢀꢘꢙꢀꢄꢖ  
ꢉꢃ  
F
F
F
ꢉꢇ  
ꢉꢇ  
ꢉꢇ  
*
*
ꢄ,ꢄ7))ꢄ*ꢄ4 ꢄ,ꢄ: 7ꢄ'ꢚ  
ꢀꢁ  
ꢄ,ꢄ/)ꢄ*ꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀ  
ꢖꢒ  
ꢖꢔ  
ꢆꢁ  
ꢀꢓꢔꢌꢍꢊꢀꢘꢙꢀꢄꢖ  
ꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀ  
ꢆꢆ  
ꢇꢃꢄꢊꢂꢙꢖꢍꢏꢃꢉꢁꢆꢇꢊꢍꢚꢁꢆꢒꢓꢄꢃꢄꢉꢌꢁꢃꢊꢈꢌꢊꢉꢈꢆꢄꢂꢚꢆꢛꢄꢜꢊꢕꢏꢕꢆꢝꢄꢌꢊꢂꢘꢈ  
4
ꢜꢈAꢔꢌꢕꢌꢄꢇꢎꢉꢍꢔꢉꢕꢎꢕꢃꢄꢛꢒꢈꢔꢉꢆꢞꢎꢕꢒꢋꢂꢄꢛꢔꢎꢏꢂꢄꢗꢎꢒꢑꢈꢒꢏꢄꢇꢕꢒꢒꢂꢉꢍ  
ꢜꢈAꢔꢌꢕꢌꢄ8ꢕꢊꢃꢂꢏꢄꢛꢒꢈꢔꢉꢆꢞꢎꢕꢒꢋꢂꢄꢛꢔꢎꢏꢂꢄꢗꢎꢒꢑꢈꢒꢏꢄꢇꢕꢒꢒꢂꢉꢍ  
ꢆꢆ  
ꢆꢆ  
ꢆꢆ  
ꢆꢆ  
ꢆꢆ  
ꢆꢆ  
ꢆꢆ  
% &  
/) 7  
/ 7  
ꢆꢆ  
'
'
4
ꢁꢈ  
*
*
*
ꢄ,ꢄ)ꢄ*ꢚꢄ4 ꢄ,ꢄ% &ꢄ'ꢄꢄ  
ꢛꢒꢈꢔꢉꢆꢞꢎꢕꢒꢋꢂꢄꢛꢔꢎꢏꢂꢄꢗꢎꢒꢑꢈꢒꢏꢄ*ꢎꢊꢍꢈꢖꢂ  
+ꢂ!ꢂꢒꢃꢂꢄ+ꢂꢋꢎ!ꢂꢒꢙꢄꢀꢔꢌꢂ  
ꢆꢆ  
*
ꢁꢀ  
ꢆꢁ  
ꢆꢁ  
ꢄ,ꢄ)ꢄ*ꢚꢄ4 ꢄ,ꢄ: 7ꢄ'ꢚ  
%/)  
/ /(  
ꢉꢃ  
µ  
ꢓꢓ  
ꢀꢓꢔꢌꢍꢊꢀꢘꢖ  
ꢏ4 ꢄ5ꢄꢏꢍꢄ,ꢄ/))ꢄ'5µꢃꢄꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀ  
F
+ꢂ!ꢂꢒꢃꢂꢄ+ꢂꢋꢎ!ꢂꢒꢙꢄꢇꢁꢈꢒꢖꢂ  
ꢆꢆ  
ꢓꢓ  
ꢀꢁꢂꢃꢄꢅ  
ꢕꢚꢀꢛꢊꢜꢊꢍꢑꢍꢑꢝꢊꢀꢛꢞꢍꢑꢈ ꢀ!ꢀ"ꢇꢉꢋꢊꢀꢐꢑꢒꢍꢎꢀꢉꢑ#ꢑꢍꢊꢒꢀ$%ꢀ#ꢞ&ꢑ#ꢇ#ꢀ'ꢇꢈ(ꢍꢑꢌꢈꢀꢍꢊ#ꢜꢊꢏꢞꢍꢇꢏꢊ  
ꢃꢚꢀ)ꢀꢂꢀ*+#,ꢙꢀ- ꢀꢂꢀꢃꢚ*.ꢙꢀ/ ꢀꢂꢀꢄ0/ꢙꢀꢛ ꢀꢂꢀꢃꢄꢀΩ, 1ꢍꢞꢏꢍꢑꢈ ꢀꢀꢁ ꢀꢂꢀꢃꢄꢅꢆ  
ꢁꢂ  
ꢃꢃ  
1ꢍꢞꢏꢍꢑꢈ ꢀꢀꢁ ꢀꢂꢀꢃꢄꢅꢆꢀꢀ  
ꢗꢚꢀ- ꢀꢗꢚꢘ.ꢙꢀꢒꢑ2ꢒꢍꢀꢀꢃ00.2µꢋꢙꢀ/  
ꢂꢃ  
ꢀ3/  
ꢃꢃ  
ꢃꢂꢂꢆꢇ  
ꢘꢚꢀ"ꢇꢉꢋꢊꢀꢁꢊꢋꢍꢀ!ꢀ"ꢇꢉꢋꢊꢀꢐꢑꢒꢍꢎꢀꢗ00µꢋꢙꢀ4ꢇꢍ%ꢀ(%(ꢉꢊꢃ5  
ꢄꢚꢀ6ꢋꢋꢊꢈꢍꢑꢞꢉꢉ%ꢀꢑꢈꢒꢊꢜꢊꢈꢒꢊꢈꢍꢀꢌ7ꢀꢌꢜꢊꢏꢞꢍꢑꢈ ꢀꢍꢊ#ꢜꢊꢏꢞꢍꢇꢏꢊ  
ꢀꢁꢂꢂꢂꢃꢄꢅꢆꢇꢈꢉꢆꢊꢋꢃꢌꢍꢎꢆꢈꢏꢐꢋꢑꢈꢒꢏꢇꢃꢓꢐꢒꢍꢇꢐꢅꢒꢆꢏꢐꢅꢊ  
ꢔꢍꢕꢖꢃꢗꢘꢃꢗꢙꢇꢆꢊꢃꢁꢂꢂꢂ  
ꢑ !ꢙꢞꢈꢋꢃꢆꢇꢈꢝꢈꢞꢗꢊꢝꢙꢔꢗꢙꢞꢔ  
ꢀꢁꢃ  
ꢆꢀ  
ꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢑꢂ  
ꢆꢂꢒꢀꢀꢅꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢋꢉꢀꢑ  
ꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢋꢍꢀꢑ  
ꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢓꢌꢍꢀꢑ  
ꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢔꢌꢍꢀꢑ  
ꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢕꢌꢉꢀꢑ  
ꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢕꢌꢍꢀꢑ  
ꢀꢁꢄ  
ꢖꢂꢃꢃꢂꢗꢀꢀꢅꢀꢀꢀꢀꢀꢉꢌꢉꢀꢑ  
ꢆꢇꢀ  
ꢆꢀ  
ꢂꢇꢀ  
ꢂꢃ  
ꢈꢇꢇꢀ  
ꢀꢁ  
ꢂꢃꢄꢅꢀꢆ  
ꢀꢁꢂꢃꢄꢊꢀꢅ  
ꢀꢀꢀꢇꢈꢀꢉꢀꢊꢀꢍꢋꢉ  
ꢀꢀꢀꢌꢈꢀꢌꢍꢋ ꢅꢀꢎꢏꢐꢅꢄꢀꢑꢄꢅꢃ  
ꢀꢀꢀꢋꢌꢀꢈꢉꢍ ꢊꢀꢎꢏꢐꢊꢄꢀꢆꢄꢊꢃ  
ꢀꢀꢀꢈꢌꢀꢆꢀꢇꢀꢈꢉ  
ꢂꢅ  
ꢀꢁ  
ꢂꢃ  
ꢀꢁ  
ꢂꢃ  
ꢆꢀ  
ꢀꢁꢄ  
ꢀꢁꢃ  
ꢆꢀ  
ꢁꢂꢁꢓꢋꢏꢈꢉꢃꢄꢅꢆꢇꢈꢁꢀꢄꢎꢏꢋꢐꢈꢁꢁꢑꢀꢒ  
ꢘꢙꢘꢀꢓꢚꢑꢄꢛꢁꢃꢜꢓꢝꢞꢘꢗꢃ ꢏꢚꢖꢞꢘꢘ!ꢗ"  
ꢀꢁꢂꢃꢄꢅꢆ'ꢈꢆꢔꢎꢕꢖꢅꢂꢁꢘꢎꢆꢉꢏꢊꢄꢊꢌꢍꢅꢄꢁꢐꢍꢁꢌꢐ  
ꢀꢁꢂꢃꢄꢅꢆ%ꢈꢆ#ꢄꢊꢎꢐ&ꢅꢄꢆꢉꢏꢊꢄꢊꢌꢍꢅꢄꢁꢐꢍꢁꢌꢐ  
ꢆꢀꢃ  
ꢋꢌꢋꢂꢀꢊ  
ꢀꢁ  
ꢋꢌꢋꢉꢀꢊ  
ꢀꢁ  
ꢆꢀꢄ  
ꢆꢇꢀꢀ  
ꢂꢇꢀ  
ꢀꢁꢂꢃꢄꢅꢀꢆ  
ꢀꢀꢀꢇꢈꢀꢉꢀꢊꢀꢋꢉ  
ꢀꢀꢀꢌꢈꢀꢌꢍꢋ ꢅꢀꢎꢏꢐꢅꢄꢀꢑꢄꢅꢃ  
ꢀꢁꢂꢃꢄꢀꢅꢀꢆ ꢀꢇꢀꢈꢉ  
ꢂꢃ  
ꢆꢀ  
ꢀꢁꢂ  
ꢀꢁꢀ  
ꢂꢁꢃ  
ꢄꢁꢀ  
ꢅꢁꢃ  
ꢆꢁꢀ  
ꢇꢁꢃ  
ꢈꢁꢀ  
ꢀꢁꢃ  
ꢀꢁꢄ  
ꢀꢁꢅ  
ꢆꢁꢀ  
ꢆꢁꢂ  
ꢆꢁꢃ  
 ꢁꢂꢁꢃꢄꢅꢆꢇꢁꢈꢉꢄꢄꢊꢇꢋꢁꢁꢌꢍꢎ  
ꢀ ꢁꢂꢁꢃꢄꢅꢆꢇꢈꢉꢊꢆꢋꢌꢍꢁꢀꢄꢎꢏꢋꢐꢈꢁꢁꢑꢀꢒ  
ꢂꢀ  
ꢀꢁꢂꢃꢄꢅꢆꢓꢈꢆꢔꢎꢕꢖꢅꢐꢁꢐꢍꢊꢎꢌꢅꢆꢗꢊꢄꢁꢊꢍꢁꢘꢎꢆꢆꢙꢐꢈ  
ꢚꢄꢊꢁꢎꢆꢉꢃꢄꢄꢅꢎꢍꢆꢊꢎꢛꢆꢒꢊꢍꢅꢆꢗꢘꢜꢍꢊꢂꢅ  
ꢀꢁꢂꢃꢄꢅꢆꢝꢈꢆꢞꢘꢛ ꢆꢚꢁꢘꢛꢅꢆꢀꢘꢄ!ꢊꢄꢛꢆꢗꢘꢜꢍꢊꢂꢅꢆ  
ꢗꢊꢄꢁꢊꢍꢁꢘꢎꢆꢙꢐꢈꢆ"ꢘꢃꢄꢌꢅꢆꢉꢃꢄꢄꢅꢎꢍꢆ  
ꢊꢎꢛꢆ#ꢅ$ꢋꢅꢄꢊꢍꢃꢄꢅ  
ꢏꢉꢉ  
ꢆꢂ  
ꢆꢁ  
ꢅꢆꢆꢀꢇꢀꢜꢀꢝꢀꢜꢀꢞꢜꢀꢇꢀꢊ ꢂ!ꢃꢄ"#  
ꢆꢆꢀꢇꢀꢜꢀꢝꢀꢜ  
ꢇꢈ  
ꢊ ꢋꢌꢋꢂꢀꢀꢊ  
ꢆꢁ  
ꢊꢆꢆꢀꢇꢀꢜ  
ꢇꢈ  
ꢎꢉꢉ  
ꢍꢉꢉ  
ꢌꢉꢉ  
ꢋꢉꢉ  
ꢊꢉꢉ  
ꢈꢉꢉ  
ꢋꢌꢋꢉꢃꢀꢊ  
ꢆꢁ  
ꢋꢌꢋꢅꢀꢀꢊ  
ꢆꢁ  
ꢊꢈꢈ  
ꢉꢈꢈ  
ꢀꢁꢂꢃꢄꢊꢀꢅ  
ꢀꢀꢀꢋꢌꢀꢑꢀꢇꢀꢍꢀꢑ  
ꢀꢀꢀꢈꢌꢀ$ꢀꢇꢀꢋꢀ%&'  
ꢇꢈꢈ  
ꢀꢁꢂꢃꢄꢀꢅꢀꢘꢀꢇꢀꢙꢌꢚꢛ  
ꢆꢁ  
ꢆꢂ  
ꢀꢁ  
ꢈꢉ  
ꢈꢉ  
ꢈꢉ  
 ꢂꢁꢐꢑꢋꢅꢒꢁꢓꢅꢋꢊꢁꢈꢔꢅꢄꢕꢊꢁꢌꢇꢈꢎ  
ꢙꢘꢀꢓꢚꢑꢄꢛꢁꢃꢜꢓꢝꢞꢘꢗꢃ ꢏꢚꢖꢞꢘ!ꢗ"  
ꢀꢁꢂꢃꢄꢅꢆꢇꢈꢆꢉꢊꢋꢊꢌꢁꢍꢊꢎꢌꢅꢆꢉꢏꢊꢄꢊꢌꢍꢅꢄꢁꢐꢍꢁꢌꢐ  
ꢀꢁꢂꢃꢄꢅꢆꢑꢈꢆꢒꢊꢍꢅꢆꢆꢉꢏꢊꢄꢂꢅꢆꢉꢏꢊꢄꢊꢌꢍꢅꢄꢁꢐꢍꢁꢌꢐ  
ꢀꢁꢂꢂꢂꢃꢄꢅꢆꢇꢈꢉꢆꢊꢋꢃꢌꢍꢎꢆꢈꢏꢐꢋꢑꢈꢒꢏꢇꢃꢓꢐꢒꢍꢇꢐꢅꢒꢆꢏꢐꢅꢊ  
ꢔꢍꢕꢖꢃꢗꢘꢃꢗꢙꢇꢆꢊꢃꢁꢂꢂꢂ  
ꢑ !ꢙꢞꢈꢋꢃꢆꢇꢈꢝꢈꢞꢗꢊꢝꢙꢔꢗꢙꢞꢔꢃꢀꢀꢀꢀꢓꢆꢌꢈꢍꢑꢈꢇꢊꢒꢖ  
ꢋꢑꢉ  
ꢊꢑꢍ  
ꢊꢑꢉ  
ꢈꢑꢍ  
ꢈꢑꢉ  
ꢉꢑꢍ  
ꢉꢑꢉ  
ꢂꢁꢉ  
ꢂꢁꢂ  
ꢂꢁꢀ  
ꢀꢋꢌꢍꢎꢏꢀꢐ  
ꢀꢁꢈ  
ꢀꢁꢙ  
ꢀꢀꢀꢆꢉꢀꢑ ꢀꢒꢀꢁꢀꢑ  
ꢇꢈ  
ꢀꢁꢂꢃꢄꢅꢀꢆ  
ꢀꢀꢀꢂꢉꢀꢓ ꢀꢒꢀꢂꢇꢁꢀ  
ꢀꢀꢀꢇꢈꢀꢉꢁꢂꢀꢊꢀꢇꢋꢀꢉ  
ꢀꢀꢀꢌꢈꢀꢒꢀꢊꢀꢇꢈꢓꢀꢔ  
ꢘꢂꢀꢀ  
ꢘꢃꢀ  
ꢃꢀ  
ꢂꢀꢀ  
ꢂꢃꢀ  
ꢉꢀꢀ  
ꢐꢈꢉꢉ  
ꢐꢍꢉ  
ꢍꢉ  
ꢈꢉꢉ  
ꢈꢍꢉ  
ꢊꢉꢉ  
ꢁꢕꢅꢍꢇꢏꢌꢄꢍꢁꢔꢈꢖꢗꢈꢆꢋꢏꢅꢆꢈꢁꢑꢒ  
#ꢁ$ꢈꢅꢑꢉꢋꢇꢅꢁ#ꢄꢆꢌꢄꢐꢍꢉꢈꢐꢄꢁ%&  
%
ꢀꢁꢂꢃꢄꢅꢆ-ꢈꢆꢞꢄꢅꢊ.ꢛꢘ!ꢎꢆꢗꢘꢜꢍꢊꢂꢅꢆꢗꢊꢄꢁꢊꢍꢁꢘꢎ  
ꢙꢐꢈꢆ#ꢅ$ꢋꢅꢄꢊꢍꢃꢄꢅ  
ꢀꢁꢂꢃꢄꢅꢆ/ꢈꢆꢔꢎꢕꢖꢅꢐꢁꢐꢍꢊꢎꢌꢅꢆꢗꢊꢄꢁꢊꢍꢁꢘꢎ  
ꢙꢐꢈꢆ#ꢅ$ꢋꢅꢄꢊꢍꢃꢄꢅ  
ꢊꢉꢁ  
ꢂꢉꢇ  
ꢂꢉꢁ  
ꢆꢉꢇ  
ꢆꢉꢁ  
ꢁꢉꢇ  
ꢁꢉꢁ  
ꢀꢁꢂꢃꢄꢅꢆꢇꢈꢉꢆꢈꢉꢊꢋꢆꢌꢉꢍꢃꢂꢄꢉ  
ꢆꢌꢉꢎꢆꢏꢆꢅꢂꢐꢉꢑꢒꢉꢓꢉꢅꢆꢇꢈꢉꢊ  
ꢆꢁ  
ꢂꢀꢀꢋ ꢍ  
µ
ꢂꢋꢗꢍ  
ꢂꢀꢋꢗꢍ  
ꢆꢁꢆ  
ꢕꢖ  
ꢀꢅ  
ꢆꢁ  
ꢉꢔꢇꢅꢂꢌꢉꢕ  
ꢉꢉꢉꢖꢗꢉꢊꢉꢘꢉꢙꢚꢉ  
ꢉꢉꢉꢙꢗꢉꢊꢉꢘꢉꢖꢚꢜꢉ  
ꢉꢉꢉꢝꢗꢉꢞꢆꢈ !ꢂꢉ"#!ꢌꢂ  
ꢀꢄ  
ꢆꢁ  
ꢂꢇ  
ꢇꢁ  
ꢈꢇ  
ꢆꢁꢁ  
ꢆꢂꢇ  
ꢆꢇꢁ  
ꢆꢁ  
ꢆꢁ  
ꢆꢁ  
ꢆꢁ  
 ꢂꢁꢈꢅꢛꢊꢁꢐꢊꢝꢞꢊꢄꢅꢋꢉꢄꢊꢁꢌ  
 
ꢂꢁꢃꢄꢅꢆꢇ!ꢖꢑꢉꢄꢜꢊꢁ ꢑꢒꢋꢅꢕꢊꢁꢌ ꢎ  
ꢀꢄ  
ꢀꢁꢂꢃꢄꢅꢆ(ꢈꢆ)ꢊ*ꢁ$ꢃ$ꢆ"ꢊ&ꢅꢆꢔꢋꢅꢄꢊꢍꢁꢎꢂꢆ+ꢄꢅꢊ  
ꢀꢁꢂꢃꢄꢅꢆ',ꢈꢆ)ꢊ*ꢁ$ꢃ$ꢆꢚꢄꢊꢁꢎꢆꢉꢃꢄꢄꢅꢎꢍ  
ꢙꢐꢈꢆꢆꢉꢊꢐꢅꢆ#ꢅ$ꢋꢅꢄꢊꢍꢃꢄꢅ  
ꢕ ꢌ ꢀ ꢃ  
ꢆ ꢁ ꢆ  
ꢀꢁ  ꢃꢄ  ꢀꢅ  
ꢉ  
ꢀꢀꢀꢋ ꢌꢀ( ꢃ# ꢀꢇ ꢀꢈ ꢌꢔ   )* ꢀ% + ,   
ꢀꢀꢀꢈ ꢌꢀ-  ꢃ. ꢀ/ + 0 ꢃꢂ !1ꢀ-  )ꢃ  
ꢂ  
ꢀꢀꢀꢙ ꢌꢀꢆ ꢀ ꢄ 2ꢀꢆ  ꢀꢎ 3 ꢀ( ꢃ#  
ꢀ ꢃ  
"
ꢁꢂ  
ꢆ ꢁ ꢅ  
ꢀ ꢉ  
ꢀ ꢂ  
ꢀ  
ꢏ ꢐ ꢑꢒ ꢋꢓ ꢔ ꢑꢍ ꢒ  
ꢃ  
ꢆ ꢁ ꢁ  
ꢆ ꢁ ꢂ  
ꢆ ꢁ ꢃ  
ꢆ ꢁ ꢄ  
ꢆ ꢁ ꢅ  
ꢆ ꢁ ꢆ  
ꢆ ꢁ ꢅ  
ꢂꢁꢖ    ꢄꢊ ꢁꢘ    ꢁꢚ  ꢒꢛ  ꢁꢃ  ꢄꢅ ꢋꢆꢑ  ꢁꢌꢛ     
ꢀꢁꢂꢃꢄꢅꢆ''ꢈꢆ#ꢄꢊꢎꢐꢁꢅꢎꢍꢆ#ꢏꢅꢄ$ꢊꢜꢆꢖꢅꢐꢋꢘꢎꢐꢅꢆꢉꢃꢄꢙꢅ  
ꢀꢁꢂꢂꢂꢃꢄꢅꢆꢇꢈꢉꢆꢊꢋꢃꢌꢍꢎꢆꢈꢏꢐꢋꢑꢈꢒꢏꢇꢃꢓꢐꢒꢍꢇꢐꢅꢒꢆꢏꢐꢅꢊ  
ꢔꢍꢕꢖꢃꢗꢘꢃꢗꢙꢇꢆꢊꢃꢁꢂꢂꢂ  
ꢆꢒꢊꢍꢅꢆꢉꢏꢊꢄꢂꢅꢆ#ꢅꢐꢍꢆꢉꢁꢄꢌꢃꢁꢍꢆ0ꢆ1ꢊꢙꢅ&ꢘꢄ$  
81  
ꢍꢂꢎꢅꢏꢌꢐꢑꢅ  
ꢂꢒꢏꢊꢋꢌ  
ꢄ0:  
 
ꢕꢃ/  
ꢃ00ꢈ9  
ꢇꢈꢆ  
ꢗ00ꢈ9  
41  
81  
 ꢒ  
 ꢋ  
ꢊꢋꢌ  
ꢗ#.  
ꢀꢁꢂꢃꢄꢅ  
ꢆꢖꢅꢐꢁꢐꢍꢁꢙꢅꢆ"!ꢁꢍꢌꢏꢁꢎꢂꢆ#ꢅꢐꢍꢆꢉꢁꢄꢌꢃꢁꢍꢆ0ꢆ1ꢊꢙꢅ&ꢘꢄ$ꢐ  
)  
41  
;05  
41  
44  
81  
8  
ꢕ05  
81  
ꢊꢋꢌ  
ꢇꢈꢆ  
ꢄꢅꢆꢇꢈ  
ꢉ  
ꢄꢅꢆꢊꢊꢈ  
ꢊ  
 ꢆꢇ  
 ꢆꢊꢊ  
ꢆ2ꢎꢌꢜꢊ$ꢋꢅꢛꢆ3ꢎꢛꢃꢌꢍꢁꢙꢅꢆ"!ꢁꢍꢌꢏꢁꢎꢂꢆ#ꢅꢐꢍꢆꢉꢁꢄꢌꢃꢁꢍꢆ0ꢆ1ꢊꢙꢅ&ꢘꢄ$ꢐ  
ꢚꢆ411  
ꢘꢘꢘꢘꢘꢘꢘꢘꢘꢘꢘꢘꢘꢘꢘꢘꢘꢘꢘꢘ  
ꢚꢆ411  44  
ꢘꢘꢘꢘ  
.1ꢀ  
 .1  
41  
ꢚꢆ411  
.1  
 4  
8  
44  
4ꢀꢛꢜꢝ  
44  
41ꢀꢛꢜꢝ  
ꢊꢋꢌ  
ꢇꢈꢆ  
ꢍꢀꢋ  
ꢍꢀꢋ  
ꢌꢞꢎꢅ  
ꢀꢁꢂꢂꢂꢃꢄꢅꢆꢇꢈꢉꢆꢊꢋꢃꢌꢍꢎꢆꢈꢏꢐꢋꢑꢈꢒꢏꢇꢃꢓꢐꢒꢍꢇꢐꢅꢒꢆꢏꢐꢅꢊ  
ꢔꢍꢕꢖꢃꢗꢘꢃꢗꢙꢇꢆꢊꢃꢁꢂꢂꢂ  
ꢆ4ꢅꢊ.ꢆꢚꢁꢘꢛꢅꢆꢖꢅꢌꢘꢙꢅꢄ ꢆꢛꢙ5ꢛꢍꢆ#ꢅꢐꢍꢆꢉꢁꢄꢌꢃꢁꢍꢆ0ꢆ1ꢊꢙꢅ&ꢘꢄ$ꢐ  
 
ꢊꢋꢌ  
41  
!
 14  
ꢊꢃꢞ"ꢅꢃ  
8  
ꢞꢍꢆꢄꢁ#'ꢌꢄꢁ  
ꢍꢎꢁꢜ(#  
44  
81  
 ꢁꢂꢃꢁꢄ ꢅꢆꢇꢄꢈꢆꢉꢉꢊꢁꢋꢌꢍꢋꢋꢎꢀ  
 ꢁꢂ ꢅꢆꢇꢄꢈꢆꢉꢉꢊꢁꢋꢌꢍꢋꢐꢑꢉꢒꢊꢋꢐꢊꢈꢓꢆꢁꢋ  
ꢞꢘꢄꢊꢋ  ꢑꢉꢒꢊꢋ!ꢓꢁꢄ"  
##########################  
ꢞꢘꢄꢊꢋ  ꢑꢉꢒꢊꢋ  ꢊꢈꢓꢆꢁ  
ꢆꢇ  
ꢕꢋꢝ  
ꢇꢈꢆ  
ꢈꢀꢆꢉꢊꢋꢌꢉꢀꢍ  
ꢃꢄ ꢛꢋꢔꢆꢁꢍꢋꢕꢓꢆꢁꢊꢋꢖꢆꢈꢗꢘꢈꢁꢋꢜꢑꢈꢈꢊꢇꢄ  
 ꢇꢈ  
ꢁꢓꢃꢁꢄ  
ꢈꢀꢆꢎꢏꢀꢍ  
ꢛ<  
ꢔꢆꢁꢍꢋꢕꢓꢆꢁꢊꢋꢎꢊꢂꢊꢈꢒꢊꢋꢜꢑꢈꢈꢊꢇꢄ  
ꢔꢆꢁꢍꢋꢕꢓꢆꢁꢊꢋꢎꢊꢅꢆꢂꢊꢈꢍ ꢁꢂꢃꢁꢄ  
14  
ꢈꢇ  
ꢈꢀꢆꢎꢏꢀꢍ  
44  
ꢔꢆꢁꢍꢋꢕꢓꢆꢁꢊ  
ꢖꢆꢈꢗꢘꢈꢁꢋꢙꢆꢉꢄꢘꢚꢊꢋꢕꢈꢆꢐ  
ꢀꢁꢂꢂꢂꢃꢄꢅꢆꢇꢈꢉꢆꢊꢋꢃꢌꢍꢎꢆꢈꢏꢐꢋꢑꢈꢒꢏꢇꢃꢓꢐꢒꢍꢇꢐꢅꢒꢆꢏꢐꢅꢊ  
ꢔꢍꢕꢖꢃꢗꢘꢃꢗꢙꢇꢆꢊꢃꢁꢂꢂꢂ  
ꢞꢄꢉ ꢄꢘꢁꢆꢇꢊꢕꢁꢂꢈꢊꢍꢂꢈ  
ꢀꢁꢂꢃ  
6.60 ±0.20  
5.34 ±0.30  
2.30 ±0.10  
0.50 ±0.10  
(0.50)  
(4.34)  
(0.50)  
MAX0.96  
0.76 ±0.10  
0.50 ±0.10  
1.02 ±0.20  
2.30 ±0.20  
2.30TYP  
2.30TYP  
[2.30±0.20]  
[2.30±0.20]  
6.60 ±0.20  
(5.34)  
(5.04)  
(1.50)  
(2XR0.25)  
0.76 ±0.10  
ꢀꢁꢂꢂꢂꢃꢄꢅꢆꢇꢈꢉꢆꢊꢋꢃꢌꢍꢎꢆꢈꢏꢐꢋꢑꢈꢒꢏꢇꢃꢓꢐꢒꢍꢇꢐꢅꢒꢆꢏꢐꢅꢊ  
ꢔꢍꢕꢖꢃꢗꢘꢃꢗꢙꢇꢆꢊꢃꢁꢂꢂꢂ  
ꢞꢄꢉ ꢄꢘꢁꢆꢇꢊꢕꢁꢂꢈꢊꢍꢂꢈꢆꢉꢊꢁꢋꢂꢌꢋꢍꢃꢎꢏ  
ꢄꢁꢂꢃ  
2.30 ±0.20  
0.50 ±0.10  
6.60 ±0.20  
5.34 ±0.20  
(0.50)  
(4.34)  
(0.50)  
MAX0.96  
0.76 ±0.10  
0.50 ±0.10  
2.30TYP  
2.30TYP  
[2.30±0.20]  
[2.30±0.20]  
ꢀꢁꢂꢂꢂꢃꢄꢅꢆꢇꢈꢉꢆꢊꢋꢃꢌꢍꢎꢆꢈꢏꢐꢋꢑꢈꢒꢏꢇꢃꢓꢐꢒꢍꢇꢐꢅꢒꢆꢏꢐꢅꢊ  
ꢔꢍꢕꢖꢃꢗꢘꢃꢗꢙꢇꢆꢊꢃꢁꢂꢂꢂ  
ꢏꢐꢄꢆꢑꢒꢄꢐꢅꢓ  
ꢀꢁꢂꢄꢓꢎꢊꢊꢎꢑꢔꢉꢖꢄꢈꢒꢂꢄꢒꢂꢖꢔꢃꢍꢂꢒꢂꢏꢄꢈꢉꢏꢄꢕꢉꢒꢂꢖꢔꢃꢍꢂꢒꢂꢏꢄꢍꢒꢈꢏꢂꢌꢈꢒ=ꢃꢄꢗꢈꢔꢒꢋꢁꢔꢊꢏꢄꢞꢂꢌꢔꢋꢎꢉꢏꢕꢋꢍꢎꢒꢄꢎꢑꢉꢃꢄꢎꢒꢄꢔꢃꢄꢈꢕꢍꢁꢎꢒꢔ#ꢂꢏꢄꢍꢎꢄꢕꢃꢂꢄꢈꢉꢏꢄꢔꢃ  
ꢉꢎꢍꢄꢔꢉꢍꢂꢉꢏꢂꢏꢄꢍꢎꢄ"ꢂꢄꢈꢉꢄꢂAꢁꢈꢕꢃꢍꢔ!ꢂꢄꢊꢔꢃꢍꢄꢎꢓꢄꢈꢊꢊꢄꢃꢕꢋꢁꢄꢍꢒꢈꢏꢂꢌꢈꢒ=ꢃ  
ꢀꢈꢉꢊꢋ  
ꢝꢃꢖꢐꢈꢋ  
ꢖꢛꢁꢐꢂꢖꢕꢓꢋ'*  
ꢖ+$%ꢒꢉꢓꢋ  
ꢓꢃ$+ꢘꢍ,ꢃ%ꢋ  
-ꢝꢈꢋ  
ꢌꢍꢎꢎꢍꢏꢄꢐꢑꢑꢋ  
ꢈꢍꢍꢄꢒꢉꢓꢋ  
ꢈꢔꢕꢖꢖꢗꢕꢘꢓꢋ  
%ꢈꢙꢕꢖꢋ  
ꢒꢀꢈꢓꢋ  
ꢞꢖꢕ ꢘꢀ!ꢀꢔꢋ  
ꢙꢞꢈꢔꢕ"ꢞꢔꢉꢋ  
 ꢕ ꢋ  
 ꢍ#ꢐꢂꢓꢂꢐ$%&G  
ꢚꢒꢉꢓꢋ  
ꢚꢖꢋ  
ꢗꢈ.ꢋ  
ꢒꢀꢈꢓꢅꢚꢛꢃꢐꢎꢅꢖꢐꢂꢃꢐꢑꢋ  
ꢒꢀꢖꢓG  
ꢒꢀꢖꢓꢂꢋ  
ꢚꢛꢃꢐꢎꢅꢖꢐꢂꢃꢐꢑꢋ  
ꢖꢛꢁꢐꢂꢖꢕꢓꢋ'(  
ꢖꢛꢁꢐꢂꢖꢕꢓꢋ')  
ꢜꢓꢕꢋ  
ꢆꢁꢓꢔꢕꢄꢁꢒꢑꢐ  
ꢗ'4+ꢇE40ꢛꢄꢞ;ꢜ4ꢇꢝꢅꢛHꢇꢀꢝ+ꢄ+;ꢞ;+*;ꢞꢄꢀE;ꢄ+49Eꢀꢄꢀꢝꢄꢜ'I;ꢄꢇE'ꢅ9;ꢞꢄ?4ꢀEꢝHꢀꢄꢗH+ꢀE;+ꢄꢅꢝꢀ4ꢇ;ꢄꢀꢝꢄ'ꢅJ  
8+ꢝꢛHꢇꢀꢞE;+;4ꢅꢀꢝꢄ4ꢜ8+ꢝ*;ꢄ+;04'C404ꢀJꢚꢄꢗHꢅꢇꢀ4ꢝꢅꢄꢝ+ꢄꢛ;ꢞ49ꢅ ꢄꢗ'4+ꢇE40ꢛꢄꢛꢝ;ꢞꢄꢅꢝꢀꢄ'ꢞꢞHꢜ;ꢄ'ꢅJ  
04'C404ꢀJ'+4ꢞ4ꢅ9ꢄꢝHꢀꢄꢝꢗꢄꢀE;ꢄ'8804ꢇ'ꢀ4ꢝꢅꢄꢝ+ꢄHꢞ;ꢄꢝꢗꢄ'ꢅJꢄ8+ꢝꢛHꢇꢀꢄꢝ+ꢄꢇ4+ꢇH4ꢀꢄꢛ;ꢞꢇ+4C;ꢛꢄE;+;4ꢅK  
ꢅ;4ꢀE;+ꢄꢛꢝ;ꢞꢄ4ꢀꢄꢇꢝꢅ*;Jꢄ'ꢅJꢄ04ꢇ;ꢅꢞ;ꢄHꢅꢛ;+ꢄ4ꢀꢞꢄ8'ꢀ;ꢅꢀꢄ+49Eꢀꢞꢚꢄꢅꢝ+ꢄꢀE;ꢄ+49EꢀꢞꢄꢝꢗꢄꢝꢀE;+ꢞ  
ꢕꢁꢖꢑꢀꢓꢎꢃꢃꢗꢐꢏꢀꢃꢗꢕꢁꢔꢘ  
ꢗ'4+ꢇE40ꢛꢘꢞꢄ 8+ꢝꢛHꢇꢀꢞꢄ '+;ꢄ ꢅꢝꢀꢄ 'HꢀEꢝ+4D;ꢛꢄ ꢗꢝ+ꢄ Hꢞ;ꢄ 'ꢞꢄ ꢇ+4ꢀ4ꢇ'0ꢄ ꢇꢝꢜ8ꢝꢅ;ꢅꢀꢞꢄ 4ꢅꢄ 04ꢗ;ꢄ ꢞH88ꢝ+ꢀ  
ꢛ;*4ꢇ;ꢞꢄ ꢝ+ꢄ ꢞJꢞꢀ;ꢜꢞꢄ ?4ꢀEꢝHꢀꢄ ꢀE;ꢄ ;L8+;ꢞꢞꢄ ?+4ꢀꢀ;ꢅꢄ '88+ꢝ*'0ꢄ ꢝꢗꢄ ꢗ'4+ꢇE40ꢛꢄ ꢞ;ꢜ4ꢇꢝꢅꢛHꢇꢀꢝ+  
4ꢅꢀ;+ꢅ'ꢀ4ꢝꢅ'0  
'ꢃꢄꢕꢃꢂꢏꢄꢁꢂꢒꢂꢔꢉM  
ꢒꢂꢃꢕꢊꢍꢄꢔꢉꢄꢃꢔꢖꢉꢔꢓꢔꢋꢈꢉꢍꢄꢔꢉNꢕꢒꢙꢄꢍꢎꢄꢍꢁꢂꢄꢕꢃꢂꢒ  
/ ꢄ0ꢔꢓꢂꢄꢃꢕꢐꢐꢎꢒꢍꢄꢏꢂ!ꢔꢋꢂꢃꢄꢎꢒꢄꢃꢙꢃꢍꢂꢌꢃꢄꢈꢒꢂꢄꢏꢂ!ꢔꢋꢂꢃꢄꢎꢒꢄꢃꢙꢃꢍꢂꢌꢃ  
ꢑꢁꢔꢋꢁꢚꢄ1ꢈ2ꢄꢈꢒꢂꢄꢔꢉꢍꢂꢉꢏꢂꢏꢄꢓꢎꢒꢄꢃꢕꢒꢖꢔꢋꢈꢊꢄꢔꢌꢐꢊꢈꢉꢍꢄꢔꢉꢍꢎꢄꢍꢁꢂꢄ"ꢎꢏꢙꢚ  
ꢎꢒꢄ1"2ꢄꢃꢕꢐꢐꢎꢒꢍꢄꢎꢒꢄꢃꢕꢃꢍꢈꢔꢉꢄꢊꢔꢓꢂꢚꢄꢎꢒꢄ1ꢋ2ꢄꢑꢁꢎꢃꢂꢄꢓꢈꢔꢊꢕꢒꢂꢄꢍꢎꢄꢐꢂꢒꢓꢎꢒꢌ  
ꢑꢁꢂꢉꢄꢐꢒꢎꢐꢂꢒꢊꢙꢄꢕꢃꢂꢏꢄꢔꢉꢄꢈꢋꢋꢎꢒꢏꢈꢉꢋꢂꢄꢑꢔꢍꢁꢄꢔꢉꢃꢍꢒꢕꢋꢍꢔꢎꢉꢃꢄꢓꢎꢒꢄꢕꢃꢂ  
ꢐꢒꢎ!ꢔꢏꢂꢏꢉꢄ ꢍꢁꢂꢄ ꢊꢈ"ꢂꢊꢔꢉꢖꢚꢈꢉꢄ "ꢂꢂꢈꢃꢎꢉꢈ"ꢊꢙꢄ ꢂAꢐꢂꢋꢍꢂꢏ  
% ꢄ'ꢄꢋꢒꢔꢍꢔꢋꢈꢊꢄꢋꢎꢌꢐꢎꢉꢂꢉꢍꢄꢔꢃꢄꢈꢉꢙꢄꢋꢎꢌꢐꢎꢉꢂꢉꢍꢄꢎꢓꢄꢈꢄꢊꢔꢓꢂꢄꢃꢕꢐꢐꢎꢒꢍ  
ꢏꢂ!ꢔꢋꢂꢄ ꢎꢒꢄ ꢃꢙꢃꢍꢂꢌꢄ ꢑꢁꢎꢃꢂꢄ ꢓꢈꢔꢊꢕꢒꢂꢄ ꢍꢎꢄ ꢐꢂꢒꢓꢎꢒꢌꢄ ꢋꢈꢉꢄ "ꢂ  
ꢒꢂꢈꢃꢎꢉꢈ"ꢊꢙꢄꢂAꢐꢂꢋꢍꢂꢏꢄꢍꢎꢄꢋꢈꢕꢃꢂꢄꢍꢁꢂꢄꢓꢈꢔꢊꢕꢒꢂꢄꢎꢓꢄꢍꢁꢂꢄꢊꢔꢓꢂꢄꢃꢕꢐꢐꢎꢒꢍ  
ꢏꢂ!ꢔꢋꢂꢄꢎꢒꢄꢃꢙꢃꢍꢂꢌꢚꢄꢎꢒꢄꢍꢎꢄꢈꢓꢓꢂꢋꢍꢄꢔꢍꢃꢄꢃꢈꢓꢂꢍꢙꢄꢎꢒꢄꢂꢓꢓꢂꢋꢍꢔ!ꢂꢉꢂꢃꢃ  
ꢃꢐꢗꢆꢎꢔꢏꢀꢓꢏꢄꢏꢎꢓꢀꢆꢑꢖꢁꢙꢁꢏꢁꢗꢙꢓ  
ꢆꢌꢚꢊꢛꢊꢜꢊꢝꢛꢀꢝꢚꢀꢏꢌꢉꢞ  
ꢏꢉꢌꢉꢙ ꢋꢋꢌꢔ!ꢞꢋꢗꢌꢘ"ꢘ#ꢉꢌꢘꢆꢗ  
ꢈꢊꢆꢞ$#ꢌꢔꢂꢌꢉꢌ$ꢙ  
ꢏꢋ"ꢘꢗꢘꢌꢘꢆꢗ  
'ꢏ!ꢈꢉꢋꢂꢄ4ꢉꢓꢎꢒꢌꢈꢍꢔꢎꢉ  
ꢗꢎꢒꢌꢈꢍꢔ!ꢂꢄꢎꢒꢄ4ꢉꢄ  
ꢛꢂꢃꢔꢖꢉ  
ꢀꢁꢔꢃꢄꢏꢈꢍꢈꢃꢁꢂꢂꢍꢄꢋꢎꢉꢍꢈꢔꢉꢃꢄꢍꢁꢂꢄꢏꢂꢃꢔꢖꢉꢄꢃꢐꢂꢋꢔꢓꢔꢋꢈꢍꢔꢎꢉꢃꢄꢓꢎꢒ  
ꢐꢒꢎꢏꢕꢋꢍꢄꢏꢂ!ꢂꢊꢎꢐꢌꢂꢉꢍ ꢄꢞꢐꢂꢋꢔꢓꢔꢋꢈꢍꢔꢎꢉꢃꢄꢌꢈꢙꢄꢋꢁꢈꢉꢖꢂꢄꢔꢉ  
ꢈꢉꢙꢄꢌꢈꢉꢉꢂꢒꢄꢑꢔꢍꢁꢎꢕꢍꢄꢉꢎꢍꢔꢋꢂ  
8ꢒꢂꢊꢔꢌꢔꢉꢈꢒꢙ  
ꢗꢔꢒꢃꢍꢄ8ꢒꢎꢏꢕꢋꢍꢔꢎꢉ  
ꢀꢁꢔꢃꢄꢏꢈꢍꢈꢃꢁꢂꢂꢍꢄꢋꢎꢉꢍꢈꢔꢉꢃꢄꢐꢒꢂꢊꢔꢌꢔꢉꢈꢒꢙꢄꢏꢈꢍꢈꢚꢄꢈꢉꢏ  
ꢃꢕꢐꢐꢊꢂꢌꢂꢉꢍꢈꢒꢙꢄꢏꢈꢍꢈꢄꢑꢔꢊꢊꢄ"ꢂꢄꢐꢕ"ꢊꢔꢃꢁꢂꢏꢄꢈꢍꢄꢈꢄꢊꢈꢍꢂꢒꢄꢏꢈꢍꢂ  
ꢗꢈꢔꢒꢋꢁꢔꢊꢏꢄꢞꢂꢌꢔꢋꢎꢉꢏꢕꢋꢍꢎꢒꢄꢒꢂꢃꢂꢒ!ꢂꢃꢄꢍꢁꢂꢄꢒꢔꢖꢁꢍꢄꢍꢎꢄꢌꢈ=ꢂ  
ꢋꢁꢈꢉꢖꢂꢃꢄꢈꢍꢄꢈꢉꢙꢄꢍꢔꢌꢂꢄꢑꢔꢍꢁꢎꢕꢍꢄꢉꢎꢍꢔꢋꢂꢄꢔꢉꢄꢎꢒꢏꢂꢒꢄꢍꢎꢄꢔꢌꢐꢒꢎ!ꢂ  
ꢏꢂꢃꢔꢖꢉ  
ꢅꢎꢄ4ꢏꢂꢉꢍꢔꢓꢔꢋꢈꢍꢔꢎꢉꢄꢅꢂꢂꢏꢂꢏ  
ꢗꢕꢊꢊꢄ8ꢒꢎꢏꢕꢋꢍꢔꢎꢉ  
ꢀꢁꢔꢃꢄꢏꢈꢍꢈꢃꢁꢂꢂꢍꢄꢋꢎꢉꢍꢈꢔꢉꢃꢄꢓꢔꢉꢈꢊꢄꢃꢐꢂꢋꢔꢓꢔꢋꢈꢍꢔꢎꢉꢃ ꢄꢗꢈꢔꢒꢋꢁꢔꢊꢏ  
ꢞꢂꢌꢔꢋꢎꢉꢏꢕꢋꢍꢎꢒꢄꢒꢂꢃꢂꢒ!ꢂꢃꢄꢍꢁꢂꢄꢒꢔꢖꢁꢍꢄꢍꢎꢄꢌꢈ=ꢂꢄꢋꢁꢈꢉꢖꢂꢃꢄꢈꢍ  
ꢈꢉꢙꢄꢍꢔꢌꢂꢄꢑꢔꢍꢁꢎꢕꢍꢄꢉꢎꢍꢔꢋꢂꢄꢔꢉꢄꢎꢒꢏꢂꢒꢄꢍꢎꢄꢔꢌꢐꢒꢎ!ꢂꢄꢏꢂꢃꢔꢖꢉ  
ꢝ"ꢃꢎꢊꢂꢍꢂ  
ꢅꢎꢍꢄ4ꢉꢄ8ꢒꢎꢏꢕꢋꢍꢔꢎꢉ  
ꢀꢁꢔꢃꢄꢏꢈꢍꢈꢃꢁꢂꢂꢍꢄꢋꢎꢉꢍꢈꢔꢉꢃꢄꢃꢐꢂꢋꢔꢓꢔꢋꢈꢍꢔꢎꢉꢃꢄꢎꢉꢄꢈꢄꢐꢒꢎꢏꢕꢋꢍ  
ꢍꢁꢈꢍꢄꢁꢈꢃꢄ"ꢂꢂꢉꢄꢏꢔꢃꢋꢎꢉꢍꢔꢉꢕꢂꢏꢄ"ꢙꢄꢗꢈꢔꢒꢋꢁꢔꢊꢏꢄꢃꢂꢌꢔꢋꢎꢉꢏꢕꢋꢍꢎꢒ  
ꢀꢁꢂꢄꢏꢈꢍꢈꢃꢁꢂꢂꢍꢄꢔꢃꢄꢐꢒꢔꢉꢍꢂꢏꢄꢓꢎꢒꢄꢒꢂꢓꢂꢒꢂꢉꢋꢂꢄꢔꢉꢓꢎꢒꢌꢈꢍꢔꢎꢉꢄꢎꢉꢊꢙ  
ꢀꢁꢂꢂꢂꢃꢄꢅꢆꢇꢈꢉꢆꢊꢋꢃꢌꢍꢎꢆꢈꢏꢐꢋꢑꢈꢒꢏꢇꢃꢓꢐꢒꢍꢇꢐꢅꢒꢆꢏꢐꢅꢊ  
ꢔꢍꢕꢖꢃꢗꢘꢃꢚꢅꢐꢑꢅꢇꢛꢃꢁꢂꢂꢂ  

相关型号:

FQD4N50TM

500V N-Channel QFET&reg;, 3LD, TO-252, NOT COMPLIANT TO JEDEC TO-252 VAR. AB, SURFACE MOUNT (DPAK), 2500/TAPE REEL
FAIRCHILD
FAIRCHILD

FQD4N50TM_WS

500V N-Channel QFET&reg;, TO252 (D-PAK), MOLDED, 3 LEAD,OPTION AA&AB, 2500/TAPE REEL
FAIRCHILD

FQD4N50_09

500V N-Channel MOSFET
FAIRCHILD

FQD4P25

250V P-Channel MOSFET
FAIRCHILD

FQD4P25TF

Power Field-Effect Transistor, 3.1A I(D), 250V, 2.1ohm, 1-Element, P-Channel, Silicon, Metal-oxide Semiconductor FET, TO-252, DPAK-3
FAIRCHILD

FQD4P25TM

250V P-Channel MOSFET
FAIRCHILD

FQD4P25TM-WS

P 沟道,QFET® MOSFET,-250V,-3.1A,2.1Ω
ONSEMI

FQD4P40

400V P-Channel MOSFET
FAIRCHILD

FQD4P40TM

功率 MOSFET,P 沟道,QFET®,-400 V,-2.7 A,3.1 Ω,DPAK
ONSEMI

FQD4P40TM-AM002

P-Channel QFET&reg; MOSFET -400V, -2.7A, 3.1&Omega;, TO-252 3L (DPAK), 2500-REEL
ONSEMI

FQD5N15

150V N-Channel MOSFET
FAIRCHILD