MCIMX6X6CYM08AC [FREESCALE]

i.MX 6Dual/6Quad Automotive and Infotainment Applications Processors;
MCIMX6X6CYM08AC
型号: MCIMX6X6CYM08AC
厂家: Freescale    Freescale
描述:

i.MX 6Dual/6Quad Automotive and Infotainment Applications Processors

文件: 总165页 (文件大小:2076K)
中文:  中文翻译
下载:  下载PDF数据表文档文件
Document Number: IMX6DQAEC  
Rev. 2.3, 07/2013  
Freescale Semiconductor  
Data Sheet: Technical Data  
MCIMX6QxAxxxxC  
MCIMX6DxAxxxxC  
i.MX 6Dual/6Quad  
Automotive and  
Infotainment  
Applications Processors  
Package Information  
Case FCPBGA 21 x 21 mm, 0.8 mm pitch  
Ordering Information  
See Table 1 on page 3  
1
Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1  
1.1 Ordering Information . . . . . . . . . . . . . . . . . . . . . . . . 3  
1.2 Features . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4  
1.3 Updated Signal Naming Convention . . . . . . . . . . . . 7  
Architectural Overview . . . . . . . . . . . . . . . . . . . . . . . . . . . 8  
2.1 Block Diagram. . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8  
Modules List . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9  
3.1 Special Signal Considerations. . . . . . . . . . . . . . . . 17  
3.2 Recommended Connections for Unused Analog  
Interfaces. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17  
Electrical Characteristics. . . . . . . . . . . . . . . . . . . . . . . . . 18  
4.1 Chip-Level Conditions . . . . . . . . . . . . . . . . . . . . . . 18  
4.2 Power Supplies Requirements and Restrictions . . 30  
4.3 Integrated LDO Voltage Regulator Parameters. . . 31  
4.4 PLL Electrical Characteristics . . . . . . . . . . . . . . . . 33  
4.5 On-Chip Oscillators . . . . . . . . . . . . . . . . . . . . . . . . 35  
4.6 I/O DC Parameters . . . . . . . . . . . . . . . . . . . . . . . . 36  
4.7 I/O AC Parameters . . . . . . . . . . . . . . . . . . . . . . . . 40  
4.8 Output Buffer Impedance Parameters. . . . . . . . . . 45  
4.9 System Modules Timing . . . . . . . . . . . . . . . . . . . . 49  
4.10 General-Purpose Media Interface (GPMI) Timing. 65  
4.11 External Peripheral Interface Parameters . . . . . . . 74  
Boot Mode Configuration . . . . . . . . . . . . . . . . . . . . . . . 139  
5.1 Boot Mode Configuration Pins. . . . . . . . . . . . . . . 139  
5.2 Boot Devices Interfaces Allocation . . . . . . . . . . . 140  
Package Information and Contact Assignments . . . . . . 142  
6.1 Updated Signal Naming Convention . . . . . . . . . . 142  
6.2 21 x 21 mm Package Information . . . . . . . . . . . . 142  
Revision History . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 164  
1 Introduction  
The i.MX 6Dual and i.MX 6Quad automotive and  
infotainment processors represent Freescale  
Semiconductor’s latest achievement in integrated  
multimedia applications processors. These processors  
are part of a growing family of multimedia-focused  
products that offer high-performance processing with a  
high degree of functional integration. These processors  
target the needs of the growing automotive infotainment,  
telematics, HMI, and display-based cluster markets.  
2
3
4
The i.MX 6Dual/6Quad processors feature Freescale’s  
advanced implementation of the quad  
®
®
ARM Cortex -A9 core, which operates at speeds up to  
1 GHz. They include 2D and 3D graphics processors, 3D  
1080p video processing, and integrated power  
5
6
7
management. Each processor provides a 64-bit  
DDR3/LVDDR3/LPDDR2-1066 memory interface and  
a number of other interfaces for connecting peripherals,  
®
such as WLAN, Bluetooth , GPS, hard drive, displays,  
and camera sensors.  
© 2012-2013 Freescale Semiconductor, Inc. All rights reserved.  
Introduction  
The i.MX 6Dual/6Quad processors are specifically useful for applications such as the following:  
Automotive navigation and entertainment  
Graphics rendering for Human Machine Interfaces (HMI)  
High-performance speech processing with large databases  
Audio playback  
Video processing and display  
The i.MX 6Dual/6Quad processors have some very exciting features, for example:  
Multilevel memory system—The multilevel memory system of each processor is based on the L1  
instruction and data caches, L2 cache, and internal and external memory. The processors support  
many types of external memory devices, including DDR3, low voltage DDR3, LPDDR2, NOR  
Flash, PSRAM, cellular RAM, NAND Flash (MLC and SLC), OneNAND™, and managed  
NAND, including eMMC up to rev 4.4/4.41.  
Smart speed technology—The processors have power management throughout the device that  
enables the rich suite of multimedia features and peripherals to consume minimum power in both  
active and various low power modes. Smart speed technology enables the designer to deliver a  
feature-rich product, requiring levels of power far lower than industry expectations.  
Dynamic voltage and frequency scaling—The processors improve the power efficiency of devices  
by scaling the voltage and frequency to optimize performance.  
Multimedia powerhouse—The multimedia performance of each processor is enhanced by a  
multilevel cache system, Neon MPE (Media Processor Engine) co-processor, a multi-standard  
hardware video codec, 2 autonomous and independent image processing units (IPU), and a  
programmable smart DMA (SDMA) controller.  
Powerful graphics acceleration—Each processor provides three independent, integrated graphics  
processing units: an OpenGL ES 2.0 3D graphics accelerator with four shaders (up to 200 MT/s  
and OpenCL support), 2D graphics accelerator, and dedicated OpenVG™ 1.1 accelerator.  
®
Interface flexibility—Each processor supports connections to a variety of interfaces: LCD  
controller for up to four displays (including parallel display, HDMI1.4, MIPI display, and LVDS  
display), dual CMOS sensor interface (parallel or through MIPI), high-speed USB on-the-go with  
PHY, high-speed USB host with PHY, multiple expansion card ports (high-speed MMC/SDIO host  
and other), 10/100/1000 Mbps Gigabit Ethernet controller, and a variety of other popular interfaces  
2
2
(such as UART, I C, and I S serial audio, SATA-II, and PCIe-II).  
Automotive environment support—Each processor includes interfaces, such as two CAN ports, an  
MLB150/50 port, an ESAI audio interface, and an asynchronous sample rate converter for  
multichannel/multisource audio.  
Advanced security—The processors deliver hardware-enabled security features that enable secure  
e-commerce, digital rights management (DRM), information encryption, secure boot, and secure  
software downloads. The security features are discussed in detail in the i.MX 6Dual/6Quad  
security reference manual (IMX6DQ6SDLSRM).  
Integrated power management—The processors integrate linear regulators and internally generate  
voltage levels for different domains. This significantly simplifies system power management  
structure.  
i.MX 6Dual/6Quad Automotive and Infotainment Applications Processors, Rev. 2.3  
2
Freescale Semiconductor  
Introduction  
1.1  
Ordering Information  
Table 1 shows examples of orderable part numbers covered by this data sheet. Table 1 does not include all  
possible orderable part numbers. The latest part numbers are available on freescale.com/imx6series. If  
your desired part number is not listed in Table 1, or you have questions about available parts, see  
freescale.com/imx6series or contact your Freescale representative.  
Table 1. Example Orderable Part Numbers  
Speed  
Grade  
Temperature  
Grade  
Part Number  
Quad/Dual CPU  
Options  
Package  
MCIMX6Q6AVT10AC  
i.MX 6Quad  
With VPU, GPU  
1 GHz  
Automotive  
Automotive  
Automotive  
Automotive  
Automotive  
Automotive  
Automotive  
Automotive  
21 mm x 21 mm, 0.8 mm  
pitch, FCPBGA (lidded)  
MCIMX6Q4AVT10AC  
MCIMX6Q6AVT08AC  
MCIMX6Q4AVT08AC  
MCIMX6D6AVT10AC  
MCIMX6D4AVT10AC  
MCIMX6D6AVT08AC  
MCIMX6D4AVT08AC  
i.MX 6Quad  
i.MX 6Quad  
i.MX 6Quad  
i.MX 6Dual  
i.MX 6Dual  
i.MX 6Dual  
i.MX 6Dual  
With GPU, no VPU  
With VPU, GPU  
1 GHz  
21 mm x 21 mm, 0.8 mm  
pitch, FCPBGA (lidded)  
852 MHz  
852 MHz  
1 GHz  
21 mm x 21 mm, 0.8 mm  
pitch, FCPBGA (lidded)  
With GPU, no VPU  
With VPU, GPU  
21 mm x 21 mm, 0.8 mm  
pitch, FCPBGA (lidded)  
21 mm x 21 mm, 0.8 mm  
pitch, FCPBGA (lidded)  
With GPU, no VPU  
With VPU, GPU  
1 GHz  
21 mm x 21 mm, 0.8 mm  
pitch, FCPBGA (lidded)  
852 MHz  
852 MHz  
21 mm x 21 mm, 0.8 mm  
pitch, FCPBGA (lidded)  
With GPU, no VPU  
21 mm x 21 mm, 0.8 mm  
pitch, FCPBGA (lidded)  
Figure 1 describes the part number nomenclature so that users can identify the characteristics of the  
specific part number they have (for example, cores, frequency, temperature grade, fuse options, silicon  
revision). Figure 1 applies to the i.MX 6Quad and i.MX 6Dual.  
The primary characteristic that describes which data sheet a specific part applies to is the temperature  
grade (junction) field:  
The i.MX 6Dual/6Quad Automotive and Infotainment Applications Processors data sheet  
(IMX6DQAEC) covers parts listed with “A (Automotive temp)”  
The i.MX 6Dual/6Quad Applications Processors for Consumer Products data sheet (IMX6DQCEC)  
covers parts listed with “D (Commercial temp)” or “E (Extended Commercial temp)”  
The i.MX 6Dual/6Quad Applications Processors for Industrial Products data sheet (IMX6DQIEC)  
covers parts listed with “C (Industrial temp)”  
Ensure that you have the right data sheet for your specific part by checking the temperature grade  
(junction) field and matching it to the right data sheet. If you have questions, see freescale.com/imx6series  
or contact your Freescale representative.  
i.MX 6Dual/6Quad Automotive and Infotainment Applications Processors, Rev. 2.3  
Freescale Semiconductor  
3
Introduction  
MC  
IMX6 X @  
+
VV  
$$ % A  
Qualification level  
MC  
Silicon revision1  
A
Rev 1.2  
C
Prototype Samples  
Mass Production  
Special  
PC  
MC  
SC  
Fusing  
%
A
Real Codec off and no HDCPor DTCP  
Real Codec off with HDCPon  
C
Part # series  
i.MX 6Quad  
i.MX 6Dual  
X
Q
D
Frequency  
$$  
800 MHz2 (Industrial grade)  
850 MHz (Automotive grade)  
1 GHz3  
08  
08  
10  
12  
Part differentiator  
@
7
Industrial with VPU, GPU, no MLB  
Automotive with VPU, GPU  
Consumer,with VPU,GPU  
Automotive with GPU, no VPU  
Automotive,no VPU, no GPU  
1.2 GHz  
6
RoHS  
5
Package type  
4
FCPBGA 21x21 0.8mm (lidded)  
VT  
Temperature Tj  
+
1
FCPBGA 21x21 0.8mm (non lidded)  
YM  
Commercial: 0 to + 95C  
D
Extended commercial:-20 to + 105C  
Industrial: -40 to +105C  
E
C
A
Automotive: -40 to + 125C  
1. See the freescale.com\imx6series Webpage forlatest information on the available siliconrevision.  
2. If a 24 MHz input clock is used (required forUSB), the maximum SoC speed is limitedto 792 MHz.  
3. If a 24 MHz input clock is used (required forUSB), the maximum SoC speed is limitedto 996 MHz.  
Figure 1. Part Number Nomenclature—i.MX 6Quad and i.MX 6Dual  
1.2  
Features  
The i.MX 6Dual/6Quad processors are based on ARM Cortex-A9 MPCore™ Platform, which has the  
following features:  
ARM Cortex-A9 MPCore 4xCPU Processor (with TrustZone)  
The core configuration is symmetric, where each core includes:  
— 32 KByte L1 Instruction Cache  
— 32 KByte L1 Data Cache  
— Private Timer and Watchdog  
— Cortex-A9 NEON MPE (Media Processing Engine) Co-processor  
The ARM Cortex-A9 MPCore complex includes:  
General Interrupt Controller (GIC) with 128 interrupt support  
Global Timer  
Snoop Control Unit (SCU)  
1 MB unified I/D L2 cache, shared by two/four cores  
Two Master AXI (64-bit) bus interfaces output of L2 cache  
i.MX 6Dual/6Quad Automotive and Infotainment Applications Processors, Rev. 2.3  
4
Freescale Semiconductor  
Introduction  
Frequency of the core (including Neon and L1 cache) as per Table 6  
NEON MPE coprocessor  
— SIMD Media Processing Architecture  
— NEON register file with 32x64-bit general-purpose registers  
— NEON Integer execute pipeline (ALU, Shift, MAC)  
— NEON dual, single-precision floating point execute pipeline (FADD, FMUL)  
— NEON load/store and permute pipeline  
The SoC-level memory system consists of the following additional components:  
— Boot ROM, including HAB (96 KB)  
— Internal multimedia / shared, fast access RAM (OCRAM, 256 KB)  
— Secure/non-secure RAM (16 KB)  
External memory interfaces:  
— 16-bit, 32-bit, and 64-bit DDR3-1066, LVDDR3-1066, and 1/2 LPDDR2-1066 channels,  
supporting DDR interleaving mode, for 2x32 LPDDR2-1066  
— 8-bit NAND-Flash, including support for Raw MLC/SLC, 2 KB, 4 KB, and 8 KB page size,  
BA-NAND, PBA-NAND, LBA-NAND, OneNAND™ and others. BCH ECC up to 40 bit.  
— 16/32-bit NOR Flash. All EIMv2 pins are muxed on other interfaces.  
— 16/32-bit PSRAM, Cellular RAM  
Each i.MX 6Dual/6Quad processor enables the following interfaces to external devices (some of them are  
muxed and not available simultaneously):  
Hard Disk Drives—SATA II, 3.0 Gbps  
Displays—Total five interfaces available. Total raw pixel rate of all interfaces is up to 450  
Mpixels/sec, 24 bpp. Up to four interfaces may be active in parallel.  
— One Parallel 24-bit display port, up to 225 Mpixels/sec (for example, WUXGA at 60 Hz or dual  
HD1080 and WXGA at 60 Hz)  
— LVDS serial ports—One port up to 165 Mpixels/sec or two ports up to 85 MP/sec (for example,  
WUXGA at 60 Hz) each  
— HDMI 1.4 port  
— MIPI/DSI, two lanes at 1 Gbps  
Camera sensors:  
— Parallel Camera port (up to 20 bit and up to 240 MHz peak)  
— MIPI CSI-2 serial camera port, supporting up to 1000 Mbps/lane in 1/2/3-lane mode and up to  
800 Mbps/lane in 4-lane mode. The CSI-2 Receiver core can manage one clock lane and up to  
four data lanes. Each i.MX 6Dual/6Quad processor has four lanes.  
Expansion cards:  
— Four MMC/SD/SDIO card ports all supporting:  
– 1-bit or 4-bit transfer mode specifications for SD and SDIO cards up to UHS-I SDR-104  
mode (104 MB/s max)  
i.MX 6Dual/6Quad Automotive and Infotainment Applications Processors, Rev. 2.3  
Freescale Semiconductor  
5
Introduction  
– 1-bit, 4-bit, or 8-bit transfer mode specifications for MMC cards up to 52 MHz in both SDR  
and DDR modes (104 MB/s max)  
USB:  
— One High Speed (HS) USB 2.0 OTG (Up to 480 Mbps), with integrated HS USB PHY  
— Three USB 2.0 (480 Mbps) hosts:  
– One HS host with integrated High Speed PHY  
– Two HS hosts with integrated HS-IC USB (High Speed Inter-Chip USB) PHY  
Expansion PCI Express port (PCIe) v2.0 one lane  
— PCI Express (Gen 2.0) dual mode complex, supporting Root complex operations and Endpoint  
operations. Uses x1 PHY configuration.  
Miscellaneous IPs and interfaces:  
— Three I2S/SSI/AC97, up to 1.4 Mbps each  
— Enhanced Serial Audio Interface (ESAI), up to 1.4 Mbps per channel  
— Five UARTs, up to 4.0 Mbps each:  
– Providing RS232 interface  
– Supporting 9-bit RS485 multidrop mode  
– One of the five UARTs (UART1) supports 8-wire while others four supports 4-wire. This is  
due to the SoC IOMUX limitation, since all UART IPs are identical.  
— Five eCSPI (Enhanced CSPI)  
— Three I2C, supporting 400 kbps  
1
— Gigabit Ethernet Controller (IEEE1588 compliant), 10/100/1000 Mbps  
— Four Pulse Width Modulators (PWM)  
— System JTAG Controller (SJC)  
— GPIO with interrupt capabilities  
— 8x8 Key Pad Port (KPP)  
— Sony Philips Digital Interconnect Format (SPDIF), Rx and Tx  
— Two Controller Area Network (FlexCAN), 1 Mbps each  
— Two Watchdog timers (WDOG)  
— Audio MUX (AUDMUX)  
— MLB (MediaLB) provides interface to MOST Networks (150 Mbps) with the option of DTCP  
cipher accelerator  
The i.MX 6Dual/6Quad processors integrate advanced power management unit and controllers:  
Provide PMU, including LDO supplies, for on-chip resources  
Use Temperature Sensor for monitoring the die temperature  
Support DVFS techniques for low power modes  
Use Software State Retention and Power Gating for ARM and MPE  
1. The theoretical maximum performance of 1 Gbps ENET is limited to 470 Mbps (total for Tx and Rx) due to internal bus  
throughput limitations. The actual measured performance in optimized environment is up to 400 Mbps. For details, see the  
ERR004512 erratum in the i.MX 6Dual/6Quad errata document (IMX6DQCE).  
i.MX 6Dual/6Quad Automotive and Infotainment Applications Processors, Rev. 2.3  
6
Freescale Semiconductor  
Introduction  
Support various levels of system power modes  
Use flexible clock gating control scheme  
The i.MX 6Dual/6Quad processors use dedicated hardware accelerators to meet the targeted multimedia  
performance. The use of hardware accelerators is a key factor in obtaining high performance at low power  
consumption numbers, while having the CPU core relatively free for performing other tasks.  
The i.MX 6Dual/6Quad processors incorporate the following hardware accelerators:  
VPU—Video Processing Unit  
IPUv3H—Image Processing Unit version 3H (2 IPUs)  
GPU3Dv4—3D Graphics Processing Unit (OpenGL ES 2.0) version 4  
GPU2Dv2—2D Graphics Processing Unit (BitBlt)  
GPUVG—OpenVG 1.1 Graphics Processing Unit  
ASRC—Asynchronous Sample Rate Converter  
Security functions are enabled and accelerated by the following hardware:  
ARM TrustZone including the TZ architecture (separation of interrupts, memory mapping, etc.)  
SJC—System JTAG Controller. Protecting JTAG from debug port attacks by regulating or  
blocking the access to the system debug features.  
CAAM—Cryptographic Acceleration and Assurance Module, containing 16 KB secure RAM and  
True and Pseudo Random Number Generator (NIST certified)  
SNVS—Secure Non-Volatile Storage, including Secure Real Time Clock  
CSU—Central Security Unit. Enhancement for the IC Identification Module (IIM). Will be  
configured during boot and by eFUSEs and will determine the security level operation mode as  
well as the TZ policy.  
A-HAB—Advanced High Assurance Boot—HABv4 with the new embedded enhancements:  
SHA-256, 2048-bit RSA key, version control mechanism, warm boot, CSU, and TZ initialization.  
1.3  
Updated Signal Naming Convention  
The signal names of the i.MX6 series of products have been standardized to better align the signal names  
within the family and across the documentation. Some of the benefits of these changes are as follows:  
The names are unique within the scope of an SoC and within the series of products  
Searches will return all occurrences of the named signal  
The names are consistent between i.MX 6 series products implementing the same modules  
The module instance is incorporated into the signal name  
This change applies only to signal names. The original ball names have been preserved to prevent the need  
to change schematics, BSDL models, IBIS models, etc.  
Throughout this document, the updated signal names are used except where referenced as a ball name  
(such as the Functional Contact Assignments table, Ball Map table, and so on). A master list of the signal  
name changes is in the document, IMX 6 Series Signal Name Mapping (EB792). This list can be used to  
map the signal names used in older documentation to the new standardized naming conventions.  
i.MX 6Dual/6Quad Automotive and Infotainment Applications Processors, Rev. 2.3  
Freescale Semiconductor  
7
Architectural Overview  
2 Architectural Overview  
The following subsections provide an architectural overview of the i.MX 6Dual/6Quad processor system.  
2.1  
Block Diagram  
Figure 2 shows the functional modules in the i.MX 6Dual/6Quad processor system.  
MIPI  
Display  
Raw/ONFI 2.2  
Nand-Flash  
LPDDR2/DDR3  
532MHz (DDR1066)  
NOR Flash Battery Ctrl  
PSRAM Device  
4x Camera  
1/2 LVDS  
1/2 LCD HDMI 1.4  
Parallel/MIPI (WUXGA+) Displays  
Display  
Application Processor  
Domain (AP)  
CSI2/MIPI  
LDB  
HDMI  
DSI/MIPI  
External  
Memory  
Interface  
Digital  
Audio  
GPMI  
MMDC  
EIM  
Internal  
RAM  
(272KB)  
Clock and Reset  
Crystals  
& Clock sources  
ImageProcessing  
Subsystem  
PLL (8)  
CCM  
2x IPUv3H  
Boot  
ROM  
(96KB)  
GPC  
SATA II  
3.0Gbps  
ARM Cortex A9  
MPCore Platform  
4x  
SRC  
Smart DMA  
(SDMA)  
XTALOSC  
OSC32K  
Debug  
DAP  
A9-Core  
L1 I/D Cache  
Timer, Wdog  
TPIU  
CTIs  
SJC  
SPBA  
MMC/SD  
eMMC/eSD  
AP Peripherals  
2xCAN  
Interface  
1MB L2 cache  
SCU, Timer  
PTM’s CTI’s  
uSDHC (3)  
uSDHC  
AUDMUX  
I2C (3)  
Shared Peripherals  
MMC/SD  
SDXC  
eCSPI (5)  
SSI (3)  
Security  
Video  
5xFast-UART  
SPDIF Rx/Tx  
ESAI  
CAAM  
(16KB Ram)  
Proc. Unit  
(VPU + Cache)  
PWM (4)  
PCIeBus  
GPS  
ASRC  
SNVS  
(SRTC)  
OCOTP  
IOMUXC  
KPP  
3D Graphics  
Proc. Unit  
(GPU3D)  
Modem IC  
Keypad  
CSU  
Fuse Box  
2D Graphics  
Proc. Unit  
(GPU2D)  
GPIO  
CAN (2)  
Timers/Control  
WDOG (2)  
GPT  
1-Gbps ENET  
MLB 150  
DTCP  
OpenVG 1.1  
Proc. Unit  
(GPUVG)  
Ethernet  
10/100/1000  
Mbps  
EPIT (2)  
Audio,  
Power  
Mgmnt.  
HSI/MIPI  
Temp Monitor  
OTG PHY1  
Host PHY2  
USB OTG +  
3 HS Ports  
2xHSIC  
PHY  
JTAG  
(IEEE1149.6)  
USB OTG  
(dev/host)  
MLB/Most  
Network  
WLAN  
Bluetooth  
Figure 2. i.MX 6Dual/6Quad Automotive Grade System Block Diagram  
NOTE  
The numbers in brackets indicate number of module instances. For example,  
PWM (4) indicates four separate PWM peripherals.  
i.MX 6Dual/6Quad Automotive and Infotainment Applications Processors, Rev. 2.3  
8
Freescale Semiconductor  
Modules List  
3 Modules List  
The i.MX 6Dual/6Quad processors contain a variety of digital and analog modules. Table 2 describes these  
modules in alphabetical order.  
Table 2. i.MX 6Dual/6Quad Modules List  
Block  
Mnemonic  
Block Name  
Subsystem  
Brief Description  
512x8 Fuse Electrical Fuse Array Security  
Box  
Electrical Fuse Array. Enables to setup Boot Modes, Security Levels,  
Security Keys, and many other system parameters.  
The i.MX 6Dual/6Quad processors consist of 512x8-bit fuse box  
accessible through OCOTP_CTRL interface  
APBH-DMA NAND Flash and  
BCH ECC DMA  
System  
Control  
DMA controller used for GPMI2 operation  
Controller  
Peripherals  
ARM  
ARM Platform  
ARM  
The ARM Cortex-A9 platform consists of 4x (four) Cortex-A9 cores  
version r2p10 and associated sub-blocks, including Level 2 Cache  
Controller, SCU (Snoop Control Unit), GIC (General Interrupt Controller),  
private timers, Watchdog, and CoreSight debug modules.  
ASRC  
Asynchronous  
Sample Rate  
Converter  
Multimedia  
Peripherals  
The Asynchronous Sample Rate Converter (ASRC) converts the  
sampling rate of a signal associated to an input clock into a signal  
associated to a different output clock. The ASRC supports concurrent  
sample rate conversion of up to 10 channels of about -120dB THD+N. The  
sample rate conversion of each channel is associated to a pair of  
incoming and outgoing sampling rates. The ASRC supports up to three  
sampling rate pairs.  
AUDMUX  
Digital Audio Mux  
Multimedia  
Peripherals  
The AUDMUX is a programmable interconnect for voice, audio, and  
synchronous data routing between host serial interfaces (for example,  
SSI1, SSI2, and SSI3) and peripheral serial interfaces (audio and voice  
codecs). The AUDMUX has seven ports with identical functionality and  
programming models. A desired connectivity is achieved by configuring  
two or more AUDMUX ports.  
BCH40  
CAAM  
Binary-BCH ECC  
Processor  
System  
Control  
Peripherals  
The BCH40 module provides up to 40-bit ECC encryption/decryption for  
NAND Flash controller (GPMI)  
Cryptographic  
Accelerator and  
Assurance Module  
Security  
CAAM is a cryptographic accelerator and assurance module. CAAM  
implements several encryption and hashing functions, a run-time integrity  
checker, and a Pseudo Random Number Generator (PRNG). The pseudo  
random number generator is certified by Cryptographic Algorithm  
Validation Program (CAVP) of National Institute of Standards and  
Technology (NIST). Its DRBG validation number is 94 and its SHS  
validation number is 1455.  
CAAM also implements a Secure Memory mechanism. In i.MX  
6Dual/6Quad processors, the security memory provided is 16 KB.  
CCM  
GPC  
SRC  
Clock Control  
Module, General  
Power Controller,  
System Reset  
Controller  
Clocks,  
These modules are responsible for clock and reset distribution in the  
Resets, and system, and also for the system power management.  
Power Control  
i.MX 6Dual/6Quad Automotive and Infotainment Applications Processors, Rev. 2.3  
Freescale Semiconductor  
9
Modules List  
Table 2. i.MX 6Dual/6Quad Modules List (continued)  
Block  
Mnemonic  
Block Name  
Subsystem  
Brief Description  
CSI  
MIPI CSI-2 Interface Multimedia  
Peripherals  
The CSI IP provides MIPI CSI-2 standard camera interface port. The  
CSI-2 interface supports up to 1 Gbps for up to 3 data lanes and up to 800  
Mbps for 4 data lanes.  
CSU  
Central Security Unit Security  
The Central Security Unit (CSU) is responsible for setting comprehensive  
security policy within the i.MX 6Dual/6Quad platform. The Security  
Control Registers (SCR) of the CSU are set during boot time by the HAB  
and are locked to prevent further writing.  
CTI-0  
CTI-1  
CTI-2  
CTI-3  
CTI-4  
Cross Trigger  
Interfaces  
Debug / Trace Cross Trigger Interfaces allows cross-triggering based on inputs from  
masters attached to CTIs. The CTI module is internal to the Cortex-A9  
Core Platform.  
CTM  
Cross Trigger Matrix Debug / Trace Cross Trigger Matrix IP is used to route triggering events between CTIs.  
The CTM module is internal to the Cortex-A9 Core Platform.  
DAP  
Debug Access Port System  
Control  
The DAP provides real-time access for the debugger without halting the  
core to:  
Peripherals  
• System memory and peripheral registers  
• All debug configuration registers  
The DAP also provides debugger access to JTAG scan chains. The DAP  
module is internal to the Cortex-A9 Core Platform.  
DCIC-0  
DCIC-1  
Display Content  
Integrity Checker  
Automotive IP The DCIC provides integrity check on portion(s) of the display. Each i.MX  
6Dual/6Quad processor has two such modules, one for each IPU.  
DSI  
MIPI DSI interface  
Multimedia  
Peripherals  
The MIPI DSI IP provides DSI standard display port interface. The DSI  
interface support 80 Mbps to 1 Gbps speed per data lane.  
DTCP  
DTCP  
MM  
Provides encryption function according to Digital Transmission Content  
Protection standard for traffic over MLB150.  
eCSPI1-5  
Configurable SPI  
Connectivity Full-duplex enhanced Synchronous Serial Interface. It is configurable to  
Peripherals  
support Master/Slave modes, four chip selects to support multiple  
peripherals.  
ENET  
Ethernet Controller Connectivity The Ethernet Media Access Controller (MAC) is designed to support  
Peripherals  
10/100/1000 Mbps Ethernet/IEEE 802.3 networks. An external  
transceiver interface and transceiver function are required to complete the  
interface to the media. The i.MX 6Dual/6Quad processors also consist of  
hardware assist for IEEE 1588 standard. For details, see the ENET  
chapter of the i.MX 6Dual/6Quad reference manual (IMX6DQRM).  
Note: The theoretical maximum performance of 1 Gbps ENET is limited  
to 470 Mbps (total for Tx and Rx) due to internal bus throughput  
limitations. The actual measured performance in optimized environment  
is up to 400 Mbps. For details, see the ERR004512 erratum in the i.MX  
6Dual/6Quad errata document (IMX6DQCE).  
i.MX 6Dual/6Quad Automotive and Infotainment Applications Processors, Rev. 2.3  
Freescale Semiconductor  
10  
Modules List  
Table 2. i.MX 6Dual/6Quad Modules List (continued)  
Block Name Subsystem Brief Description  
Enhanced Periodic Timer  
Block  
Mnemonic  
EPIT-1  
EPIT-2  
Each EPIT is a 32-bit “set and forget” timer that starts counting after the  
EPIT is enabled by software. It is capable of providing precise interrupts  
at regular intervals with minimal processor intervention. It has a 12-bit  
prescaler for division of input clock frequency to get the required time  
setting for the interrupts to occur, and counter value can be programmed  
on the fly.  
Interrupt Timer  
Peripherals  
ESAI  
Enhanced Serial  
Audio Interface  
Connectivity The Enhanced Serial Audio Interface (ESAI) provides a full-duplex serial  
Peripherals  
port for serial communication with a variety of serial devices, including  
industry-standard codecs, SPDIF transceivers, and other processors.  
The ESAI consists of independent transmitter and receiver sections, each  
section with its own clock generator. All serial transfers are synchronized  
to a clock. Additional synchronization signals are used to delineate the  
word frames. The normal mode of operation is used to transfer data at a  
periodic rate, one word per period. The network mode is also intended for  
periodic transfers; however, it supports up to 32 words (time slots) per  
period. This mode can be used to build time division multiplexed (TDM)  
networks. In contrast, the on-demand mode is intended for non-periodic  
transfers of data and to transfer data serially at high speed when the data  
becomes available.  
The ESAI has 12 pins for data and clocking connection to external  
devices.  
FlexCAN-1 Flexible Controller  
FlexCAN-2 Area Network  
Connectivity The CAN protocol was primarily, but not only, designed to be used as a  
Peripherals  
vehicle serial data bus, meeting the specific requirements of this field:  
real-time processing, reliable operation in the Electromagnetic  
interference (EMI) environment of a vehicle, cost-effectiveness and  
required bandwidth. The FlexCAN module is a full implementation of the  
CAN protocol specification, Version 2.0 B, which supports both standard  
and extended message frames.  
GPIO-1  
GPIO-2  
GPIO-3  
GPIO-4  
GPIO-5  
GPIO-6  
GPIO-7  
General Purpose I/O System  
Used for general purpose input/output to external devices. Each GPIO  
module supports 32 bits of I/O.  
Modules  
Control  
Peripherals  
GPMI  
General Purpose  
Media Interface  
Connectivity The GPMI module supports up to 8x NAND devices. 40-bit ECC  
Peripherals  
encryption/decryption for NAND Flash controller (GPMI2). The GPMI  
supports separate DMA channels per NAND device.  
GPT  
General Purpose  
Timer  
Timer  
Peripherals  
Each GPT is a 32-bit “free-running” or “set and forget” mode timer with  
programmable prescaler and compare and capture register. A timer  
counter value can be captured using an external event and can be  
configured to trigger a capture event on either the leading or trailing edges  
of an input pulse. When the timer is configured to operate in “set and  
forget” mode, it is capable of providing precise interrupts at regular  
intervals with minimal processor intervention. The counter has output  
compare logic to provide the status and interrupt at comparison. This  
timer can be configured to run either on an external clock or on an internal  
clock.  
i.MX 6Dual/6Quad Automotive and Infotainment Applications Processors, Rev. 2.3  
Freescale Semiconductor  
11  
Modules List  
Table 2. i.MX 6Dual/6Quad Modules List (continued)  
Block  
Mnemonic  
Block Name  
Subsystem  
Brief Description  
GPU2Dv2  
GPU3Dv4  
Graphics Processing Multimedia  
The GPU2Dv2 provides hardware acceleration for 2D graphics  
algorithms, such as Bit BLT, stretch BLT, and many other 2D functions.  
Unit-2D, ver. 2  
Peripherals  
Graphics Processing Multimedia  
The GPU3Dv4 provides hardware acceleration for 3D graphics algorithms  
with sufficient processor power to run desktop quality interactive graphics  
applications on displays up to HD1080 resolution. The GPU3D provides  
OpenGL ES 2.0, including extensions, OpenGL ES 1.1, and OpenVG 1.1  
Unit, ver. 4  
Peripherals  
GPUVGv2  
Vector Graphics  
Processing Unit,  
ver. 2  
Multimedia  
Peripherals  
OpenVG graphics accelerator provides OpenVG 1.1 support as well as  
other accelerations, including Real-time hardware curve tesselation of  
lines, quadratic and cubic Bezier curves, 16x Line Anti-aliasing, and  
various Vector Drawing functions.  
HDMI Tx  
HSI  
HDMI Tx interface  
MIPI HSI interface  
I2C Interface  
Multimedia  
Peripherals  
The HDMI module provides HDMI standard interface port to an HDMI 1.4  
compliant display.  
Connectivity The MIPI HSI provides a standard MIPI interface to the applications  
Peripherals processor.  
I2C-1  
I2C-2  
I2C-3  
Connectivity I2C provide serial interface for external devices. Data rates of up to 400  
Peripherals  
kbps are supported.  
IOMUXC  
IOMUX Control  
System  
Control  
Peripherals  
This module enables flexible IO multiplexing. Each IO pad has default and  
several alternate functions. The alternate functions are software  
configurable.  
IPUv3H-1  
IPUv3H-2  
Image Processing  
Unit, ver. 3H  
Multimedia  
Peripherals  
IPUv3H enables connectivity to displays and video sources, relevant  
processing and synchronization and control capabilities, allowing  
autonomous operation.  
The IPUv3H supports concurrent output to two display ports and  
concurrent input from two camera ports, through the following interfaces:  
• Parallel Interfaces for both display and camera  
• Single/dual channel LVDS display interface  
• HDMI transmitter  
• MIPI/DSI transmitter  
• MIPI/CSI-2 receiver  
The processing includes:  
• Image conversions: resizing, rotation, inversion, and color space  
conversion  
• A high-quality de-interlacing filter  
• Video/graphics combining  
• Image enhancement: color adjustment and gamut mapping, gamma  
correction, and contrast enhancement  
• Support for display backlight reduction  
KPP  
Key Pad Port  
Connectivity KPP Supports 8 x 8 external key pad matrix. KPP features are:  
Peripherals  
• Open drain design  
• Glitch suppression circuit design  
• Multiple keys detection  
• Standby key press detection  
i.MX 6Dual/6Quad Automotive and Infotainment Applications Processors, Rev. 2.3  
Freescale Semiconductor  
12  
Modules List  
Table 2. i.MX 6Dual/6Quad Modules List (continued)  
Block Name Subsystem Brief Description  
LVDS Display Bridge Connectivity LVDS Display Bridge is used to connect the IPU (Image Processing Unit)  
Block  
Mnemonic  
LDB  
Peripherals  
to External LVDS Display Interface. LDB supports two channels; each  
channel has following signals:  
• One clock pair  
• Four data pairs  
Each signal pair contains LVDS special differential pad (PadP, PadM).  
MLB150  
MMDC  
MediaLB  
Connectivity / The MLB interface module provides a link to a MOST® data network,  
Multimedia  
Peripherals  
using the standardized MediaLB protocol (up to 150 Mbps).  
The module is backward compatible to MLB-50.  
Multi-Mode DDR  
Controller  
Connectivity DDR Controller has the following features:  
Peripherals  
• Support 16/32/64-bit DDR3-1066 (LV) or LPDDR2-1066  
• Supports both dual x32 for LPDDR2 and x64 DDR3 / LPDDR2  
configurations (including 2x32 interleaved mode)  
• Support up to 4 GByte DDR memory space  
OCOTP_CTRL OTP Controller  
Security  
The On-Chip OTP controller (OCOTP_CTRL) provides an interface for  
reading, programming, and/or overriding identification and control  
information stored in on-chip fuse elements. The module supports  
electrically-programmable poly fuses (eFUSEs). The OCOTP_CTRL also  
provides a set of volatile software-accessible signals that can be used for  
software control of hardware elements, not requiring non-volatility. The  
OCOTP_CTRL provides the primary user-visible mechanism for  
interfacing with on-chip fuse elements. Among the uses for the fuses are  
unique chip identifiers, mask revision numbers, cryptographic keys, JTAG  
secure mode, boot characteristics, and various control signals, requiring  
permanent non-volatility.  
OCRAM  
On-Chip Memory  
Controller  
Data Path  
Clocking  
The On-Chip Memory controller (OCRAM) module is designed as an  
interface between system’s AXI bus and internal (on-chip) SRAM memory  
module.  
In i.MX 6Dual/6Quad processors, the OCRAM is used for controlling the  
256 KB multimedia RAM through a 64-bit AXI bus.  
OSC 32 kHz OSC 32 kHz  
Generates 32.768 kHz clock from an external crystal.  
PCIe  
PCI Express 2.0  
Connectivity The PCIe IP provides PCI Express Gen 2.0 functionality.  
Peripherals  
PMU  
Power-Management Data Path  
Functions  
Integrated power management unit. Used to provide power to various  
SoC domains.  
PWM-1  
PWM-2  
PWM-3  
PWM-4  
Pulse Width  
Modulation  
Connectivity The pulse-width modulator (PWM) has a 16-bit counter and is optimized  
Peripherals  
to generate sound from stored sample audio images and it can also  
generate tones. It uses 16-bit resolution and a 4x16 data FIFO to generate  
sound.  
RAM  
Secure/non-secure Secured  
Secure/non-secure Internal RAM, interfaced through the CAAM.  
16 KB  
RAM  
Internal  
Memory  
RAM  
256 KB  
Internal RAM  
Internal  
Memory  
Internal RAM, which is accessed through OCRAM memory controller.  
i.MX 6Dual/6Quad Automotive and Infotainment Applications Processors, Rev. 2.3  
Freescale Semiconductor  
13  
Modules List  
Table 2. i.MX 6Dual/6Quad Modules List (continued)  
Block  
Mnemonic  
Block Name  
Boot ROM  
Subsystem  
Brief Description  
ROM  
96KB  
Internal  
Memory  
Supports secure and regular Boot Modes. Includes read protection on 4K  
region for content protection  
ROMCP  
ROM Controller with Data Path  
Patch  
ROM Controller with ROM Patch support  
SATA  
Serial ATA  
Connectivity The SATA controller and PHY is a complete mixed-signal IP solution  
Peripherals  
designed to implement SATA II, 3.0 Gbps HDD connectivity.  
SDMA  
SmartDirectMemory System  
The SDMA is multi-channel flexible DMA engine. It helps in maximizing  
system performance by off-loading the various cores in dynamic data  
routing. It has the following features:  
Access  
Control  
Peripherals  
• Powered by a 16-bit Instruction-Set micro-RISC engine  
• Multi-channel DMA supporting up to 32 time-division multiplexed DMA  
channels  
• 48 events with total flexibility to trigger any combination of channels  
• Memory accesses including linear, FIFO, and 2D addressing  
• Shared peripherals between ARM and SDMA  
• Very fast context-switching with 2-level priority based preemptive  
multi-tasking  
• DMA units with auto-flush and prefetch capability  
• Flexible address management for DMA transfers (increment,  
decrement, and no address changes on source and destination  
address)  
• DMA ports can handle unit-directional and bi-directional flows (copy  
mode)  
• Up to 8-word buffer for configurable burst transfers  
• Support of byte-swapping and CRC calculations  
• Library of Scripts and API is available  
SJC  
System JTAG  
Controller  
System  
Control  
Peripherals  
The SJC provides JTAG interface, which complies with JTAG TAP  
standards, to internal logic. The i.MX 6Dual/6Quad processors use JTAG  
port for production, testing, and system debugging. In addition, the SJC  
provides BSR (Boundary Scan Register) standard support, which  
complies with IEEE1149.1 and IEEE1149.6 standards.  
The JTAG port must be accessible during platform initial laboratory  
bring-up, for manufacturing tests and troubleshooting, as well as for  
software debugging by authorized entities. The i.MX 6Dual/6Quad SJC  
incorporates three security modes for protecting against unauthorized  
accesses. Modes are selected through eFUSE configuration.  
SNVS  
SPDIF  
Secure Non-Volatile Security  
Storage  
Secure Non-Volatile Storage, including Secure Real Time Clock, Security  
State Machine, Master Key Control, and Violation/Tamper Detection and  
reporting.  
Sony Philips Digital Multimedia  
Interconnect Format Peripherals  
A standard audio file transfer format, developed jointly by the Sony and  
Phillips corporations. It supports Transmitter and Receiver functionality.  
i.MX 6Dual/6Quad Automotive and Infotainment Applications Processors, Rev. 2.3  
Freescale Semiconductor  
14  
Modules List  
Table 2. i.MX 6Dual/6Quad Modules List (continued)  
Block Name Subsystem Brief Description  
Connectivity The SSI is a full-duplex synchronous interface, which is used on the  
Block  
Mnemonic  
SSI-1  
SSI-2  
SSI-3  
I2S/SSI/AC97  
Interface  
Peripherals  
processor to provide connectivity with off-chip audio peripherals. The SSI  
supports a wide variety of protocols (SSI normal, SSI network, I2S, and  
AC-97), bit depths (up to 24 bits per word), and clock / frame sync options.  
The SSI has two pairs of 8x24 FIFOs and hardware support for an  
external DMA controller in order to minimize its impact on system  
performance. The second pair of FIFOs provides hardware interleaving of  
a second audio stream that reduces CPU overhead in use cases where  
two time slots are being used simultaneously.  
TEMPMON Temperature Monitor System  
Control  
The temperature monitor/sensor IP module for detecting high temperature  
conditions. The temperature read out does not reflect case or ambient  
temperature. It reflects the temperature in proximity of the sensor location  
on the die. Temperature distribution may not be uniformly distributed;  
therefore, the read out value may not be the reflection of the temperature  
value for the entire die.  
Peripherals  
TZASC  
Trust-Zone Address Security  
Space Controller  
The TZASC (TZC-380 by ARM) provides security address region control  
functions required for intended application. It is used on the path to the  
DRAM controller.  
UART-1  
UART-2  
UART-3  
UART-4  
UART-5  
UART Interface  
Connectivity Each of the UARTv2 modules support the following serial data  
Peripherals  
transmit/receive protocols and configurations:  
• 7- or 8-bit data words, 1 or 2 stop bits, programmable parity (even, odd  
or none)  
• Programmable baud rates up to 4 MHz. This is a higher max baud rate  
relative to the 1.875 MHz, which is stated by the TIA/EIA-232-F  
standard and the i.MX31 UART modules.  
• 32-byte FIFO on Tx and 32 half-word FIFO on Rx supporting auto-baud  
• IrDA 1.0 support (up to SIR speed of 115200 bps)  
• Option to operate as 8-pins full UART, DCE, or DTE  
USBOH3A USB 2.0 High Speed Connectivity USBOH3 contains:  
OTG and 3x HS  
Hosts  
Peripherals  
• One high-speed OTG module with integrated HS USB PHY  
• One high-speed Host module with integrated HS USB PHY  
Two identical high-speed Host modules connected to HSIC USB ports.  
i.MX 6Dual/6Quad Automotive and Infotainment Applications Processors, Rev. 2.3  
Freescale Semiconductor  
15  
Modules List  
Table 2. i.MX 6Dual/6Quad Modules List (continued)  
Block  
Mnemonic  
Block Name  
Subsystem  
Brief Description  
uSDHC-1  
uSDHC-2  
uSDHC-2  
uSDHC-4  
SD/MMC and SDXC Connectivity i.MX 6Dual/6Quad specific SoC characteristics:  
Enhanced  
Peripherals  
All four MMC/SD/SDIO controller IPs are identical and are based on the  
uSDHC IP. They are:  
Multi-Media Card /  
Secure Digital Host  
Controller  
• Fully compliant with MMC command/response sets and Physical Layer  
as defined in the Multimedia Card System Specification,  
v4.2/4.3/4.4/4.41 including high-capacity (size > 2 GB) cards HC MMC.  
Hardware reset as specified for eMMC cards is supported at ports #3  
and #4 only.  
• Fully compliant with SD command/response sets and Physical Layer  
as defined in the SD Memory Card Specifications, v3.0 including  
high-capacity SDHC cards up to 32 GB.  
• Fully compliant with SDIO command/response sets and  
interrupt/read-wait mode as defined in the SDIO Card Specification,  
Part E1, v1.10  
• Fully compliant with SD Card Specification, Part A2, SD Host  
Controller Standard Specification, v2.00  
All four ports support:  
• 1-bit or 4-bit transfer mode specifications for SD and SDIO cards up to  
UHS-I SDR104 mode (104 MB/s max)  
• 1-bit, 4-bit, or 8-bit transfer mode specifications for MMC cards up to 52  
MHz in both SDR and DDR modes (104 MB/s max)  
However, the SoC-level integration and I/O muxing logic restrict the  
functionality to the following:  
• Instances #1 and #2 are primarily intended to serve as external slots or  
interfaces to on-board SDIO devices. These ports are equipped with  
“Card Detection” and “Write Protection” pads and do not support  
hardware reset.  
• Instances #3 and #4 are primarily intended to serve interfaces to  
embedded MMC memory or interfaces to on-board SDIO devices.  
These ports do not have “Card detection” and “Write Protection” pads  
and do support hardware reset.  
• All ports can work with 1.8 V and 3.3 V cards. There are two completely  
independent I/O power domains for Ports #1 and #2 in four bit  
configuration (SD interface). Port #3 is placed in his own independent  
power domain and port #4 shares power domain with some other  
interfaces.  
VDOA  
VPU  
VDOA  
Multimedia  
Peripherals  
The Video Data Order Adapter (VDOA) is used to re-order video data from  
the “tiled” order used by the VPU to the conventional raster-scan order  
needed by the IPU.  
Video Processing  
Unit  
Multimedia  
Peripherals  
A high-performing video processing unit (VPU), which covers many  
SD-level and HD-level video decoders and SD-level encoders as a  
multi-standard video codec engine as well as several important video  
processing, such as rotation and mirroring.  
See the i.MX 6Dual/6Quad reference manual (IMX6DQRM) for complete  
list of VPU’s decoding/encoding capabilities.  
WDOG-1  
Watchdog  
Timer  
Peripherals  
The Watchdog Timer supports two comparison points during each  
counting period. Each of the comparison points is configurable to evoke  
an interrupt to the ARM core, and a second point evokes an external event  
on the WDOG line.  
i.MX 6Dual/6Quad Automotive and Infotainment Applications Processors, Rev. 2.3  
Freescale Semiconductor  
16  
Modules List  
Table 2. i.MX 6Dual/6Quad Modules List (continued)  
Block  
Mnemonic  
Block Name  
Subsystem  
Brief Description  
The TrustZone Watchdog (TZ WDOG) timer module protects against  
WDOG-2  
(TZ)  
Watchdog  
(TrustZone)  
Timer  
Peripherals  
TrustZone starvation by providing a method of escaping normal mode and  
forcing a switch to the TZ mode. TZ starvation is a situation where the  
normal OS prevents switching to the TZ mode. Such a situation is  
undesirable as it can compromise the system’s security. Once the TZ  
WDOG module is activated, it must be serviced by TZ software on a  
periodic basis. If servicing does not take place, the timer times out. Upon  
a time-out, the TZ WDOG asserts a TZ mapped interrupt that forces  
switching to the TZ mode. If it is still not served, the TZ WDOG asserts a  
security violation signal to the CSU. The TZ WDOG module cannot be  
programmed or deactivated by a normal mode Software.  
EIM  
NOR-Flash /PSRAM Connectivity The EIM NOR-FLASH / PSRAM provides:  
interface  
Peripherals  
• Support 16-bit (in muxed IO mode only) PSRAM memories (sync and  
async operating modes), at slow frequency  
• Support 16-bit (in muxed IO mode only) NOR-Flash memories, at slow  
frequency  
• Multiple chip selects  
XTALOSC  
Crystal Oscillator  
interface  
The XTALOSC module enables connectivity to external crystal oscillator  
device. In a typical application use-case, it is used for 24 MHz oscillator.  
3.1  
Special Signal Considerations  
The package contact assignments can be found in Section 6, “Package Information and Contact  
Assignments.” Signal descriptions are defined in the i.MX 6Dual/6Quad reference manual  
(IMX6DQRM). Special signal consideration information is contained in the Hardware Development  
Guide for i.MX 6Quad, 6Dual, 6DualLite, 6Solo Families of Applications Processors  
(IMX6DQ6SDLHDG).  
3.2  
Recommended Connections for Unused Analog Interfaces  
The recommended connections for unused analog interfaces can be found in the section, “Unused analog  
interfaces,” of the Hardware Development Guide for i.MX 6Quad, 6Dual, 6DualLite, 6Solo Families of  
Applications Processors (IMX6DQ6SDLHDG).  
i.MX 6Dual/6Quad Automotive and Infotainment Applications Processors, Rev. 2.3  
Freescale Semiconductor  
17  
Electrical Characteristics  
4 Electrical Characteristics  
This section provides the device and module-level electrical characteristics for the i.MX 6Dual/6Quad  
processors.  
4.1  
Chip-Level Conditions  
This section provides the device-level electrical characteristics for the SoC. See Table 3 for a quick  
reference to the individual tables and sections.  
Table 3. i.MX 6Dual/6Quad Chip-Level Conditions  
For these characteristics, …  
Absolute Maximum Ratings  
Topic appears …  
on page 18  
on page 19  
on page 20  
on page 22  
on page 23  
on page 25  
on page 26  
on page 26  
on page 28  
on page 29  
FCPBGA Package Thermal Resistance  
Operating Ranges  
External Clock Sources  
Maximum Supply Currents  
Low Power Mode Supply Currents  
USB PHY Current Consumption  
SATA Typical Power Consumption  
PCIe 2.0 Maximum Power Consumption  
HDMI Maximum Power Consumption  
4.1.1  
Absolute Maximum Ratings  
CAUTION  
Stresses beyond those listed under Table 4 may affect reliability or cause  
permanent damage to the device. These are stress ratings only. Functional  
operation of the device at these or any other conditions beyond those  
indicated in the Operating Ranges or Parameters tables is not implied.  
Table 4. Absolute Maximum Ratings  
Parameter Description  
Symbol  
Min  
Max  
Unit  
Core supply voltages  
VDD_ARM_IN  
VDD_ARM23_IN  
VDD_SOC_IN  
-0.3  
1.5  
V
Internal supply voltages  
VDD_ARM_CAP  
VDD_ARM23_CAP  
VDD_SOC_CAP  
VDD_PU_CAP  
-0.3  
1.3  
V
GPIO supply voltage  
Supplies denoted as I/O supply  
Supplies denoted as I/O supply  
-0.5  
-0.4  
3.6  
V
V
DDR I/O supply voltage  
1.975  
i.MX 6Dual/6Quad Automotive and Infotainment Applications Processors, Rev. 2.3  
Freescale Semiconductor  
18  
Electrical Characteristics  
Table 4. Absolute Maximum Ratings (continued)  
Parameter Description  
Symbol  
Min  
Max  
Unit  
MLB I/O supply voltage  
LVDS I/O supply voltage  
VDD_HIGH_IN supply voltage  
USB VBUS  
Supplies denoted as I/O supply  
Supplies denoted as I/O supply  
VDD_HIGH_IN  
-0.3  
-0.3  
-0.3  
2.8  
2.8  
V
V
V
V
V
3.6  
USB_H1_VBUS/USB_OTG_VBUS  
USB_DP/USB_DN  
5.25  
3.63  
Input voltage on USB_OTG_DP, USB_OTG_DN,  
USB_H1_DP, USB_H1_DN pins  
-0.3  
Input/output voltage range  
ESD damage immunity:  
Vin/Vout  
Vesd  
-0.5  
OVDD1+0.3  
V
V
• Human Body Model (HBM)  
• Charge Device Model (CDM)  
2000  
500  
Storage temperature range  
TSTORAGE  
-40  
150  
oC  
1
OVDD is the I/O supply voltage.  
4.1.2  
Thermal Resistance  
4.1.2.1  
FCPBGA Package Thermal Resistance  
provides the FCPBGA package thermal resistance data.  
Table 5. FCPBGA Package Thermal Resistance Data (Lidded)  
Thermal Parameter  
Junction to Ambient1  
Test Conditions  
Symbol  
Value  
Unit  
Single-layer board (1s); natural convection2  
RJA  
RJA  
24  
15  
17  
12  
5
C/W  
C/W  
C/W  
C/W  
C/W  
C/W  
Four-layer board (2s2p); natural convection2  
Junction to Ambient1  
Single-layer board (1s); air flow 200 ft/min3  
RJMA  
RJMA  
RJB  
Four-layer board (2s2p); air flow 200 ft/min4  
Junction to Board1,4  
Junction to Case (top)1,5  
RJCtop  
1
1
Junction temperature is a function of die size, on-chip power dissipation, package thermal resistance, mounting site (board)  
temperature, ambient temperature, air flow, power dissipation of other components on the board, and board thermal  
resistance.  
2
Per JEDEC JESD51-3 with the single layer board horizontal. Thermal test board meets JEDEC specification for the specified  
package.  
3
4
Per JEDEC JESD51-6 with the board horizontal.  
Thermal resistance between the die and the printed circuit board per JEDEC JESD51-8. Board temperature is measured on  
the top surface of the board near the package.  
5
Thermal resistance between the die and the case top surface as measured by the cold plate method (MIL SPEC-883 Method  
1012.1). The cold plate temperature is used for the case temperature. Reported value includes the thermal resistance of the  
interface layer.  
i.MX 6Dual/6Quad Automotive and Infotainment Applications Processors, Rev. 2.3  
Freescale Semiconductor  
19  
Electrical Characteristics  
4.1.3  
Operating Ranges  
Table 6 provides the operating ranges of the i.MX 6Dual/6Quad processors.  
Table 6. Operating Ranges  
Parameter  
Description  
Symbol  
Min  
Typ  
Max1  
Unit  
Comment  
Run mode: LDO  
enabled  
VDD_ARM_IN  
1.353  
1.5  
V
LDO Output Set Point (VDD_ARM_CAP4) of  
1.225 V minimum for operation up to 852 MHz  
or 996 MHz (depending on the device speed  
grade).  
VDD_ARM23_IN2  
1.2753  
1.053  
1.5  
1.5  
1.5  
V
V
V
LDO Output Set Point (VDD_ARM_CAP4) of  
1.150 V minimum for operation up to 792 MHz.  
LDO Output Set Point (VDD_ARM_CAP4) of  
0.925 V minimum for operation up to 396 MHz.  
VDD_SOC_IN5  
1.3503,6  
264 MHz < VPU 352 MHz; VDDSOC and  
VDDPU LDO outputs (VDD_SOC_CAP and  
VDD_PU_CAP) require 1.225 V minimum.  
1.2753,6  
1.225  
1.5  
1.3  
V
V
VPU 264 MHz; VDDSOC and VDDPU LDO  
outputs (VDD_SOC_CAP and VDD_PU_CAP)  
require 1.15 V minimum.  
Run mode: LDO  
bypassed  
VDD_ARM_IN  
LDO bypassed for operation up to 852 MHz or  
996 MHz (depending on the device speed  
grade).  
VDD_ARM23_IN2  
1.125  
0.925  
1.2256  
1.156  
0.9  
1.3  
1.3  
1.3  
1.3  
1.3  
V
V
V
V
V
LDO bypassed for operation up to 792 MHz.  
LDO bypassed for operation up to 396 MHz.  
264 MHz < VPU 352 MHz  
VDD_SOC_IN5  
VPU 264 MHz  
Standby/DSM Mode  
VDD_ARM_IN  
See Table 10, "Stop Mode Current and Power  
Consumption," on page 25.  
VDD_ARM23_IN2  
VDD_SOC_IN  
VDD_HIGH_IN7  
0.9  
2.8  
1.3  
3.3  
V
V
VDD_HIGH internal  
Regulator  
Must match the range of voltages that the  
rechargeable backup battery supports.  
Backup battery supply VDD_SNVS_IN7  
range  
2.8  
3.3  
V
Should be supplied from the same supply as  
VDD_HIGH_IN, if the system does not require  
keeping real time and other data on OFF state.  
USB supply voltages  
DDR I/O supply  
USB_OTG_VBUS  
USB_H1_VBUS  
NVCC_DRAM  
4.4  
4.4  
5.25  
5.25  
1.3  
V
V
V
V
V
V
1.14  
1.425  
1.283  
1.15  
1.2  
1.5  
1.35  
LPDDR2  
1.575  
1.45  
2.625  
DDR3  
DDR3_L  
Supply for RGMII I/O  
power group8  
NVCC_RGMII  
• 1.15 V – 1.30 V in HSIC 1.2 V mode  
• 1.43 V – 1.58 V in RGMII 1.5 V mode  
• 1.70 V – 1.90 V in RGMII 1.8 V mode  
• 2.25 V – 2.625 V in RGMII 2.5 V mode  
i.MX 6Dual/6Quad Automotive and Infotainment Applications Processors, Rev. 2.3  
Freescale Semiconductor  
20  
Electrical Characteristics  
Comment  
Table 6. Operating Ranges (continued)  
Parameter  
Description  
Symbol  
Min  
Typ  
Max1  
Unit  
GPIO supplies8  
NVCC_CSI,  
NVCC_EIM0,  
NVCC_EIM1,  
NVCC_EIM2,  
NVCC_ENET,  
NVCC_GPIO,  
NVCC_LCD,  
NVCC_NANDF,  
NVCC_SD1,  
NVCC_SD2,  
NVCC_SD3,  
NVCC_JTAG  
1.65  
1.8,  
2.8,  
3.3  
3.6  
V
Isolation between the NVCC_EIMx and  
NVCC_SDx different supplies allow them to  
operate at different voltages within the specified  
range.  
Example: NVCC_EIM1 can operate at 1.8 V  
while NVCC_EIM2 operates at 3.3 V.  
NVCC_LVDS_2P59  
NVCC_MIPI  
2.25  
2.5  
2.75  
V
HDMI supply voltages  
PCIe supply voltages  
HDMI_VP  
HDMI_VPH  
PCIE_VP  
PCIE_VPH  
PCIE_VPTX  
SATA_VP  
SATA_VPH  
TJ  
0.99  
2.25  
1.023  
2.325  
1.023  
0.99  
2.25  
-40  
1.1  
2.5  
1.1  
2.5  
1.1  
1.1  
2.5  
95  
1.3  
2.75  
1.3  
V
V
V
V
V
V
V
2.75  
1.3  
SATA Supply voltages  
Junction temperature  
1.3  
2.75  
125  
C See i.MX 6Dual/6Quad Product Lifetime Usage  
Estimates Application Note, AN4724, for  
information on product lifetime (power-on  
years) for this processor.  
1
Applying the maximum voltage results in maximum power consumption and heat generation. Freescale recommends a voltage  
set point = (Vmin + the supply tolerance). This results in an optimized power/speed ratio.  
2
For Quad core system, connect to VDD_ARM_IN. For Dual core system, may be shorted to GND together with  
VDD_ARM23_CAP to reduce leakage.  
3
4
VDD_ARM_IN and VDD_SOC_IN must be at least 125 mV higher than the LDO Output Set Point for correct voltage regulation.  
VDD_ARM_CAP must not exceed VDD_CACHE_CAP by more than +50 mV. VDD_CACHE_CAP must not exceed  
VDD_ARM_CAP by more than 200 mV.  
5
6
7
VDD_SOC_CAP and VDD_PU_CAP must be equal.  
VDDSOC and VDDPU output voltages must be set according to this rule: VDDARM-VDDSOC/PU<50mV.  
While setting VDD_SNVS_IN voltage with respect to Charging Currents and RTC, see the Hardware Development Guide for  
i.MX 6Dual, 6Quad, 6Solo, 6DualLite Families of Applications Processors (IMX6DQ6SDLHDG).  
8
9
All digital I/O supplies (NVCC_xxxx) must be powered under normal conditions whether the associated I/O pins are in use or  
not, and associated I/O pins need to have a pull-up or pull-down resistor applied to limit any floating gate current.  
This supply also powers the pre-drivers of the DDR I/O pins; therefore, it must always be provided, even when LVDS is not used.  
i.MX 6Dual/6Quad Automotive and Infotainment Applications Processors, Rev. 2.3  
Freescale Semiconductor  
21  
Electrical Characteristics  
Table 7 shows on-chip LDO regulators that can supply on-chip loads.  
1
Table 7. On-Chip LDOs and their On-Chip Loads  
Voltage Source  
Load  
Comment  
VDD_HIGH_CAP  
NVCC_LVDS_2P5  
NVCC_MIPI  
HDMI_VPH  
Board-level connection to VDD_HIGH_CAP  
PCIE_VPH  
SATA_VPH  
VDD_SOC_CAP2  
VDD_CACHE_CAP3  
Board-level connection to VDD_SOC_CAP  
HDMI_VP  
PCIE_VP  
PCIE_VPTX  
SATA_VP  
1
2
3
On-chip LDOs are designed to supply the i.MX 6Dual/6Quad loads and must not be used to supply external loads.  
VDD_ARM_CAP/VDD_ARM23_CAP must not exceed VDD_SOC_CAP by more than +50 mV.  
VDD_CACHE_CAP must not exceed VDD_ARM_CAP by more than 200 mV. VDD_ARM_CAP must not exceed  
VDD_CACHE_CAP by more than +50 mV.  
4.1.4  
External Clock Sources  
Each i.MX 6Dual/6Quad processor has two external input system clocks: a low frequency (RTC_XTALI)  
and a high frequency (XTALI).  
The RTC_XTALI is used for low-frequency functions. It supplies the clock for wake-up circuit,  
power-down real time clock operation, and slow system and watchdog counters. The clock input can be  
connected to either an external oscillator or a crystal using the internal oscillator amplifier. Additionally,  
there is an internal ring oscillator, that can be used instead of RTC_XTALI when accuracy is not important.  
The system clock input XTALI is used to generate the main system clock. It supplies the PLLs and other  
peripherals. The system clock input can be connected to either an external oscillator or a crystal using the  
internal oscillator amplifier.  
Table 8 shows the interface frequency requirements.  
Table 8. External Input Clock Frequency  
Parameter Description  
Symbol  
Min  
Typ  
Max  
Unit  
RTC_XTALI Oscillator1,2  
XTALI Oscillator4,2  
fckil  
fxtal  
32.7683/32.0  
24  
kHz  
MHz  
1
External oscillator or a crystal with internal oscillator amplifier.  
2
The required frequency stability of this clock source is application dependent. For recommendations, see the Hardware  
Development Guide for i.MX 6Dual, 6Quad, 6Solo, 6DualLite Families of Applications Processors (IMX6DQ6SDLHDG).  
3
4
Recommended nominal frequency 32.768 kHz.  
External oscillator or a fundamental frequency crystal with internal oscillator amplifier.  
i.MX 6Dual/6Quad Automotive and Infotainment Applications Processors, Rev. 2.3  
22  
Freescale Semiconductor  
Electrical Characteristics  
The typical values shown in Table 8 are required for use with Freescale BSPs to ensure precise time  
keeping and USB operation. For RTC_XTALI operation, two clock sources are available:  
On-chip 40 kHz ring oscillator: This clock source has the following characteristics:  
— Approximately 25 A more Idd than crystal oscillator  
— Approximately ±50% tolerance  
— No external component required  
— Starts up quicker than 32 kHz crystal oscillator  
External crystal oscillator with on-chip support circuit  
— At power up, an internal ring oscillator is utilized. After crystal oscillator is stable, the clock  
circuit switches over to the crystal oscillator automatically.  
— Higher accuracy than ring oscillator.  
— If no external crystal is present, then the ring oscillator is utilized.  
The decision to choose a clock source should be based on real-time clock use and precision timeout.  
4.1.5  
Maximum Supply Currents  
The Power Virus numbers shown in Table 9 represent a use case designed specifically to show the  
maximum current consumption possible. All cores are running at the defined maximum frequency and are  
limited to L1 cache accesses only to ensure no pipeline stalls. Although a valid condition, it would have a  
very limited practical use case, if at all, and be limited to an extremely low duty cycle unless the intention  
was to specifically show the worst case power consumption.  
The MMPF0100xxxx, Freescale’s power management IC targeted for the i.MX 6 Series family, supports  
the Power Virus mode operating at 1% duty cycle. Higher duty cycles are allowed, but a robust thermal  
design is required for the increased system power dissipation.  
See the i.MX 6Dual/6Quad Power Consumption Measurement Application Note (AN4509) for more  
details on typical power consumption under various use case definitions.  
Table 9. Maximum Supply Currents  
Power Supply  
Conditions  
Max Current  
Unit  
i.MX 6Quad:  
VDD_ARM_IN+VDD_ARM23_IN  
996 MHz ARM clock based on Power  
Virus operation  
3920  
mA  
i.MX 6Dual:  
VDD_ARM_IN  
996 MHz ARM clock based on Power  
Virus operation,  
2352  
mA  
VDD_ARM23_IN grounded  
VDD_SOC_IN  
996 MHz ARM clock  
1890  
1251  
2752  
253  
mA  
mA  
A  
mA  
VDD_HIGH_IN  
VDD_SNVS_IN  
USB_OTG_VBUS/USB_H1_VBUS (LDO 3P0)  
i.MX 6Dual/6Quad Automotive and Infotainment Applications Processors, Rev. 2.3  
Freescale Semiconductor  
23  
Electrical Characteristics  
Table 9. Maximum Supply Currents (continued)  
Conditions  
Power Supply  
Max Current  
Unit  
Primary Interface (IO) Supplies  
4
NVCC_DRAM  
NVCC_ENET  
NVCC_LCD  
NVCC_GPIO  
NVCC_CSI  
(see  
)
N=10  
N=29  
N=24  
N=20  
N=19  
N=14  
N=20  
N=6  
Use maximum IO equation5  
Use maximum IO equation5  
Use maximum IO equation5  
Use maximum IO equation5  
Use maximum IO equation5  
Use maximum IO equation5  
Use maximum IO equation5  
Use maximum IO equation5  
Use maximum IO equation5  
Use maximum IO equation5  
Use maximum IO equation5  
Use maximum IO equation5  
Use maximum IO equation5  
25.5  
NVCC_EIM0  
NVCC_EIM1  
NVCC_EIM2  
NVCC_JTAG  
NVCC_RGMII  
NVCC_SD1  
NVCC_SD2  
NVCC_SD3  
NVCC_NANDF  
NVCC_MIPI  
N=12  
N=6  
N=6  
N=11  
N=26  
mA  
mA  
MISC  
DRAM_VREF  
1
1
2
The actual maximum current drawn from VDD_HIGH_IN will be as shown plus any additional current drawn from the  
VDD_HIGH_CAP outputs, depending upon actual application configuration (for example, NVCC_LVDS_2P5, NVCC_MIPI, or  
HDMI, PCIe, and SATA VPH supplies).  
Under normal operating conditions, the maximum current on VDD_SNVS_IN is shown Table 9. The maximum VDD_SNVS_IN  
current may be higher depending on specific operating configurations, such as BOOT_MODE[1:0] not equal to 00, or use of  
the Tamper feature. During initial power on, VDD_SNVS_IN can draw up to 1 mA if the supply is capable of sourcing that  
current. If less than 1 mA is available, the VDD_SNVS_CAP charge time will increase.  
3
4
This is the maximum current per active USB physical interface.  
The DRAM power consumption is dependent on several factors such as external signal termination. DRAM power calculators  
are typically available from memory vendors which take into account factors such as signal termination.  
See the i.MX 6Dual/6Quad Power Consumption Measurement Application Note (AN4509) for examples of DRAM power  
consumption during specific use case scenarios.  
5
General equation for estimated, maximum power consumption of an IO power supply:  
Imax = N x C x V x (0.5 x F)  
Where:  
N—Number of IO pins supplied by the power line  
C—Equivalent external capacitive load  
V—IO voltage  
(0.5 xF)—Data change rate. Up to 0.5 of the clock rate (F)  
In this equation, Imax is in Amps, C in Farads, V in Volts, and F in Hertz.  
i.MX 6Dual/6Quad Automotive and Infotainment Applications Processors, Rev. 2.3  
24  
Freescale Semiconductor  
Electrical Characteristics  
4.1.6  
Low Power Mode Supply Currents  
Table 10 shows the current core consumption (not including I/O) of the i.MX 6Dual/6Quad processors in  
selected low power modes.  
Table 10. Stop Mode Current and Power Consumption  
Mode  
Test Conditions  
Supply  
Typical1  
Unit  
WAIT  
• ARM, SoC, and PU LDOs are set to 1.225 V  
• HIGH LDO set to 2.5 V  
• Clocks are gated  
• DDR is in self refresh  
• PLLs are active in bypass (24 MHz)  
• Supply voltages remain ON  
VDD_ARM_IN (1.4 V)  
VDD_SOC_IN (1.4 V)  
VDD_HIGH_IN (3.0 V)  
Total  
6
23  
mA  
mA  
mA  
mW  
mA  
mA  
mA  
mW  
mA  
mA  
mA  
mW  
mA  
mA  
mA  
mW  
3.7  
52  
STOP_ON  
STOP_OFF  
STANDBY  
• ARM LDO set to 0.9 V  
• SoC and PU LDOs set to 1.225 V  
• HIGH LDO set to 2.5 V  
• PLLs disabled  
VDD_ARM_IN (1.4 V)  
VDD_SOC_IN (1.4 V)  
VDD_HIGH_IN (3.0 V)  
Total  
7.5  
22  
3.7  
52  
• DDR is in self refresh  
• ARM LDO set to 0.9 V  
• SoC LDO set to 1.225 V  
• PU LDO is power gated  
• HIGH LDO set to 2.5 V  
• PLLs disabled  
VDD_ARM_IN (1.4 V)  
VDD_SOC_IN (1.4 V)  
VDD_HIGH_IN (3.0 V)  
Total  
7.5  
13.5  
3.7  
41  
• DDR is in self refresh  
• ARM and PU LDOs are power gated  
• SoC LDO is in bypass  
• HIGH LDO is set to 2.5 V  
• PLLs are disabled  
• Low voltage  
• Well Bias ON  
VDD_ARM_IN (0.9 V)  
VDD_SOC_IN (0.9 V)  
VDD_HIGH_IN (3.0 V)  
Total  
0.1  
13  
3.7  
22  
• Crystal oscillator is enabled  
Deep Sleep Mode  
(DSM)  
• ARM and PU LDOs are power gated  
• SoC LDO is in bypass  
• HIGH LDO is set to 2.5 V  
• PLLs are disabled  
• Low voltage  
• Well Bias ON  
VDD_ARM_IN (0.9 V)  
VDD_SOC_IN (0.9 V)  
VDD_HIGH_IN (3.0 V)  
Total  
0.1  
2
mA  
mA  
mA  
mW  
0.5  
3.4  
• Crystal oscillator and bandgap are disabled  
SNVS Only  
• VDD_SNVS_IN powered  
• All other supplies off  
• SRTC running  
VDD_SNVS_IN (2.8V)  
Total  
41  
A  
115  
W  
1
The typical values shown here are for information only and are not guaranteed. These values are average values measured  
on a worst-case wafer at 25C.  
i.MX 6Dual/6Quad Automotive and Infotainment Applications Processors, Rev. 2.3  
Freescale Semiconductor  
25  
Electrical Characteristics  
4.1.7  
USB PHY Current Consumption  
4.1.7.1  
Power Down Mode  
In power down mode, everything is powered down, including the VBUS valid detectors, typ condition.  
Table 11 shows the USB interface current consumption in power down mode.  
Table 11. USB PHY Current Consumption in Power Down Mode  
VDD_USB_CAP (3.0 V)  
VDD_HIGH_CAP (2.5 V)  
NVCC_PLL_OUT (1.1 V)  
Current  
5.1 A  
1.7 A  
<0.5 A  
NOTE  
The currents on the VDD_HIGH_CAP and VDD_USB_CAP were  
identified to be the voltage divider circuits in the USB-specific level  
shifters.  
4.1.8  
SATA Typical Power Consumption  
Table 12 provides SATA PHY currents for certain Tx operating modes.  
NOTE  
Tx power consumption values are provided for a single transceiver. If  
T = single transceiver power and C = Clock module power, the total power  
required for N lanes = N x T + C.  
Table 12. SATA PHY Current Drain  
Mode  
Test Conditions  
Supply  
Typical Current  
Unit  
P0: Full-power state1  
Single Transceiver  
SATA_VP  
SATA_VPH  
SATA_VP  
SATA_VPH  
SATA_VP  
SATA_VPH  
SATA_VP  
SATA_VPH  
SATA_VP  
SATA_VPH  
SATA_VP  
SATA_VPH  
11  
13  
mA  
Clock Module  
Single Transceiver  
Clock Module  
6.9  
6.2  
11  
P0: Mobile2  
mA  
mA  
11  
6.9  
6.2  
9.4  
2.9  
6.9  
6.2  
P0s: Transmitter idle  
Single Transceiver  
Clock Module  
i.MX 6Dual/6Quad Automotive and Infotainment Applications Processors, Rev. 2.3  
Freescale Semiconductor  
26  
Electrical Characteristics  
Table 12. SATA PHY Current Drain (continued)  
Mode  
Test Conditions  
Supply  
Typical Current  
Unit  
P1: Transmitter idle, Rx powered  
down, LOS disabled  
Single Transceiver  
SATA_VP  
SATA_VPH  
SATA_VP  
SATA_VPH  
SATA_VP  
SATA_VPH  
SATA_VP  
SATA_VPH  
SATA_VP  
SATA_VPH  
SATA_VP  
SATA_VPH  
0.67  
0.23  
6.9  
mA  
Clock Module  
Single Transceiver  
Clock Module  
6.2  
P2: Powered-down state, only  
LOS and POR enabled  
0.53  
0.11  
0.036  
0.12  
0.13  
0.012  
0.008  
0.004  
mA  
mA  
PDDQ mode3  
Single Transceiver  
Clock Module  
1
Programmed for 1.0 V peak-to-peak Tx level.  
2
3
Programmed for 0.9 V peak-to-peak Tx level with no boost or attenuation.  
LOW power non-functional.  
i.MX 6Dual/6Quad Automotive and Infotainment Applications Processors, Rev. 2.3  
Freescale Semiconductor  
27  
Electrical Characteristics  
4.1.9  
PCIe 2.0 Maximum Power Consumption  
Table 13 provides PCIe PHY currents for certain operating modes.  
Table 13. PCIe PHY Current Drain  
Mode  
Test Conditions  
Supply  
Max Current  
Unit  
P0: Normal Operation  
5G Operations  
PCIE_VP (1.1 V)  
PCIE_VPTX (1.1 V)  
PCIE_VPH (2.5 V)  
PCIE_VP (1.1 V)  
PCIE_VPTX (1.1 V)  
PCIE_VPH (2.5 V)  
PCIE_VP (1.1 V)  
PCIE_VPTX (1.1 V)  
PCIE_VPH (2.5 V)  
PCIE_VP (1.1 V)  
PCIE_VPTX (1.1 V)  
PCIE_VPH (2.5 V)  
PCIE_VP (1.1 V)  
PCIE_VPTX (1.1 V)  
PCIE_VPH (2.5 V)  
PCIE_VP (1.1 V)  
PCIE_VPTX (1.1 V)  
PCIE_VPH (2.5 V)  
40  
20  
mA  
21  
2.5G Operations  
5G Operations  
2.5G Operations  
27  
20  
20  
P0s: Low Recovery Time  
Latency, Power Saving State  
30  
mA  
2.4  
18  
20  
2.4  
18  
P1: Longer Recovery Time  
Latency, Lower Power State  
12  
mA  
mA  
2.4  
12  
Power Down  
1.3  
0.18  
0.36  
i.MX 6Dual/6Quad Automotive and Infotainment Applications Processors, Rev. 2.3  
Freescale Semiconductor  
28  
Electrical Characteristics  
4.1.10 HDMI Maximum Power Consumption  
Table 14 provides HDMI PHY currents for both Active 3D Tx with LFSR15 data pattern and Power-down  
modes.  
Table 14. HDMI PHY Current Drain  
Mode  
Test Conditions  
Supply  
Max Current  
Unit  
Active  
Bit rate 251.75 Mbps  
HDMI_VPH  
HDMI_VP  
HDMI_VPH  
HDMI_VP  
HDMI_VPH  
HDMI_VP  
HDMI_VPH  
HDMI_VP  
HDMI_VPH  
HDMI_VP  
HDMI_VPH  
HDMI_VP  
HDMI_VPH  
HDMI_VP  
14  
4.1  
14  
mA  
mA  
mA  
mA  
mA  
mA  
mA  
mA  
mA  
mA  
mA  
mA  
A  
Bit rate 279.27 Mbps  
Bit rate 742.5 Mbps  
Bit rate 1.485 Gbps  
Bit rate 2.275 Gbps  
Bit rate 2.97 Gbps  
4.2  
17  
7.5  
17  
12  
16  
17  
19  
22  
Power-down  
49  
1100  
A  
i.MX 6Dual/6Quad Automotive and Infotainment Applications Processors, Rev. 2.3  
Freescale Semiconductor  
29  
Electrical Characteristics  
4.2  
Power Supplies Requirements and Restrictions  
The system design must comply with power-up sequence, power-down sequence, and steady state  
guidelines as described in this section to ensure the reliable operation of the device. Any deviation from  
these sequences may result in the following situations:  
Excessive current during power-up phase  
Prevention of the device from booting  
Irreversible damage to the processor  
4.2.1  
Power-Up Sequence  
For power-up sequence, the restrictions are as follows:  
VDD_SNVS_IN supply must be turned ON before any other power supply. It may be connected  
(shorted) with VDD_HIGH_IN supply.  
If a coin cell is used to power VDD_SNVS_IN, then ensure that it is connected before any other  
supply is switched on.  
If the external SRC_POR_B signal is used to control the processor POR, SRC_POR_B must  
remain low (asserted) until the VDD_ARM_CAP and VDD_SOC_CAP supplies are stable.  
VDD_ARM_IN and VDD_SOC_IN may be applied in either order with no restrictions.  
If the external SRC_POR_B signal is not used (always held high or left unconnected), the  
processor defaults to the internal POR function (where the PMU controls generation of the POR  
based on the power supplies). If the internal POR function is used, the following power supply  
requirements must be met:  
— VDD_ARM_IN and VDD_SOC_IN may be supplied from the same source, or  
— VDD_SOC_IN can be supplied before VDD_ARM_IN with a maximum delay of 1 ms.  
NOTE  
The SRC_POR_B input (if used) must be immediately asserted at power-up  
and remain asserted until the last power rail reaches its working voltage. In  
the absence of an external reset feeding the SRC_POR_B input, the internal  
POR module takes control. See the i.MX 6Dual/6Quad reference manual  
(IMX6DQRM) for further details and to ensure that all necessary  
requirements are being met.  
NOTE  
Ensure that there is no back voltage (leakage) from any supply on the board  
towards the 3.3 V supply (for example, from the external components that  
use both the 1.8 V and 3.3 V supplies).  
NOTE  
USB_OTG_VBUS and USB_H1_VBUS are not part of the power supply  
sequence and can be powered at any time.  
i.MX 6Dual/6Quad Automotive and Infotainment Applications Processors, Rev. 2.3  
30  
Freescale Semiconductor  
Electrical Characteristics  
4.2.2  
Power-Down Sequence  
No special restrictions for i.MX 6Dual/6Quad SoC.  
4.2.3  
Power Supplies Usage  
All I/O pins should not be externally driven while the I/O power supply for the pin (NVCC_xxx)  
is OFF. This can cause internal latch-up and malfunctions due to reverse current flows. For  
information about I/O power supply of each pin, see “Power Group” column of Table 101, "21 x  
21 mm Functional Contact Assignments," on page 147.  
When the SATA interface is not used, the SATA_VP and SATA_VPH supplies should be grounded.  
The input and output supplies for rest of the ports (SATA_REXT, SATA_PHY_RX_N,  
SATA_PHY_RX_P, and SATA_PHY_TX_N) can be left floating. It is recommended not to turn  
OFF the SATA_VPH supply while the SATA_VP supply is ON, as it may lead to excessive power  
consumption. If boundary scan test is used, SATA_VP and SATA_VPH must remain powered.  
When the PCIE interface is not used, the PCIE_VP, PCIE_VPH, and PCIE_VPTX supplies should  
be grounded. The input and output supplies for rest of the ports (PCIE_REXT, PCIE_RX_N,  
PCIE_RX_P, PCIE_TX_N, and PCIE_TX_P) can be left floating. It is recommended not to turn  
the PCIE_VPH supply OFF while the PCIE_VP supply is ON, as it may lead to excessive power  
consumption. If boundary scan test is used, PCIE_VP, PCIE_VPH, and PCIE_VPTX must remain  
powered.  
4.3  
Integrated LDO Voltage Regulator Parameters  
Various internal supplies can be powered ON from internal LDO voltage regulators. All the supply pins  
named *_CAP must be connected to external capacitors. The onboard LDOs are intended for internal use  
only and should not be used to power any external circuitry. See the i.MX 6Dual/6Quad reference manual  
(IMX6DQRM) for details on the power tree scheme recommended operation.  
NOTE  
The *_CAP signals should not be powered externally. These signals are  
intended for internal LDO or LDO bypass operation only.  
4.3.1  
Digital Regulators (LDO_ARM, LDO_PU, LDO_SOC)  
There are three digital LDO regulators (“Digital”, because of the logic loads that they drive, not because  
of their construction). The advantages of the regulators are to reduce the input supply variation because of  
their input supply ripple rejection and their on die trimming. This translates into more voltage for the die  
producing higher operating frequencies. These regulators have three basic modes.  
Bypass. The regulation FET is switched fully on passing the external voltage, DCDC_LOW, to the  
load unaltered. The analog part of the regulator is powered down in this state, removing any loss  
other than the IR drop through the power grid and FET.  
Power Gate. The regulation FET is switched fully off limiting the current draw from the supply.  
The analog part of the regulator is powered down here limiting the power consumption.  
i.MX 6Dual/6Quad Automotive and Infotainment Applications Processors, Rev. 2.3  
Freescale Semiconductor  
31  
Electrical Characteristics  
Analog regulation mode. The regulation FET is controlled such that the output voltage of the  
regulator equals the programmed target voltage. The target voltage is fully programmable in 25 mV  
steps.  
For additional information, see the i.MX 6Dual/6Quad reference manual (IMX6DQRM).  
4.3.2  
Regulators for Analog Modules  
LDO_1P1  
4.3.2.1  
The LDO_1P1 regulator implements a programmable linear-regulator function from VDD_HIGH_IN (see  
Table 6 for minimum and maximum input requirements). Typical Programming Operating Range is 1.0 V  
to 1.2 V with the nominal default setting as 1.1 V. The LDO_1P1 supplies the USB Phy, LVDS Phy, HDMI  
Phy, MIPI Phy, and PLLs. A programmable brown-out detector is included in the regulator that can be used  
by the system to determine when the load capability of the regulator is being exceeded to take the  
necessary steps. Current-limiting can be enabled to allow for in-rush current requirements during start-up,  
if needed. Active-pull-down can also be enabled for systems requiring this feature.  
For information on external capacitor requirements for this regulator, see the Hardware Development  
Guide for i.MX 6Quad, 6Dual, 6DualLite, 6Solo Families of Applications Processors  
(IMX6DQ6SDLHDG).  
For additional information, see the i.MX 6Dual/6Quad reference manual (IMX6DQRM).  
4.3.2.2  
LDO_2P5  
The LDO_2P5 module implements a programmable linear-regulator function from VDD_HIGH_IN (see  
Table 6 for min and max input requirements). Typical Programming Operating Range is 2.25 V to 2.75 V  
with the nominal default setting as 2.5 V. The LDO_2P5 supplies the SATA Phy, USB Phy, LVDS Phy,  
HDMI Phy, MIPI Phy, E-fuse module and PLLs. A programmable brown-out detector is included in the  
regulator that can be used by the system to determine when the load capability of the regulator is being  
exceeded, to take the necessary steps. Current-limiting can be enabled to allow for in-rush current  
requirements during start-up, if needed. Active-pull-down can also be enabled for systems requiring this  
feature. An alternate self-biased low-precision weak-regulator is included that can be enabled for  
applications needing to keep the output voltage alive during low-power modes where the main regulator  
driver and its associated global bandgap reference module are disabled. The output of the weak-regulator  
is not programmable and is a function of the input supply as well as the load current. Typically, with a 3 V  
input supply the weak-regulator output is 2.525 V and its output impedance is approximately 40 .  
For information on external capacitor requirements for this regulator, see the Hardware Development  
Guide for i.MX 6Quad, 6Dual, 6DualLite, 6Solo Families of Applications Processors  
(IMX6DQ6SDLHDG).  
For additional information, see the i.MX 6Dual/6Quad reference manual (IMX6DQRM).  
i.MX 6Dual/6Quad Automotive and Infotainment Applications Processors, Rev. 2.3  
32  
Freescale Semiconductor  
Electrical Characteristics  
4.3.2.3  
LDO_USB  
The LDO_USB module implements a programmable linear-regulator function from the  
USB_OTG_VBUS and USB_H1_VBUS voltages (4.4 V–5.25 V) to produce a nominal 3.0 V output  
voltage. A programmable brown-out detector is included in the regulator that can be used by the system  
to determine when the load capability of the regulator is being exceeded, to take the necessary steps. This  
regulator has a built in power-mux that allows the user to select to run the regulator from either VBUS  
supply, when both are present. If only one of the VBUS voltages is present, then the regulator  
automatically selects this supply. Current limit is also included to help the system meet in-rush current  
targets. If no VBUS voltage is present, then the VBUSVALID threshold setting will prevent the regulator  
from being enabled.  
For information on external capacitor requirements for this regulator, see the Hardware Development  
Guide for i.MX 6Quad, 6Dual, 6DualLite, 6Solo Families of Applications Processors  
(IMX6DQ6SDLHDG).  
For additional information, see the i.MX 6Dual/6Quad reference manual (IMX6DQRM).  
4.4  
PLL Electrical Characteristics  
4.4.1  
Audio/Video PLL Electrical Parameters  
Table 15. Audio/Video PLL Electrical Parameters  
Parameter  
Value  
Clock output range  
Reference clock  
Lock time  
650 MHz ~1.3 GHz  
24 MHz  
<11250 reference cycles  
4.4.2  
528 MHz PLL  
Table 16. 528 MHz PLL Electrical Parameters  
Parameter  
Value  
Clock output range  
Reference clock  
Lock time  
528 MHz PLL output  
24 MHz  
<11250 reference cycles  
i.MX 6Dual/6Quad Automotive and Infotainment Applications Processors, Rev. 2.3  
Freescale Semiconductor  
33  
Electrical Characteristics  
4.4.3  
4.4.4  
4.4.5  
Ethernet PLL  
Table 17. Ethernet PLL Electrical Parameters  
Parameter  
Value  
Clock output range  
Reference clock  
Lock time  
500 MHz  
24 MHz  
<11250 reference cycles  
480 MHz PLL  
Table 18. 480 MHz PLL Electrical Parameters  
Parameter  
Value  
Clock output range  
Reference clock  
Lock time  
480 MHz PLL output  
24 MHz  
<383 reference cycles  
MLB PLL  
The MediaLB PLL is necessary in the MediaLB 6-Pin implementation to phase align the internal and  
external clock edges, effectively tuning out the delay of the differential clock receiver and is also  
responsible for generating the higher speed internal clock, when the internal-to-external clock ratio is  
not 1:1.  
Table 19. MLB PLL Electrical Parameters  
Parameter  
Value  
Lock time  
<1.5 ms  
4.4.6  
ARM PLL  
Table 20. ARM PLL Electrical Parameters  
Parameter  
Value  
Clock output range  
Reference clock  
Lock time  
650 MHz~1.3 GHz  
24 MHz  
<2250 reference cycles  
i.MX 6Dual/6Quad Automotive and Infotainment Applications Processors, Rev. 2.3  
Freescale Semiconductor  
34  
Electrical Characteristics  
4.5  
On-Chip Oscillators  
OSC24M  
4.5.1  
This block implements an amplifier that when combined with a suitable quartz crystal and external load  
capacitors implements an oscillator. The oscillator is powered from NVCC_PLL_OUT.  
The system crystal oscillator consists of a Pierce-type structure running off the digital supply. A straight  
forward biased-inverter implementation is used.  
4.5.2  
OSC32K  
This block implements an amplifier that when combined with a suitable quartz crystal and external load  
capacitors implements a low power oscillator. It also implements a power mux such that it can be powered  
from either a ~3 V backup battery (VDD_SNVS_IN) or VDD_HIGH_IN such as the oscillator consumes  
power from VDD_HIGH_IN when that supply is available and transitions to the back up battery when  
VDD_HIGH_IN is lost.  
In addition, if the clock monitor determines that the OSC32K is not present, then the source of the 32 kHz  
clock will automatically switch to a crude internal ring oscillator. The frequency range of this block is  
approximately 10–45 kHz. It highly depends on the process, voltage, and temperature.  
The OSC32k runs from VDD_SNVS_CAP, which comes from the VDD_HIGH_IN/VDD_SNVS_IN  
power mux. The target battery is a ~3 V coin cell. Proper choice of coin cell type is necessary for chosen  
VDD_HIGH_IN range. Appropriate series resistor (Rs) must be used when connecting the coin cell. Rs  
depends on the charge current limit that depends on the chosen coin cell. For example, for Panasonic  
ML621:  
Average Discharge Voltage is 2.5 V  
Maximum Charge Current is 0.6 mA  
For a charge voltage of 3.2 V, Rs = (3.2-2.5)/0.6 m = 1.17 k  
NOTE  
Always refer to the chosen coin cell manufacturer's data sheet for the latest  
information.  
Table 21. OSC32K Main Characteristics  
Parameter  
Min  
Typ  
Max  
Comments  
This frequency is nominal and determined mainly by the crystal selected. 32.0 K  
Fosc  
32.768 kHz  
would work as well.  
Current  
consumption  
4 A  
The typical value shown is only for the oscillator, driven by an external crystal. If  
the internal ring oscillator is used instead of an external crystal, then  
approximately 25 A should be added to this value.  
i.MX 6Dual/6Quad Automotive and Infotainment Applications Processors, Rev. 2.3  
Freescale Semiconductor  
35  
Electrical Characteristics  
Table 21. OSC32K Main Characteristics (continued)  
Parameter  
Min  
Typ  
Max  
Comments  
Bias resistor  
14 M  
This the integrated bias resistor that sets the amplifier into a high gain state. Any  
leakage through the ESD network, external board leakage, or even a scope probe  
that is significant relative to this value will debias the amplifier. The debiasing will  
result in low gain, and will impact the circuit's ability to start up and maintain  
oscillations.  
Target Crystal Properties  
Cload  
ESR  
10 pF  
Usually crystals can be purchased tuned for different Cloads. This Cload value is  
typically 1/2 of the capacitances realized on the PCB on either side of the quartz.  
A higher Cload will decrease oscillation margin, but increases current oscillating  
through the crystal.  
50 k  
100 kEquivalent series resistance of the crystal. Choosing a crystal with a higher value  
will decrease the oscillating margin.  
4.6  
I/O DC Parameters  
This section includes the DC parameters of the following I/O types:  
General Purpose I/O (GPIO)  
Double Data Rate I/O (DDR) for LPDDR2 and DDR3/DDR3L modes  
LVDS I/O  
MLB I/O  
NOTE  
The term ‘OVDD’ in this section refers to the associated supply rail of an  
input or output.  
ovdd  
pmos (Rpu)  
Voh min  
1
Vol max  
or  
0
pdat  
pad  
Predriver  
nmos (Rpd)  
ovss  
Figure 3. Circuit for Parameters Voh and Vol for I/O Cells  
i.MX 6Dual/6Quad Automotive and Infotainment Applications Processors, Rev. 2.3  
Freescale Semiconductor  
36  
Electrical Characteristics  
4.6.1  
XTALI and RTC_XTALI (Clock Inputs) DC Parameters  
Table 22 shows the DC parameters for the clock inputs.  
Table 22. XTALI and RTC_XTALI DC Parameters  
Symbol Test Conditions Min  
Parameter  
Max  
Unit  
XTALI high-level DC input voltage  
XTALI low-level DC input voltage  
Vih  
Vil  
0.8 x NVCC_PLL_OUT NVCC_PLL_ OUT  
0.2V  
0.8 x VDD_SNVS_CAP VDD_SNVS_CAP  
0.2V  
V
V
V
V
0
RTC_XTALI high-level DC input voltage  
RTC_XTALI low-level DC input voltage  
Vih  
Vil  
0
4.6.2  
General Purpose I/O (GPIO) DC Parameters  
Table 23 shows DC parameters for GPIO pads. The parameters in Table 23 are guaranteed per the  
operating ranges in Table 6, unless otherwise noted.  
Table 23. GPIO I/O DC Parameters  
Parameter  
Symbol  
Test Conditions  
Min  
Max  
Unit  
High-level output voltage1  
Voh  
Ioh = -0.1 mA (DSE2 = 001, 010)  
Ioh = -1 mA  
OVDD – 0.15  
V
(DSE = 011, 100, 101, 110, 111)  
Low-level output voltage1  
Vol  
Iol = 0.1 mA (DSE2 = 001, 010)  
Iol = 1mA  
0.15  
V
(DSE = 011, 100, 101, 110, 111)  
High-Level DC input voltage1, 3  
Low-Level DC input voltage1, 3  
Input Hysteresis  
Vih  
Vil  
0.7 OVDD  
OVDD  
0.3 OVDD  
V
V
V
0
Vhys  
OVDD = 1.8 V  
OVDD = 3.3 V  
0.25  
Schmitt trigger VT+3, 4  
VT+  
VT–  
Iin  
0.5 OVDD  
V
V
Schmitt trigger VT–3, 4  
-1  
0.5 OVDD  
Input current (no pull-up/down)  
Input current (22 kpull-up)  
Vin = OVDD or 0  
1
A  
Iin  
Vin = 0 V  
Vin = OVDD  
212  
1
A  
A  
A  
A  
k  
Input current (47 kpull-up)  
Input current (100 kpull-up)  
Input current (100 kpull-down)  
Keeper circuit resistance  
Iin  
Iin  
Vin = 0 V  
Vin = OVDD  
100  
1
Vin = 0 V  
Vin= OVDD  
48  
1
Iin  
Vin = 0 V  
Vin = OVDD  
1
48  
Rkeep  
Vin = 0.3 x OVDD  
Vin = 0.7 x OVDD  
105  
175  
i.MX 6Dual/6Quad Automotive and Infotainment Applications Processors, Rev. 2.3  
Freescale Semiconductor  
37  
Electrical Characteristics  
1
Overshoot and undershoot conditions (transitions above OVDD and below GND) on switching pads must be held below 0.6 V,  
and the duration of the overshoot/undershoot must not exceed 10% of the system clock cycle. Overshoot/ undershoot must be  
controlled through printed circuit board layout, transmission line impedance matching, signal line termination, or other methods.  
Non-compliance to this specification may affect device reliability or cause permanent damage to the device.  
2
3
DSE is the Drive Strength Field setting in the associated IOMUX control register.  
To maintain a valid level, the transition edge of the input must sustain a constant slew rate (monotonic) from the current DC  
level through to the target DC level, Vil or Vih. Monotonic input transition time is from 0.1 ns to 1 s.  
4
Hysteresis of 250 mV is guaranteed over all operating conditions when hysteresis is enabled.  
4.6.3  
DDR I/O DC Parameters  
The DDR I/O pads support LPDDR2 and DDR3/DDR3L operational modes.  
4.6.3.1 LPDDR2 Mode I/O DC Parameters  
The LPDDR2 interface mode fully complies with JESD209-2B LPDDR2 JEDEC standard release June,  
2009. The parameters in Table 24 are guaranteed per the operating ranges in Table 6, unless otherwise  
noted.  
1
Table 24. LPDDR2 I/O DC Electrical Parameters  
Parameters  
Symbol  
Test Conditions  
Min  
Max  
Unit  
High-level output voltage  
Low-level output voltage  
Voh  
Vol  
Ioh = -0.1 mA  
0.9 OVDD  
0.1 OVDD  
0.51 OVDD  
OVDD  
V
V
Iol = 0.1 mA  
Input reference voltage  
Vref  
0.49 OVDD  
Vref+0.13V  
OVSS  
0.26  
DC input High Voltage  
Vih(dc)  
Vil(dc)  
Vih(diff)  
Vil(diff)  
Iin  
V
V
DC input Low Voltage  
Vref-0.13V  
See Note 2  
-0.26  
Differential Input Logic High  
Differential Input Logic Low  
Input current (no pull-up/down)  
Pull-up/pull-down impedance mismatch  
240 unit calibration resolution  
Keeper circuit resistance  
A  
%
See Note 2  
-2.5  
Vin = 0 or OVDD  
2.5  
MMpupd  
Rres  
-15  
+15  
10  
Rkeep  
110  
175  
k  
1
Note that the JEDEC LPDDR2 specification (JESD209_2B) supersedes any specification in this document.  
2
The single-ended signals need to be within the respective limits (Vih(dc) max, Vil(dc) min) for single-ended signals as well as  
the limitations for overshoot and undershoot (see Table 30).  
4.6.3.2  
DDR3/DDR3L Mode I/O DC Parameters  
The DDR3/DDR3L interface mode fully complies with JESD79-3D DDR3 JEDEC standard release April,  
2008. The parameters in Table 25 are guaranteed per the operating ranges in Table 6, unless otherwise  
noted.  
i.MX 6Dual/6Quad Automotive and Infotainment Applications Processors, Rev. 2.3  
38  
Freescale Semiconductor  
Electrical Characteristics  
Table 25. DDR3/DDR3L I/O DC Electrical Parameters  
Parameters  
Symbol  
Test Conditions  
Min  
Max  
Unit  
High-level output voltage  
Ioh = -0.1 mA  
Voh (DSE = 001)  
Voh  
0.8 OVDD1  
V
Ioh = -1 mA  
Voh (for all except DSE = 001)  
Low-level output voltage  
Iol = 0.1 mA  
Vol (DSE = 001)  
Vol  
0.2 OVDD  
V
Iol = 1 mA  
Vol (for all except DSE = 001)  
Input reference voltage  
Vref2  
Vih(dc)  
Vil(dc)  
Vih(diff)  
Vil(diff)  
Vtt  
0.49 OVDD 0.51 OVDD  
DC input Logic High  
Vref+0.1  
OVSS  
OVDD  
Vref-0.1  
See Note3  
-0.2  
V
V
DC input Logic Low  
Differential input Logic High  
Differential input Logic Low  
Termination Voltage  
0.2  
V
See Note3  
V
Vtt tracking OVDD/2  
0.49 OVDD 0.51 OVDD  
V
Input current (no pull-up/down)  
Pull-up/pull-down impedance mismatch  
240 unit calibration resolution  
Keeper circuit resistance  
Iin  
Vin = 0 or OVDD  
-2.9  
-10  
2.9  
10  
A  
MMpupd  
Rres  
10  
Rkeep  
105  
175  
k  
1
OVDD – I/O power supply (1.425 V–1.575 V for DDR3 and 1.283 V–1.45 V for DDR3L)  
Vref – DDR3/DDR3L external reference voltage  
2
3
The single-ended signals need to be within the respective limits (Vih(dc) max, Vil(dc) min) for single-ended signals as well as  
the limitations for overshoot and undershoot (see Table 31).  
4.6.4  
LVDS I/O DC Parameters  
The LVDS interface complies with TIA/EIA 644-A standard. See TIA/EIA STANDARD 644-A,  
“Electrical Characteristics of Low Voltage Differential Signaling (LVDS) Interface Circuits” for details.  
Table 26 shows the Low Voltage Differential Signaling (LVDS) I/O DC parameters.  
Table 26. LVDS I/O DC Parameters  
Parameter  
Symbol  
Test Conditions  
Min  
Max  
Unit  
Output Differential Voltage  
Output High Voltage  
Output Low Voltage  
Offset Voltage  
VOD  
VOH  
VOL  
VOS  
Rload=100 between padP and padN  
250  
1.25  
0.9  
450  
1.6  
mV  
IOH = 0 mA  
IOL = 0 mA  
1.25  
1.375  
V
1.125  
i.MX 6Dual/6Quad Automotive and Infotainment Applications Processors, Rev. 2.3  
Freescale Semiconductor  
39  
Electrical Characteristics  
4.6.5  
MLB 6-Pin I/O DC Parameters  
The MLB interface complies with Analog Interface of 6-pin differential Media Local Bus specification  
version 4.1. See 6-pin differential MLB specification v4.1, “MediaLB 6-pin interface Electrical  
Characteristics” for details.  
NOTE  
The MLB 6-pin interface does not support speed mode 8192fs.  
Table 27 shows the Media Local Bus (MLB) I/O DC parameters.  
Table 27. MLB I/O DC Parameters  
Parameter  
Symbol  
Test Conditions  
Min  
Max  
Unit  
Output Differential Voltage  
Output High Voltage  
Output Low Voltage  
VOD  
VOH  
Rload = 50 between padP and padN  
300  
1.15  
0.75  
1
500  
1.75  
1.35  
1.5  
mV  
V
VOL  
V
Common-mode Output Voltage  
((Vpad_P + Vpad_N) / 2))  
VOCM  
V
Differential Output Impedance  
ZO  
1.6  
k  
4.7  
I/O AC Parameters  
This section includes the AC parameters of the following I/O types:  
General Purpose I/O (GPIO)  
Double Data Rate I/O (DDR) for LPDDR2 and DDR3/DDR3L modes  
LVDS I/O  
MLB I/O  
The GPIO and DDR I/O load circuit and output transition time waveforms are shown in Figure 4 and  
Figure 5.  
From Output  
Under Test  
Test Point  
CL  
CL includes package, probe and fixture capacitance  
Figure 4. Load Circuit for Output  
OVDD  
0 V  
80%  
20%  
80%  
20%  
tr  
Output (at pad)  
tf  
Figure 5. Output Transition Time Waveform  
i.MX 6Dual/6Quad Automotive and Infotainment Applications Processors, Rev. 2.3  
Freescale Semiconductor  
40  
Electrical Characteristics  
4.7.1  
General Purpose I/O AC Parameters  
The I/O AC parameters for GPIO in slow and fast modes are presented in the Table 28 and Table 29,  
respectively. Note that the fast or slow I/O behavior is determined by the appropriate control bits in the  
IOMUXC control registers.  
Table 28. General Purpose I/O AC Parameters 1.8 V Mode  
Parameter  
Symbol  
Test Condition  
Min  
Typ  
Max  
Unit  
Output Pad Transition Times, rise/fall  
(Max Drive, ipp_dse=111)  
tr, tf  
15 pF Cload, slow slew rate  
15 pF Cload, fast slew rate  
2.72/2.79  
1.51/1.54  
Output Pad Transition Times, rise/fall  
(High Drive, ipp_dse=101)  
tr, tf  
tr, tf  
tr, tf  
trm  
15 pF Cload, slow slew rate  
15 pF Cload, fast slew rate  
3.20/3.36  
1.96/2.07  
ns  
ns  
Output Pad Transition Times, rise/fall  
(Medium Drive, ipp_dse=100)  
15 pF Cload, slow slew rate  
15 pF Cload, fast slew rate  
3.64/3.88  
2.27/2.53  
Output Pad Transition Times, rise/fall  
(Low Drive. ipp_dse=011)  
15 pF Cload, slow slew rate  
15 pF Cload, fast slew rate  
4.32/4.50  
3.16/3.17  
Input Transition Times1  
25  
1
Hysteresis mode is recommended for inputs with transition times greater than 25 ns.  
Table 29. General Purpose I/O AC Parameters 3.3 V Mode  
Parameter  
Symbol  
Test Condition  
Min  
Typ  
Max  
Unit  
Output Pad Transition Times, rise/fall  
(Max Drive, ipp_dse=101)  
tr, tf  
15 pF Cload, slow slew rate  
15 pF Cload, fast slew rate  
1.70/1.79  
1.06/1.15  
Output Pad Transition Times, rise/fall  
(High Drive, ipp_dse=011)  
tr, tf  
tr, tf  
tr, tf  
trm  
15 pF Cload, slow slew rate  
15 pF Cload, fast slew rate  
2.35/2.43  
1.74/1.77  
ns  
Output Pad Transition Times, rise/fall  
(Medium Drive, ipp_dse=010)  
15 pF Cload, slow slew rate  
15 pF Cload, fast slew rate  
3.13/3.29  
2.46/2.60  
Output Pad Transition Times, rise/fall  
(Low Drive. ipp_dse=001)  
15 pF Cload, slow slew rate  
15 pF Cload, fast slew rate  
5.14/5.57  
4.77/5.15  
Input Transition Times1  
25  
ns  
1
Hysteresis mode is recommended for inputs with transition times greater than 25 ns.  
i.MX 6Dual/6Quad Automotive and Infotainment Applications Processors, Rev. 2.3  
Freescale Semiconductor  
41  
Electrical Characteristics  
4.7.2  
DDR I/O AC Parameters  
The LPDDR2 interface mode fully complies with JESD209-2B LPDDR2 JEDEC standard release June,  
2009. The DDR3/DDR3L interface mode fully complies with JESD79-3D DDR3 JEDEC standard release  
April, 2008.  
Table 30 shows the AC parameters for DDR I/O operating in LPDDR2 mode.  
1
Table 30. DDR I/O LPDDR2 Mode AC Parameters  
Parameter  
AC input logic high  
Symbol  
Test Condition  
Min  
Typ  
Max  
Unit  
Vih(ac)  
Vil(ac)  
Vref + 0.22  
OVDD  
Vref – 0.22  
V
V
AC input logic low  
0
0.44  
AC differential input high voltage2  
AC differential input low voltage  
Input AC differential cross point voltage3  
Over/undershoot peak  
Vidh(ac)  
Vidl(ac)  
Vix(ac)  
Vpeak  
V
Relative to Vref  
0.44  
V
-0.12  
0.12  
V
0.35  
V
Over/undershoot area (above OVDD  
or below OVSS)  
Varea  
533 MHz  
0.3  
V-ns  
Single output slew rate, measured  
between Vol(ac) and Voh(ac)  
tsr  
50 to Vref.  
5 pF load.  
Drive impedance = 4 0 30%  
1.5  
1
3.5  
2.5  
0.1  
V/ns  
50 to Vref.  
5pF load.  
Drive impedance = 60 30%  
Skew between pad rise/fall asymmetry +  
skew caused by SSN  
tSKD  
clk = 533 MHz  
ns  
1
2
Note that the JEDEC LPDDR2 specification (JESD209_2B) supersedes any specification in this document.  
Vid(ac) specifies the input differential voltage |Vtr – Vcp| required for switching, where Vtr is the “true” input signal and Vcp is  
the “complementary” input signal. The Minimum value is equal to Vih(ac) – Vil(ac).  
3
The typical value of Vix(ac) is expected to be about 0.5 OVDD. and Vix(ac) is expected to track variation of OVDD. Vix(ac)  
indicates the voltage at which differential input signal must cross.  
Table 31 shows the AC parameters for DDR I/O operating in DDR3/DDR3L mode.  
1
Table 31. DDR I/O DDR3/DDR3L Mode AC Parameters  
Parameter  
AC input logic high  
Symbol  
Test Condition  
Min  
Typ  
Max  
Unit  
Vih(ac)  
Vil(ac)  
Vid(ac)  
Vix(ac)  
Vpeak  
Varea  
Vref + 0.175  
OVDD  
Vref – 0.175  
V
V
AC input logic low  
0
0.35  
AC differential input voltage2  
Input AC differential cross point voltage3  
Over/undershoot peak  
Relative to Vref  
V
Vref – 0.15  
Vref + 0.15  
0.4  
V
V
Over/undershoot area (above OVDD  
or below OVSS)  
533 MHz  
0.5  
V-ns  
i.MX 6Dual/6Quad Automotive and Infotainment Applications Processors, Rev. 2.3  
Freescale Semiconductor  
42  
Electrical Characteristics  
Table 31. DDR I/O DDR3/DDR3L Mode AC Parameters (continued)  
1
Parameter  
Symbol  
Test Condition  
Min  
Typ  
Max  
Unit  
Single output slew rate, measured between  
Vol(ac) and Voh(ac)  
tsr  
Driver impedance =  
2.5  
5
V/ns  
34   
Skew between pad rise/fall asymmetry +  
skew caused by SSN  
tSKD  
clk = 533 MHz  
0.1  
ns  
1
Note that the JEDEC JESD79_3C specification supersedes any specification in this document.  
2
3
Vid(ac) specifies the input differential voltage |Vtr-Vcp| required for switching, where Vtr is the “true” input signal and Vcp is  
the “complementary” input signal. The Minimum value is equal to Vih(ac) – Vil(ac).  
The typical value of Vix(ac) is expected to be about 0.5 OVDD. and Vix(ac) is expected to track variation of OVDD. Vix(ac)  
indicates the voltage at which differential input signal must cross.  
4.7.3  
LVDS I/O AC Parameters  
The differential output transition time waveform is shown in Figure 6.  
padp  
V
OH  
0V  
0V  
0V (Differential)  
padn  
V
OL  
80%  
80%  
0V  
VDIFF  
VDIFF = {padp} - {padn}  
20%  
20%  
t
t
THL  
TLH  
Figure 6. Differential LVDS Driver Transition Time Waveform  
Table 32 shows the AC parameters for LVDS I/O.  
Table 32. I/O AC Parameters of LVDS Pad  
Parameter  
Differential pulse skew1  
Symbol Test Condition  
Min  
Typ  
Max  
Unit  
tSKD  
0.25  
0.5  
Rload = 100 ,  
Cload = 2 pF  
Transition Low to High Time2  
Transition High to Low Time2  
Operating Frequency  
tTLH  
ns  
tTHL  
0.5  
f
600  
800  
150  
MHz  
mV  
Offset voltage imbalance  
Vos  
1
tSKD = | tPHLD – tPLHD |, is the magnitude difference in differential propagation delay time between the positive going edge and  
the negative going edge of the same channel.  
2
Measurement levels are 20–80% from output voltage.  
4.7.4  
MLB 6-Pin I/O AC Parameters  
The differential output transition time waveform is shown in Figure 7.  
i.MX 6Dual/6Quad Automotive and Infotainment Applications Processors, Rev. 2.3  
Freescale Semiconductor  
43  
Electrical Characteristics  
padp  
V
OH  
0V  
0V  
0V (Differential)  
padn  
V
OL  
80%  
80%  
0V  
VDIFF  
VDIFF = {padp} - {padn}  
20%  
20%  
t
t
THL  
TLH  
Figure 7. Differential MLB Driver Transition Time Waveform  
A 4-stage pipeline is utilized in the MLB 6-pin implementation in order to facilitate design, maximize  
throughput, and allow for reasonable PCB trace lengths. Each cycle is one ipp_clk_in* (internal clock  
from MLB PLL) clock period. Cycles 2, 3, and 4 are MLB PHY related. Cycle 2 includes clock-to-output  
delay of Signal/Data sampling flip-flop and Transmitter, Cycle 3 includes clock-to-output delay of  
Signal/Data clocked receiver, Cycle 4 includes clock-to-output delay of Signal/Data sampling flip-flop.  
MLB 6-pin pipeline diagram is shown in Figure 8.  
Figure 8. MLB 6-Pin Pipeline Diagram  
Table 33 shows the AC parameters for MLB I/O.  
Table 33. I/O AC Parameters of MLB PHY  
Parameter  
Symbol Test Condition Min  
Typ  
Max  
Unit  
Differential pulse skew1  
tSKD  
tTLH  
0.1  
1
Rload = 50   
between padP  
and padN  
Transition Low to High Time2  
ns  
Transition High to Low Time  
tTHL  
1
MLB external clock Operating Frequency  
MLB PLL clock Operating Frequency  
fclk_ext  
fclk_pll  
102.4  
307.2  
MHz  
MHz  
1
tSKD = | tPHLD – tPLHD |, is the magnitude difference in differential propagation delay time between the positive going edge and  
the negative going edge of the same channel.  
2
Measurement levels are 20-80% from output voltage.  
i.MX 6Dual/6Quad Automotive and Infotainment Applications Processors, Rev. 2.3  
44  
Freescale Semiconductor  
Electrical Characteristics  
4.8  
Output Buffer Impedance Parameters  
This section defines the I/O impedance parameters of the i.MX 6Dual/6Quad processors for the following  
I/O types:  
General Purpose I/O (GPIO)  
Double Data Rate I/O (DDR) for LPDDR2, and DDR3 modes  
LVDS I/O  
MLB I/O  
NOTE  
GPIO and DDR I/O output driver impedance is measured with “long”  
transmission line of impedance Ztl attached to I/O pad and incident wave  
launched into transmission line. Rpu/Rpd and Ztl form a voltage divider that  
defines specific voltage of incident wave relative to OVDD. Output driver  
impedance is calculated from this voltage divider (see Figure 9).  
i.MX 6Dual/6Quad Automotive and Infotainment Applications Processors, Rev. 2.3  
Freescale Semiconductor  
45  
Electrical Characteristics  
OVDD  
PMOS (Rpu)  
Ztl , L = 20 inches  
ipp_do  
pad  
predriver  
Cload = 1p  
NMOS (Rpd)  
OVSS  
U,(V)  
VDD  
Vin (do)  
t,(ns)  
0
U,(V)  
Vout (pad)  
OVDD  
Vref2  
Vref1  
Vref  
t,(ns)  
0
Vovdd – Vref1  
Vref1  
Rpu =  
Rpd =  
Ztl  
Ztl  
Vref2  
Vovdd – Vref2  
Figure 9. Impedance Matching Load for Measurement  
i.MX 6Dual/6Quad Automotive and Infotainment Applications Processors, Rev. 2.3  
Freescale Semiconductor  
46  
Electrical Characteristics  
4.8.1  
GPIO Output Buffer Impedance  
Table 34 shows the GPIO output buffer impedance (OVDD 1.8 V).  
Table 34. GPIO Output Buffer Average Impedance (OVDD 1.8 V)  
Parameter  
Symbol  
Drive Strength (ipp_dse)  
Typ Value  
Unit  
001  
010  
011  
100  
101  
110  
111  
260  
130  
90  
60  
50  
Output Driver  
Impedance  
Rdrv  
40  
33  
Table 35 shows the GPIO output buffer impedance (OVDD 3.3 V).  
Table 35. GPIO Output Buffer Average Impedance (OVDD 3.3 V)  
Parameter  
Symbol  
Drive Strength (ipp_dse)  
Typ Value  
Unit  
001  
010  
011  
100  
101  
110  
111  
150  
75  
50  
37  
30  
25  
20  
Output Driver  
Impedance  
Rdrv  
i.MX 6Dual/6Quad Automotive and Infotainment Applications Processors, Rev. 2.3  
Freescale Semiconductor  
47  
Electrical Characteristics  
4.8.2  
DDR I/O Output Buffer Impedance  
The LPDDR2 interface fully complies with JESD209-2B LPDDR2 JEDEC standard release June, 2009.  
The DDR3 interface fully complies with JESD79-3D DDR3 JEDEC standard release April, 2008.  
Table 36 shows DDR I/O output buffer impedance of i.MX 6Dual/6Quad processors.  
Table 36. DDR I/O Output Buffer Impedance  
Typical  
NVCC_DRAM=1.5 V  
(DDR3)  
NVCC_DRAM=1.2 V  
(LPDDR2)  
Parameter  
Symbol  
Test Conditions  
Unit  
DDR_SEL=11  
DDR_SEL=10  
Drive Strength (DSE) =  
000  
001  
010  
011  
100  
101  
110  
111  
Hi-Z  
240  
120  
80  
60  
48  
Hi-Z  
240  
120  
80  
60  
48  
Output Driver  
Impedance  
Rdrv  
40  
34  
40  
34  
Note:  
1. Output driver impedance is controlled across PVTs using ZQ calibration procedure.  
2. Calibration is done against 240 W external reference resistor.  
3. Output driver impedance deviation (calibration accuracy) is 5% (max/min impedance) across PVTs.  
4.8.3  
LVDS I/O Output Buffer Impedance  
The LVDS interface complies with TIA/EIA 644-A standard. See, TIA/EIA STANDARD 644-A,  
“Electrical Characteristics of Low Voltage Differential Signaling (LVDS) Interface Circuits” for details.  
4.8.4  
MLB 6-Pin I/O Differential Output Impedance  
Table 37 shows MLB 6-pin I/O differential output impedance of i.MX 6Dual/6Quad processors.  
Table 37. MLB 6-Pin I/O Differential Output Impedance  
Parameter  
Symbol  
Test Conditions  
Min  
Typ  
Max  
Unit  
Differential Output Impedance  
ZO  
1.6  
k  
i.MX 6Dual/6Quad Automotive and Infotainment Applications Processors, Rev. 2.3  
Freescale Semiconductor  
48  
Electrical Characteristics  
4.9  
System Modules Timing  
This section contains the timing and electrical parameters for the modules in each i.MX 6Dual/6Quad  
processor.  
4.9.1  
Reset Timing Parameters  
Figure 10 shows the reset timing and Table 38 lists the timing parameters.  
SRC_POR_B  
(Input)  
CC1  
Figure 10. Reset Timing Diagram  
Table 38. Reset Timing Parameters  
ID  
Parameter  
Min Max  
Unit  
CC1 Duration of SRC_POR_B to be qualified as valid1  
1
XTALOSC_RTC_ XTALI cycle  
1
SRC_POR_B rise/fall times must be 5ns or less.  
4.9.2  
WDOG Reset Timing Parameters  
Figure 11 shows the WDOG reset timing and Table 39 lists the timing parameters.  
WDOG1_B  
(Output)  
CC3  
Figure 11. WDOG1_B Timing Diagram  
Table 39. WDOG1_B Timing Parameters  
ID  
Parameter  
Min  
Max  
Unit  
CC3 Duration of WDOG1_B Assertion  
1
XTALOSC_RTC_ XTALI cycle  
NOTE  
XTALOSC_RTC_XTALI is approximately 32 kHz.  
XTALOSC_RTC_XTALI cycle is one period or approximately 30 s.  
NOTE  
WDOG1_B output signals (for each one of the Watchdog modules) do not  
have dedicated pins, but are muxed out through the IOMUX. See the IOMUX  
manual for detailed information.  
i.MX 6Dual/6Quad Automotive and Infotainment Applications Processors, Rev. 2.3  
Freescale Semiconductor  
49  
Electrical Characteristics  
4.9.3  
External Interface Module (EIM)  
The following subsections provide information on the EIM.  
4.9.3.1  
EIM Interface Pads Allocation  
EIM supports 32-bit, 16-bit and 8-bit devices operating in address/data separate or multiplexed modes.  
Table 40 provides EIM interface pads allocation in different modes.  
1
Table 40. EIM Internal Module Multiplexing  
Multiplexed  
Non Multiplexed Address/Data Mode  
Address/Data mode  
Setup  
8 Bit  
16 Bit  
32 Bit  
16 Bit  
32 Bit  
MUM = 0, MUM = 0, MUM = 0, MUM = 0, MUM = 0, MUM = 0, MUM = 0, MUM = 1, MUM = 1,  
DSZ = 100 DSZ = 101 DSZ = 110 DSZ = 111 DSZ = 001 DSZ = 010 DSZ = 011 DSZ = 001 DSZ = 011  
EIM_ADDR  
[15:00]  
EIM_AD  
[15:00]  
EIM_AD  
[15:00]  
EIM_AD  
[15:00]  
EIM_AD  
[15:00]  
EIM_AD  
[15:00]  
EIM_AD  
[15:00]  
EIM_AD  
[15:00]  
EIM_AD  
[15:00]  
EIM_AD  
[15:00]  
EIM_ADDR EIM_ADDR EIM_ADDR EIM_ADDR EIM_ADDR EIM_ADDR EIM_ADDR EIM_ADDR EIM_ADDR EIM_DATA  
[25:16]  
[25:16]  
[25:16]  
[25:16]  
[25:16]  
[25:16]  
[25:16]  
[25:16]  
EIM_DATA EIM_AD  
[07:00] [07:00]  
[25:16]  
[09:00]  
EIM_DATA EIM_DATA  
[07:00],  
EIM_EB0_B  
EIM_DATA  
[07:00]  
EIM_AD  
[07:00]  
[07:00]  
EIM_DATA  
[15:08],  
EIM_EB1_B  
EIM_DATA  
[15:08]  
EIM_DATA  
[15:08]  
EIM_DATA EIM_AD  
EIM_AD  
[15:08]  
[15:08]  
[15:08]  
EIM_DATA  
[23:16],  
EIM_EB2_B  
EIM_DATA  
[23:16]  
EIM_DATA EIM_DATA  
[23:16] [23:16]  
EIM_DATA  
[07:00]  
EIM_DATA  
[31:24],  
EIM_DATA  
[31:24]  
EIM_DATA EIM_DATA  
[31:24] [31:24]  
EIM_DATA  
[15:08]  
EIM_EB3_B  
1
For more information on configuration ports mentioned in this table, see the i.MX 6Dual/6Quad reference manual  
(IMX6DQRM).  
i.MX 6Dual/6Quad Automotive and Infotainment Applications Processors, Rev. 2.3  
50  
Freescale Semiconductor  
Electrical Characteristics  
4.9.3.2  
General EIM Timing-Synchronous Mode  
Figure 12, Figure 13, and Table 41 specify the timings related to the EIM module. All EIM output control  
signals may be asserted and deasserted by an internal clock synchronized to the EIM_BCLK rising edge  
according to corresponding assertion/negation control fields.  
WE2  
EIM_BCLK  
...  
WE3  
WE1  
WE4  
WE6  
WE5  
WE7  
WE9  
EIM_ADDRxx  
EIM_CSx_B  
WE8  
WE10  
WE12  
EIM_WE_B  
EIM_OE_B  
EIM_EBx_B  
WE11  
WE13  
WE15  
WE17  
WE14  
WE16  
EIM_LBA_B  
Output Data  
Figure 12. EIM Output Timing Diagram  
EIM_BCLK  
WE18  
Input Data  
WE19  
WE20  
EIM_WAIT_B  
WE21  
Figure 13. EIM Input Timing Diagram  
4.9.3.3  
Examples of EIM Synchronous Accesses  
Table 41. EIM Bus Timing Parameters  
ID  
Parameter  
Min1  
Max1  
Unit  
WE1  
WE2  
WE3  
EIM_BCLK cycle time2  
t (k+1)  
ns  
ns  
ns  
EIM_BCLK high level width  
EIM_BCLK low level width  
0.4 t (k+1)  
0.4 t (k+1)  
i.MX 6Dual/6Quad Automotive and Infotainment Applications Processors, Rev. 2.3  
Freescale Semiconductor  
51  
Electrical Characteristics  
Table 41. EIM Bus Timing Parameters (continued)  
ID  
Parameter  
Clock rise to address valid  
Min1  
Max1  
Unit  
WE4  
WE5  
WE6  
WE7  
WE8  
WE9  
-0.5 t (k+1)/2+2.25  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
Clock rise to address invalid  
Clock rise to EIM_CSx_B valid  
Clock rise to EIM_CSx_B invalid  
Clock rise to EIM_WE_B valid  
Clock rise to EIM_WE_B invalid  
0.5 t (k+1)/2-1.25  
-0.5 t (k+1)/2+2.25  
0.5 t (k+1)/2-1.25  
-0.5 t (k+1)/2+2.25  
0.5 t (k+1)/2-1.25  
WE10 Clock rise to EIM_OE_B valid  
WE11 Clock rise to EIM_OE_B invalid  
WE12 Clock rise to EIM_EBx_B valid  
WE13 Clock rise to EIM_EBx_B invalid  
WE14 Clock rise to EIM_LBA_B valid  
WE15 Clock rise to EIM_LBA_B invalid  
WE16 Clock rise to output data valid  
WE17 Clock rise to output data invalid  
WE18 Input data setup time to clock rise  
WE19 Input data hold time from clock rise  
WE20 EIM_WAIT_B setup time to clock rise  
WE21 EIM_WAIT_B hold time from clock rise  
k represents BCD value  
-0.5 t (k+1)/2+2.25  
0.5 t (k+1)/2-1.25  
-0.5 t (k+1)/2+2.25  
0.5 t (k+1)/2-1.25  
-0.5 t (k+1)/2+2.25  
0.5 t (k+1)/2-1.25  
-(k+1) t/2+2.75  
(k+1) t/2-1.25  
2.3  
2
2
2
1
2
EIM maximum operating frequency is 104 MHz (t = 9.165 ns)  
i.MX 6Dual/6Quad Automotive and Infotainment Applications Processors, Rev. 2.3  
Freescale Semiconductor  
52  
Electrical Characteristics  
Figure 14 to Figure 17 provide few examples of basic EIM accesses to external memory devices with the  
timing parameters mentioned previously for specific control parameters settings.  
EIM_BCLK  
EIM_ADDRxx  
WE5  
WE7  
WE4  
WE6  
Address v1  
Last Valid Address  
WE6  
EIM_CSx_B  
EIM_WE_B  
EIM_LBA_B  
EIM_OE_B  
WE14  
WE15  
WE10  
WE12  
WE11  
WE13  
EIM_EBx_B  
WE18  
WE19  
D(v1)  
EIM_DATAxx  
Figure 14. Synchronous Memory Read Access, WSC=1  
EIM_BCLK  
WE4  
WE6  
WE5  
WE7  
WE9  
EIM_ADDRxx  
Last Valid Address  
Address V1  
EIM_CSx_B  
EIM_WE_B  
EIM_LBA_B  
EIM_OE_B  
WE8  
WE14  
WE15  
WE13  
WE17  
WE12  
WE16  
EIM_EBx_B  
EIM_DATAxx  
D(V1)  
Figure 15. Synchronous Memory, Write Access, WSC=1, WBEA=0 and WADVN=0  
i.MX 6Dual/6Quad Automotive and Infotainment Applications Processors, Rev. 2.3  
Freescale Semiconductor  
53  
Electrical Characteristics  
EIM_BCLK  
WE16  
WE17  
WE5  
WE4  
Last Valid Address  
WE6  
EIM_ADDRxx/  
EIM_ADxx  
Write Data  
Address V1  
WE7  
WE9  
EIM_CSx_B  
EIM_WE_B  
WE8  
WE14  
WE15  
EIM_LBA_B  
EIM_OE_B  
WE10  
WE11  
EIM_EBx_B  
Figure 16. Muxed Address/Data (A/D) Mode, Synchronous Write Access,  
WSC=6,ADVA=0, ADVN=1, and ADH=1  
NOTE  
In 32-bit muxed address/data (A/D) mode the 16 MSBs are driven on the  
data bus.  
EIM_BCLK  
WE4  
WE5  
Address V1  
WE19  
WE18  
EIM_ADDRxx/  
EIM_ADxx  
Data  
Last Valid  
Address  
WE6  
EIM_CSx_B  
EIM_WE_B  
WE7  
WE15  
WE10  
WE14  
WE12  
EIM_LBA_B  
EIM_OE_B  
WE11  
WE13  
EIM_EBx_B  
Figure 17. 16-Bit Muxed A/D Mode, Synchronous Read Access,  
WSC=7, RADVN=1, ADH=1, OEA=0  
i.MX 6Dual/6Quad Automotive and Infotainment Applications Processors, Rev. 2.3  
Freescale Semiconductor  
54  
Electrical Characteristics  
4.9.3.4  
General EIM Timing-Asynchronous Mode  
Figure 18 through Figure 22 and Table 42 provide timing parameters relative to the chip select (CS) state  
for asynchronous and DTACK EIM accesses with corresponding EIM bit fields and the timing  
parameters mentioned above.  
Asynchronous read & write access length in cycles may vary from what is shown in Figure 18 through  
Figure 21 as RWSC, OEN & CSN is configured differently. See the i.MX 6Dual/6Quad reference manual  
(IMX6DQRM) for the EIM programming model.  
end of  
access  
start of  
access  
INT_CLK  
MAXCSO  
EIM_CSx_B  
WE31  
WE32  
EIM_ADDRxx/  
EIM_ADxx  
Next Address  
Last Valid Address  
Address V1  
EIM_WE_B  
EIM_LBA_B  
WE39  
WE40  
WE35  
WE37  
WE36  
WE38  
EIM_OE_B  
EIM_EBx_B  
WE44  
MAXCO  
EIM_DATA[07:00]  
D(V1)  
WE43  
MAXDI  
Figure 18. Asynchronous Memory Read Access (RWSC = 5)  
i.MX 6Dual/6Quad Automotive and Infotainment Applications Processors, Rev. 2.3  
Freescale Semiconductor  
55  
Electrical Characteristics  
end of  
access  
start of  
access  
INT_CLK  
MAXCSO  
EIM_CSx_B  
MAXDI  
WE31  
EIM_ADDRxx/  
EIM_ADxx  
D(V1)  
Addr. V1  
WE44  
WE32A  
WE40A  
WE35A  
EIM_WE_B  
EIM_LBA_B  
WE39  
WE37  
WE36  
WE38  
EIM_OE_B  
EIM_EBx_B  
MAXCO  
Figure 19. Asynchronous A/D Muxed Read Access (RWSC = 5)  
EIM_CSx_B  
WE31  
Last Valid Address  
WE32  
WE34  
WE40  
EIM_ADDRxx  
Next Address  
Address V1  
WE33  
EIM_WE_B  
EIM_LBA_B  
EIM_OE_B  
WE39  
WE45  
WE41  
WE46  
EIM_EBx_B  
WE42  
EIM_DATAxx  
D(V1)  
Figure 20. Asynchronous Memory Write Access  
i.MX 6Dual/6Quad Automotive and Infotainment Applications Processors, Rev. 2.3  
Freescale Semiconductor  
56  
Electrical Characteristics  
EIM_CSx_B  
WE41A  
WE31  
EIM_ADDRxx/  
EIM_DATAxx  
D(V1)  
Addr. V1  
WE32A  
WE42  
WE33  
WE39  
WE34  
EIM_WE_B  
WE40A  
EIM_LBA_B  
EIM_OE_B  
WE45  
WE46  
EIM_EBx_B  
Figure 21. Asynchronous A/D Muxed Write Access  
EIM_CSx_B  
EIM_ADDRxx  
WE31  
WE32  
Next Address  
Last Valid Address  
Address V1  
EIM_WE_B  
EIM_LBA_B  
EIM_OE_B  
EIM_EBx_B  
WE39  
WE35  
WE37  
WE40  
WE36  
WE38  
WE44  
D(V1)  
EIM_DATAxx[07:00]  
EIM_DTACK_B  
WE43  
WE48  
WE47  
Figure 22. DTACK Mode Read Access (DAP=0)  
i.MX 6Dual/6Quad Automotive and Infotainment Applications Processors, Rev. 2.3  
Freescale Semiconductor  
57  
Electrical Characteristics  
EIM_CSx_B  
WE31  
WE32  
WE34  
WE40  
EIM_ADDRxx  
Next Address  
Last Valid Address  
Address V1  
WE33  
EIM_WE_B  
EIM_LBA_B  
EIM_OE_B  
EIM_EBx_B  
WE39  
WE45  
WE41  
WE46  
WE42  
WE48  
D(V1)  
EIM_DATAxx  
EIM_DTACK_B  
WE47  
Figure 23. DTACK Mode Write Access (DAP=0)  
1 2  
,
Table 42. EIM Asynchronous Timing Parameters Relative to Chip Select  
Determination by  
Max  
Ref No.  
Parameter  
Synchronous measured  
parameters  
Min  
(If 132 MHz is  
supported by SoC)  
Unit  
WE31 EIM_CSx_B valid to Address Valid  
WE4 - WE6 - CSA  
WE7 - WE5 - CSN  
3 - CSA  
3 - CSN  
ns  
ns  
WE32 Address Invalid to EIM_CSx_B  
Invalid  
WE32A EIM_CSx_B valid to Address  
(muxed Invalid  
A/D)  
t + WE4 - WE7 + (ADVN + ADVA  
+ 1 - CSA)  
-3 + (ADVN +  
ADVA + 1 - CSA)  
ns  
WE33 EIM_CSx_B Valid to EIM_WE_B  
Valid  
WE8 - WE6 + (WEA - WCSA)  
3 + (WEA - WCSA)  
3 - (WEN_WCSN)  
3 + (OEA - RCSA)  
3 + (OEA +  
ns  
ns  
ns  
ns  
WE34 EIM_WE_B Invalid to EIM_CSx_B WE7 - WE9 + (WEN - WCSN)  
Invalid  
WE35 EIM_CSx_B Valid to EIM_OE_B  
Valid  
WE10 - WE6 + (OEA - RCSA)  
WE35A EIM_CSx_B Valid to EIM_OE_B  
WE10 - WE6 + (OEA + RADVN  
-3 + (OEA +  
(muxed Valid  
A/D)  
+ RADVA + ADH + 1 - RCSA) RADVN+RADVA RADVN+RADVA+AD  
+ADH+1-RCSA)  
H+1-RCSA)  
WE36 EIM_OE_B Invalid to EIM_CSx_B WE7 - WE11 + (OEN - RCSN)  
Invalid  
3 - (OEN - RCSN)  
ns  
WE37 EIM_CSx_B Valid to EIM_EBx_B WE12 - WE6 + (RBEA - RCSA)  
Valid (Read access)  
3 + (RBEA - RCSA) ns  
WE38 EIM_EBx_B Invalid to EIM_CSx_B WE7 - WE13 + (RBEN - RCSN)  
Invalid (Read access)  
3 - (RBEN - RCSN)  
3 + (ADVA - CSA)  
ns  
ns  
WE39 EIM_CSx_B Valid to EIM_LBA_B  
Valid  
WE14 - WE6 + (ADVA - CSA)  
i.MX 6Dual/6Quad Automotive and Infotainment Applications Processors, Rev. 2.3  
Freescale Semiconductor  
58  
Electrical Characteristics  
1 2  
,
Table 42. EIM Asynchronous Timing Parameters Relative to Chip Select  
(continued)  
Determination by  
Max  
Ref No.  
Parameter  
Synchronous measured  
parameters  
Min  
(If 132 MHz is  
supported by SoC)  
Unit  
WE40 EIM_LBA_B Invalid to EIM_CSx_B  
Invalid (ADVL is asserted)  
WE7 - WE15 - CSN  
3 - CSN  
ns  
WE40A EIM_CSx_B Valid to EIM_LBA_B WE14 - WE6 + (ADVN + ADVA +  
-3 + (ADVN + 3 + (ADVN + ADVA + 1 ns  
(muxed Invalid  
A/D)  
1 - CSA)  
ADVA + 1 - CSA)  
- CSA)  
WE41 EIM_CSx_B Valid to Output Data  
Valid  
WE16 - WE6 - WCSA  
3 - WCSA  
ns  
ns  
WE41A EIM_CSx_B Valid to Output Data  
(muxed Valid  
A/D)  
WE16 - WE6 + (WADVN +  
WADVA + ADH + 1 - WCSA)  
3 + (WADVN +  
WADVA + ADH + 1 -  
WCSA)  
WE42 Output Data Invalid to EIM_CSx_B  
Invalid  
WE17 - WE7 - CSN  
10  
3 - CSN  
ns  
ns  
MAXCO Output maximum delay from  
internal driving  
EIM_ADDRxx/control flip-flops to  
chip outputs.  
MAXCSO Output maximum delay from  
internal chip selects driving  
10  
ns  
ns  
ns  
ns  
flip-flops to EIM_CSx_B out.  
MAXDI EIM_DATAxx MAXIMUM delay  
from chip input data to its internal  
flip-flop  
5
WE43 Input Data Valid to EIM_CSx_B  
Invalid  
MAXCO - MAXCSO + MAXDI  
0
MAXCO -  
MAXCSO +  
MAXDI  
WE44 EIM_CSx_B Invalid to Input Data  
Invalid  
0
WE45 EIM_CSx_B Valid to EIM_EBx_B WE12 - WE6 + (WBEA - WCSA)  
Valid (Write access)  
3 + (WBEA - WCSA) ns  
-3 + (WBEN - WCSN) ns  
WE46 EIM_EBx_B Invalid to EIM_CSx_B WE7 - WE13 + (WBEN - WCSN)  
Invalid (Write access)  
MAXDTI Maximum delay from  
EIM_DTACK_B input to its internal  
10  
flip-flop + 2 cycles for  
synchronization  
WE47 EIM_DTACK_B Active to  
EIM_CSx_B Invalid  
MAXCO - MAXCSO + MAXDTI  
0
MAXCO -  
MAXCSO +  
MAXDTI  
ns  
ns  
WE48 EIM_CSx_B Invalid to  
EIM_DTACK_B invalid  
0
1
For more information on configuration parameters mentioned in this table, see the i.MX 6Solo/6DualLite reference manual  
(IMX6DQRM).  
i.MX 6Dual/6Quad Automotive and Infotainment Applications Processors, Rev. 2.3  
Freescale Semiconductor  
59  
Electrical Characteristics  
2
In this table:  
— CSA means WCSA when write operation or RCSA when read operation  
— CSN means WCSN when write operation or RCSN when read operation  
— t means axi_clk cycle time  
— ADVN means WADVN when write operation or RADVN when read operation  
— ADVA means WADVA when write operation or RADVA when read operation  
4.9.4  
DDR SDRAM Specific Parameters (DDR3/DDR3L and LPDDR2)  
DDR3/DDR3L Parameters  
4.9.4.1  
Figure 24 shows the DDR3/DDR3L basic timing diagram. The timing parameters for this diagram appear  
in Table 43.  
DDR1  
DRAM_SDCLKx_N  
DRAM_SDCLKx_P  
DRAM_CSx_B  
DDR2  
DDR4  
DDR5  
DRAM_RAS_B  
DDR5  
DDR4  
DRAM_CAS_B  
DDR4  
DDR5  
DDR5  
DRAM_SDWE_B  
DRAM_ODTx/  
DRAM_SDCKEx  
DDR6  
DDR4  
DDR7  
DRAM_ADDRxx  
ROW/BA  
COL/BA  
Figure 24. DDR3/DDR3L Command and Address Timing Diagram  
Table 43. DDR3/DDR3L Timing Parameter Table  
CK = 532 MHz  
ID  
Parameter  
Symbol  
Unit  
Min  
Max  
DDR1 DRAM_SDCLKx_P clock high-level width  
DDR2 DRAM_SDCLKx_P clock low-level width  
tCH  
tCL  
0.47  
0.47  
0.53  
0.53  
tCK  
tCK  
i.MX 6Dual/6Quad Automotive and Infotainment Applications Processors, Rev. 2.3  
Freescale Semiconductor  
60  
Electrical Characteristics  
Table 43. DDR3/DDR3L Timing Parameter Table (continued)  
CK = 532 MHz  
ID  
Parameter  
Symbol  
Unit  
Min  
Max  
DDR4 DRAM_CSx_B, DRAM_RAS_B,  
DRAM_CAS_B, DRAM_SDCKEx,  
tIS  
500  
ps  
ps  
DRAM_SDWE_B, DRAM_ODTx setup time  
DDR5 DRAM_CSx_B, DRAM_RAS_B,  
DRAM_CAS_B, DRAM_SDCKEx,  
tIH  
400  
DRAM_SDWE_B, DRAM_ODTx hold time  
DDR6 Address output setup time  
DDR7 Address output hold time  
tIS  
tIH  
500  
400  
ps  
ps  
1
2
All measurements are in reference to Vref level.  
Measurements were done using balanced load and 25 resistor from outputs to DRAM_VREF.  
Figure 25 shows the DDR3/DDR3L write timing diagram. The timing parameters for this diagram appear  
in Table 44.  
DRAM_SDCLKx_P  
DRAM_SDCLKx_N  
DDR21  
DDR17  
DDR22  
DDR23  
DRAM_SDQSx_P (output)  
DRAM_DATAxx (output)  
DDR18  
Data  
DDR17  
DDR18  
Data  
DM  
Data  
Data  
DM  
Data  
Data  
DM  
Data  
DM  
Data  
DM  
DRAM_DQMx (output)  
DM  
DM  
DM  
DDR17  
DDR17  
DDR18  
DDR18  
Figure 25. DDR3/DDR3L Write Cycle  
Table 44. DDR3/DDR3L Write Cycle  
CK = 532 MHz  
ID  
Parameter  
Symbol  
Unit  
Min  
Max  
DDR17 DRAM_DATAxx and DRAM_DQMx setup time to DRAM_SDQSx_P (differential  
strobe)  
tDS  
tDH  
240  
ps  
ps  
DDR18 DRAM_DATAxx and DRAM_DQMx hold time to DRAM_SDQSx_P (differential  
strobe)  
240  
DDR21 DRAM_SDQSx_P latching rising transitions to associated clock edges  
tDQSS  
-0.25 +0.25  
tCK  
i.MX 6Dual/6Quad Automotive and Infotainment Applications Processors, Rev. 2.3  
Freescale Semiconductor  
61  
Electrical Characteristics  
Table 44. DDR3/DDR3L Write Cycle (continued)  
Parameter  
CK = 532 MHz  
ID  
Symbol  
Unit  
Min  
Max  
DDR22 DRAM_SDQSx_P high level width  
DDR23 DRAM_SDQSx_P low level width  
tDQSH  
tDQSL  
0.45  
0.45  
0.55  
0.55  
tCK  
tCK  
1
To receive the reported setup and hold values, write calibration should be performed in order to locate the DRAM_SDQSx_P  
in the middle of DRAM_DATAxx window.  
2
3
All measurements are in reference to Vref level.  
Measurements were taken using balanced load and 25 resistor from outputs to DRAM_VREF.  
Figure 26 shows the DDR3/DDR3L read timing diagram. The timing parameters for this diagram appear  
in Table 45.  
DRAM_SDCLKx_P  
DRAM_SDCLKx_N  
DRAM_SDQSx_P(input)  
DRAM_DATAxx (input)  
DATA  
DATA  
DATA  
DATA  
DATA  
DATA  
DATA  
DATA  
DDR26  
Figure 26. DDR3/DDR3L Read Cycle  
Table 45. DDR3/DDR3L Read Cycle  
CK = 532 MHz  
ID  
Parameter  
Symbol  
Unit  
Min  
Max  
DDR26  
Minimum required DRAM_DATAxx valid window width  
450  
ps  
1
To receive the reported setup and hold values, read calibration should be performed in order to locate the DRAM_SDQSx_P  
in the middle of DRAM_DATAxx window.  
2
3
All measurements are in reference to Vref level.  
Measurements were done using balanced load and 25 resistor from outputs to DRAM_VREF.  
i.MX 6Dual/6Quad Automotive and Infotainment Applications Processors, Rev. 2.3  
62  
Freescale Semiconductor  
Electrical Characteristics  
4.9.4.2  
LPDDR2 Parameters  
Figure 27 shows the LPDDR2 basic timing diagram. The timing parameters for this diagram appear in  
Table 46.  
DRAM_SDCLKx_P  
LP1  
LP4  
LP2  
DRAM_CSx_B  
LP3  
LP3  
DRAM__SDCKEx  
LP3  
LP4  
DRAM_ADRxx  
LP4  
LP3  
Figure 27. LPDDR2 Command and Address Timing Diagram  
Table 46. LPDDR2 Timing Parameter  
CK = 532 MHz  
ID  
Parameter  
Symbol  
Unit  
Min  
Max  
LP1  
LP2  
LP3  
LP4  
LP3  
LP4  
DRAM_SDCLKx_P clock high-level width  
DRAM_SDCLKx_P clock low-level width  
DRAM_CSx_B, DRAM_ADDRxx setup time  
DRAM_CSx_B, DRAM_ADDRxx hold time  
DRAM_ADDRxx setup time  
tCH  
tCL  
tIS  
0.45  
0.45  
270  
270  
230  
230  
0.55  
0.55  
tCK  
tCK  
ps  
ps  
ps  
ps  
tIH  
tIS  
DRAM_ADDRxx hold time  
tIH  
1
2
All measurements are in reference to Vref level.  
Measurements were done using balanced load and 25 resistor from outputs to DRAM_VREF.  
i.MX 6Dual/6Quad Automotive and Infotainment Applications Processors, Rev. 2.3  
Freescale Semiconductor  
63  
Electrical Characteristics  
Figure 28 shows the LPDDR2 write timing diagram. The timing parameters for this diagram appear in  
Table 47.  
DRAM_SDCLKx_P  
DRAM_SDCLKx_N  
LP21  
LP17  
LP22  
LP23  
DRAM_SDQSx_P (output)  
DRAM_DATAxx (output)  
DRAM_DQMx (output)  
LP18  
Data  
LP17  
Data  
LP18  
Data  
Data  
DM  
Data  
Data  
DM  
Data  
DM  
Data  
DM  
DM  
LP17  
DM  
DM  
DM  
LP18  
LP17  
LP18  
Figure 28. LPDDR2 Write Cycle  
Table 47. LPDDR2 Write Cycle  
CK = 532 MHz  
ID  
Parameter  
Symbol  
Unit  
Min  
Max  
LP17  
LP18  
DRAM_DATAxx and DRAM_DQMx setup time to DRAM_SDQSx_P  
(differential strobe)  
tDS  
tDH  
235  
ps  
ps  
DRAM_DATAxx and DRAM_DQMx hold time to DRAM_SDQSx_P  
(differential strobe)  
235  
LP21  
LP22  
LP23  
DRAM_SDQSx_P latching rising transitions to associated clock edges  
DRAM_SDQSx_P high level width  
tDQSS  
tDQSH  
tDQSL  
-0.25  
0.4  
+0.25  
tCK  
tCK  
tCK  
DRAM_SDQSx_P low level width  
0.4  
1
To receive the reported setup and hold values, write calibration should be performed in order to locate the DRAM_SDQSx_P  
in the middle of DRAM_DATAxx window.  
2
3
All measurements are in reference to Vref level.  
Measurements were done using balanced load and 25 resistor from outputs to DRAM_VREF.  
i.MX 6Dual/6Quad Automotive and Infotainment Applications Processors, Rev. 2.3  
64  
Freescale Semiconductor  
Electrical Characteristics  
Figure 29 shows the LPDDR2 read timing diagram. The timing parameters for this diagram appear in  
Table 48.  
DRAM_SDCLKx_P  
DRAM_SDCLKx_N  
DRAM_SDQSx_P (input)  
DRAM_DATAxx (input)  
DATA  
DATA  
DATA  
DATA  
DATA  
DATA  
DATA  
DATA  
LP26  
Figure 29. LPDDR2 Read Cycle  
Table 48. LPDDR2 Read Cycle  
CK = 532 MHz  
ID  
Parameter  
Symbol  
Unit  
Min  
Max  
LP26  
Minimum required DRAM_DATAxx valid window width for LPDDR2  
250  
ps  
1
To receive the reported setup and hold values, read calibration should be performed in order to locate the DRAM_SDQSx_P  
in the middle of DRAM_DATAxx window.  
2
3
All measurements are in reference to Vref level.  
Measurements were done using balanced load and 25 resistor from outputs to DRAM_VREF.  
4.10 General-Purpose Media Interface (GPMI) Timing  
The i.MX 6Dual/6Quad GPMI controller is a flexible interface NAND Flash controller with 8-bit data  
width, up to 200 MB/s I/O speed and individual chip select. It supports Asynchronous timing mode,  
Source Synchronous timing mode, and Samsung Toggle timing mode separately described in the following  
subsections.  
i.MX 6Dual/6Quad Automotive and Infotainment Applications Processors, Rev. 2.3  
Freescale Semiconductor  
65  
Electrical Characteristics  
4.10.1 Asynchronous Mode AC Timing (ONFI 1.0 Compatible)  
Asynchronous mode AC timings are provided as multiplications of the clock cycle and fixed delay. The  
Maximum I/O speed of GPMI in Asynchronous mode is about 50 MB/s. Figure 30 through Figure 33  
depict the relative timing between GPMI signals at the module level for different operations under  
Asynchronous mode. Table 49 describes the timing parameters (NF1–NF17) that are shown in the figures.  
E&Ϯ  
E&ϭ  
.!.$?#,%  
E&ϯ  
E&ϰ  
.!.$?#%ꢀ?"  
.!.$?7%?"  
E&ϱ  
.!.$?!,%  
E&ϲ  
E&ϴ  
ꢀŽŵŵĂŶĚꢁ  
E&ϳ  
E&ϵ  
.!.$?$!4!XX  
Figure 30. Command Latch Cycle Timing Diagram  
E&ϭ  
.!.$?#,%  
E&ϯ  
.!.$?#%ꢀ?"  
.!.$?7%?"  
E&ϭϬꢁ  
E&ϱ  
E&ϭϭ  
.!.$?!,%  
E&ϳ  
E&ϲ  
E&ϴ  
E&ϵ  
EꢂEꢃͺꢃꢂdꢂdždž  
ꢂĚĚƌĞƐƐꢁ  
Figure 31. Address Latch Cycle Timing Diagram  
E&ϭ  
.!.$?#,%  
.!.$?#%ꢀ?"  
.!.$?7%?"  
E&ϯ  
E&ϭϬꢁ  
E&ϱ  
E&ϭϭ  
E&ϲ  
E&ϳ  
.!.$?!,%  
E&ϴ  
ꢃĂƚĂꢁꢁƚŽꢁꢁE&ꢁ  
E&ϵ  
.!.$?$!4!XX  
Figure 32. Write Data Latch Cycle Timing Diagram  
i.MX 6Dual/6Quad Automotive and Infotainment Applications Processors, Rev. 2.3  
66  
Freescale Semiconductor  
Electrical Characteristics  
.!.$?#,%  
.!.$?#%ꢀ?"  
.!.$?2%?"  
E&ϭϰꢁ  
E&ϭϯ  
E&ϭϱ  
.!.$?2%!$9?"  
E&ϭϮ  
E&ϭϲ  
E&ϭϳ  
ꢃĂƚĂꢁĨƌŽŵꢁE&ꢁ  
.!.$?$!4!XX  
Figure 33. Read Data Latch Cycle Timing Diagram (Non-EDO Mode)  
.!.$?#,%  
.!.$?#%ꢀ?"  
E&ϭϰꢁ  
E&ϭϯ  
E&ϭϱ  
.!.$?2%?"  
.!.$?2%!$9?"  
E&ϭϮ  
E&ϭϳ  
E&ϭϲ  
EꢂEꢃͺꢃꢂdꢂdždž  
ꢃĂƚĂꢁĨƌŽŵꢁE&  
Figure 34. Read Data Latch Cycle Timing Diagram (EDO Mode)  
1
Table 49. Asynchronous Mode Timing Parameters  
Timing  
T = GPMI Clock Cycle  
ID  
Parameter  
Symbol  
Unit  
Min  
(AS + DS) T - 0.12 [see  
DH T - 0.72 [see 2]  
Max  
2,3  
NF1  
NF2  
NF3  
NF4  
NF5  
NF6  
NF7  
NF8  
NF9  
NAND_CLE setup time  
NAND_CLE hold time  
NAND_CEx_B setup time  
NAND_CEx_B hold time  
NAND_WE_B pulse width  
NAND_ALE setup time  
NAND_ALE hold time  
Data setup time  
tCLS  
tCLH  
tCS  
]
ns  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
(AS + DS + 1) T [see 3,2  
(DH+1) T - 1 [see 2]  
DS T [see 2]  
(AS + DS) T - 0.49 [see 3,2  
(DH T - 0.42 [see 2]  
DS T - 0.26 [see 2]  
DH T - 1.37 [see 2]  
(DS + DH) T [see 2]  
DH T [see 2]  
]
tCH  
tWP  
tALS  
tALH  
tDS  
]
Data hold time  
tDH  
NF10 Write cycle time  
tWC  
tWH  
tRR4  
tRP  
NF11 NAND_WE_B hold time  
NF12 Ready to NAND_RE_B low  
NF13 NAND_RE_B pulse width  
NF14 READ cycle time  
(AS + 2) T [see 3,2  
]
DS T [see 2]  
(DS + DH) T [see 2]  
DH T [see 2]  
tRC  
NF15 NAND_RE_B high hold time  
tREH  
i.MX 6Dual/6Quad Automotive and Infotainment Applications Processors, Rev. 2.3  
Freescale Semiconductor  
67  
Electrical Characteristics  
1
Table 49. Asynchronous Mode Timing Parameters (continued)  
Timing  
T = GPMI Clock Cycle  
ID  
Parameter  
Symbol  
Unit  
Min  
Max  
NF16 Data setup on read  
NF17 Data hold on read  
tDSR  
tDHR  
(DS T -0.67)/18.38 [see 5,6  
]
ns  
ns  
0.82/11.83 [see 5,6  
]
1
The GPMI asynchronous mode output timing can be controlled by the module’s internal registers  
HW_GPMI_TIMING0_ADDRESS_SETUP, HW_GPMI_TIMING0_DATA_SETUP, and HW_GPMI_TIMING0_DATA_HOLD.  
This AC timing depends on these registers settings. In the table, AS/DS/DH represents each of these settings.  
2
3
4
5
6
AS minimum value can be 0, while DS/DH minimum value is 1.  
T = GPMI clock period -0.075ns (half of maximum p-p jitter).  
NF12 is met automatically by the design.  
Non-EDO mode.  
EDO mode, GPMI clock 100 MHz  
(AS=DS=DH=1, GPMI_CTL1 [RDN_DELAY] = 8, GPMI_CTL1 [HALF_PERIOD] = 0).  
In EDO mode (Figure 34), NF16/NF17 are different from the definition in non-EDO mode (Figure 33).  
They are called tREA/tRHOH (NAND_RE_B access time/NAND_RE_B HIGH to output hold). The  
typical value for them are 16 ns (max for tREA)/15 ns (min for tRHOH) at 50 MB/s EDO mode. In EDO  
mode, GPMI will sample NAND_DATAxx at rising edge of delayed NAND_RE_B provided by an  
internal DPLL. The delay value can be controlled by GPMI_CTRL1.RDN_DELAY (see the GPMI chapter  
of the i.MX 6Dual/6Quad reference manual (IMX6DQRM)). The typical value of this control register is  
0x8 at 50 MT/s EDO mode. However, if the board delay is large enough and cannot be ignored, the delay  
value should be made larger to compensate the board delay.  
i.MX 6Dual/6Quad Automotive and Infotainment Applications Processors, Rev. 2.3  
68  
Freescale Semiconductor  
Electrical Characteristics  
4.10.2 Source Synchronous Mode AC Timing (ONFI 2.x Compatible)  
Figure 35 shows the write and read timing of Source Synchronous mode.  
1)ꢀꢈ  
1)ꢀꢁ  
.!.$?#%?"  
1)ꢂꢆ  
1$1'B&/(  
1)ꢂꢄ  
1)ꢂꢃ  
1)ꢂꢇ  
1$1'B$/(  
1)ꢂꢃ 1)ꢂꢄ  
1$1'B:(ꢉ5(B%  
1)ꢂꢂ  
1$1'B&/.  
1$1'B'46  
1$1'B'46  
2XWSXWꢊHQDEOH  
1)ꢂꢅ  
1)ꢂꢅ  
1)ꢂꢀ  
1)ꢂꢀ  
&0'  
$''  
1$1'B'$7$>ꢋꢌꢅ@  
1$1'B'$7$>ꢋꢌꢅ@  
2XWSXWꢊHQDEOH  
Figure 35. Source Synchronous Mode Command and Address Timing Diagram  
i.MX 6Dual/6Quad Automotive and Infotainment Applications Processors, Rev. 2.3  
Freescale Semiconductor  
69  
Electrical Characteristics  
1)ꢀꢈ  
1)ꢀꢁ  
.!.$?#%ꢀ?"  
.!.$?#,%  
1)ꢂꢆ  
1)ꢂꢆ  
1)ꢂꢇ  
1)ꢂꢇ  
1)ꢂꢃ  
1)ꢂꢃ  
1)ꢂꢄ  
1)ꢂꢄ  
.!.$?!,%  
1$1'B:(ꢉ5(B%  
1)ꢂꢂ  
.!.$?#,+  
.!.$?$13  
1)ꢂꢋ  
1)ꢂꢋ  
.!.$?$13  
2XWSXWꢊHQDEOH  
1)ꢂꢈ  
1)ꢂꢈ  
.!.$?$1;ꢁꢂꢀ=  
1)ꢂꢁ  
1)ꢂꢁ  
.!.$?$1;ꢁꢂꢀ=  
2XWSXWꢊHQDEOH  
Figure 36. Source Synchronous Mode Data Write Timing Diagram  
1)ꢀꢁ  
1)ꢀꢈ  
.!.$?#%?"  
.!.$?#,%  
1)ꢂꢇ  
1)ꢂꢇ  
1)ꢂꢆ  
1)ꢂꢆ  
1)ꢂꢄ  
1)ꢂꢄ  
1)ꢂꢃ  
1)ꢂꢃ  
1$1'B$/(  
1)ꢂꢃ  
.!.$?7%ꢃ2%  
1)ꢂꢃ  
1)ꢂꢂ  
1)ꢂꢄ  
.!.$?#,+  
.!.$?$13  
.!.$?$13  
/UTPUT ENABLE  
.!.$?$!4!;ꢁꢂꢀ=  
.!.$?$!4!;ꢁꢂꢀ=  
/UTPUT ENABLE  
Figure 37. Source Synchronous Mode Data Read Timing Diagram  
i.MX 6Dual/6Quad Automotive and Infotainment Applications Processors, Rev. 2.3  
70  
Freescale Semiconductor  
Electrical Characteristics  
.!.$?$13  
E&ϯϬꢁ  
.!.$?$!4!;ꢁꢂꢀ=  
ꢃϬꢁ  
ꢃϭꢁ  
ꢃϮꢁ  
ꢃϯꢁ  
E&ϯϬ  
E&ϯϭ  
E&ϯϭ  
Figure 38. NAND_DQS/NAND_DQ Read Valid Window  
1
Table 50. Source Synchronous Mode Timing Parameters  
Timing  
T = GPMI Clock Cycle  
ID  
Parameter  
Symbol  
Unit  
Min  
Max  
2
NF18 NAND_CEx_B access time  
NF19 NAND_CEx_B hold time  
tCE  
tCH  
CE_DELAY T - 0.79 [see ]  
0.5 tCK - 0.63 [see 2]  
0.5 tCK - 0.05  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
NF20 Command/address NAND_DATAxx setup time  
NF21 Command/address NAND_DATAxx hold time  
NF22 clock period  
tCAS  
tCAH  
tCK  
0.5 tCK - 1.23  
NF23 preamble delay  
tPRE  
tPOST  
tCALS  
tCALH  
tDQSS  
tDS  
PRE_DELAY T - 0.29 [see 2]  
POST_DELAY T - 0.78 [see 2]  
0.5 tCK - 0.86  
NF24 postamble delay  
NF25 NAND_CLE and NAND_ALE setup time  
NF26 NAND_CLE and NAND_ALE hold time  
NF27 NAND_CLK to first NAND_DQS latching transition  
NF28 Data write setup  
0.5 tCK - 0.37  
T - 0.41 [see 2]  
0.25 tCK - 0.35  
NF29 Data write hold  
tDH  
0.25 tCK - 0.85  
NF30 NAND_DQS/NAND_DQ read setup skew  
tDQSQ  
tQHS  
2.06  
1.95  
NF31 NAND_DQS/NAND_DQ read hold skew  
1
2
The GPMI source synchronous mode output timing can be controlled by the module’s internal registers  
GPMI_TIMING2_CE_DELAY, GPMI_TIMING_PREAMBLE_DELAY, GPMI_TIMING2_POST_DELAY. This AC timing depends  
on these registers settings. In the table, CE_DELAY/PRE_DELAY/POST_DELAY represents each of these settings.  
T = tCK (GPMI clock period) -0.075ns (half of maximum p-p jitter).  
Figure 38 shows the timing diagram of NAND_DQS/NAND_DATAxx read valid window. For Source  
Synchronous mode, the typical value of tDQSQ is 0.85 ns (max) and 1 ns (max) for tQHS at 200MB/s.  
GPMI will sample NAND_DATA[7:0] at both rising and falling edge of a delayed NAND_DQS signal,  
which can be provided by an internal DPLL. The delay value can be controlled by GPMI register  
GPMI_READ_DDR_DLL_CTRL.SLV_DLY_TARGET (see the GPMI chapter of the i.MX  
6Dual/6Quad reference manual (IMX6DQRM)). Generally, the typical delay value of this register is equal  
to 0x7 which means 1/4 clock cycle delay expected. However, if the board delay is large enough and  
cannot be ignored, the delay value should be made larger to compensate the board delay.  
i.MX 6Dual/6Quad Automotive and Infotainment Applications Processors, Rev. 2.3  
Freescale Semiconductor  
71  
Electrical Characteristics  
4.10.3 Samsung Toggle Mode AC Timing  
4.10.3.1 Command and Address Timing  
Samsung Toggle mode command and address timing is the same as ONFI 1.0 compatible Async mode AC  
timing. See Section 4.10.1, “Asynchronous Mode AC Timing (ONFI 1.0 Compatible)” for details.  
4.10.3.2 Read and Write Timing  
DEV?CLK  
.!.$?#%X?"  
.!.$?#,%  
.!.$?!,%  
.!.$?7%?"  
.!.$?2%?"  
.&ꢇꢉ  
.&ꢇꢈ  
.!.$?$13  
ꢀꢅꢆ T#+  
ꢀꢅꢆ T#+  
.!.$?$!4!;ꢁꢂꢀ=  
Figure 39. Samsung Toggle Mode Data Write Timing  
i.MX 6Dual/6Quad Automotive and Infotainment Applications Processors, Rev. 2.3  
Freescale Semiconductor  
72  
Electrical Characteristics  
DEV?CLK  
.!.$?#%X?"  
.& ꢄꢊ  
.!.$?#,%  
.!.$?!,%  
ꢄ T #+  
.&ꢇꢉ  
.!.$?7%?"  
.!.$?2%?"  
ꢄ T #+  
.& ꢇꢈ  
ꢄ T #+  
ꢄ T #+  
ꢄ T #+  
.!.$?$13  
.!.$?$!4!;ꢁꢂꢀ=  
Figure 40. Samsung Toggle Mode Data Read Timing  
1
Table 51. Samsung Toggle Mode Timing Parameters  
Timing  
T = GPMI Clock Cycle  
Min  
ID  
Parameter  
Symbol  
Unit  
Max  
2,3  
NF1 NAND_CLE setup time  
NF2 NAND_CLE hold time  
tCLS  
tCLH  
tCS  
(AS + DS) T - 0.12 [see  
DH T - 0.72 [see 2]  
]
ns  
ns  
ns  
ns  
NF3 NAND_CEx_B setup time  
NF4 NAND_CEx_B hold time  
NF5 NAND_WE_B pulse width  
NF6 NAND_ALE setup time  
NF7 NAND_ALE hold time  
(AS + DS) T - 0.58 [see 3,2  
DH T - 1 [see 2]  
]
tCH  
tWP  
tALS  
tALH  
tCAS  
tCAH  
tCE  
DS T [see 2]  
(AS + DS) T - 0.49 [see 3,2  
DH T - 0.42 [see 2]  
DS T - 0.26 [see 2]  
]
NF8 Command/address NAND_DATAxx setup time  
NF9 Command/address NAND_DATAxx hold time  
NF18 NAND_CEx_B access time  
NF22 clock period  
DH T - 1.37 [see 2]  
4,2  
CE_DELAY T [see  
]
tCK  
5,2  
NF23 preamble delay  
tPRE  
PRE_DELAY T [see  
]
NF24 postamble delay  
tPOST POST_DELAY T +0.43 [see 2]  
i.MX 6Dual/6Quad Automotive and Infotainment Applications Processors, Rev. 2.3  
Freescale Semiconductor  
73  
Electrical Characteristics  
1
Table 51. Samsung Toggle Mode Timing Parameters (continued)  
Timing  
T = GPMI Clock Cycle  
ID  
Parameter  
Symbol  
Unit  
Min  
Max  
NF28 Data write setup  
NF29 Data write hold  
tDS6  
tDH6  
tDQSQ7  
tQHS7  
0.25 tCK - 0.32  
ns  
ns  
0.25 tCK - 0.79  
NF30 NAND_DQS/NAND_DQ read setup skew  
NF31 NAND_DQS/NAND_DQ read hold skew  
3.18  
3.27  
1
The GPMI toggle mode output timing can be controlled by the module’s internal registers  
HW_GPMI_TIMING0_ADDRESS_SETUP, HW_GPMI_TIMING0_DATA_SETUP, and HW_GPMI_TIMING0_DATA_HOLD.  
This AC timing depends on these registers settings. In the table, AS/DS/DH represents each of these settings.  
2
3
4
AS minimum value can be 0, while DS/DH minimum value is 1.  
T = tCK (GPMI clock period) -0.075ns (half of maximum p-p jitter).  
CE_DELAY represents HW_GPMI_TIMING2[CE_DELAY]. NF18 is met automatically by the design. Read/Write operation is  
started with enough time of ALE/CLE assertion to low level.  
5
6
7
PRE_DELAY+1) (AS+DS)  
Shown in Figure 36.  
Shown in Figure 37.  
Figure 38 shows the timing diagram of NAND_DQS/NAND_DATAxx read valid window. For DDR  
Toggle mode, the typical value of tDQSQ is 1.4 ns (max) and 1.4 ns (max) for tQHS at 133 MB/s. GPMI  
will sample NAND_DATA[7:0] at both rising and falling edge of a delayed NAND_DQS signal, which is  
provided by an internal DPLL. The delay value of this register can be controlled by GPMI register  
GPMI_READ_DDR_DLL_CTRL.SLV_DLY_TARGET (see the GPMI chapter of the i.MX  
6Dual/6Quad reference manual (IMX6DQRM)). Generally, the typical delay value is equal to 0x7 which  
means 1/4 clock cycle delay expected. However, if the board delay is large enough and cannot be ignored,  
the delay value should be made larger to compensate the board delay.  
4.11 External Peripheral Interface Parameters  
The following subsections provide information on external peripheral interfaces.  
4.11.1 AUDMUX Timing Parameters  
The AUDMUX provides a programmable interconnect logic for voice, audio, and data routing between  
internal serial interfaces (SSIs) and external serial interfaces (audio and voice codecs). The AC timing of  
AUDMUX external pins is governed by the SSI module. For more information, see the respective SSI  
electrical specifications found within this document.  
4.11.2 ECSPI Timing Parameters  
This section describes the timing parameters of the ECSPI block. The ECSPI has separate timing  
parameters for master and slave modes.  
i.MX 6Dual/6Quad Automotive and Infotainment Applications Processors, Rev. 2.3  
74  
Freescale Semiconductor  
Electrical Characteristics  
4.11.2.1 ECSPI Master Mode Timing  
Figure 41 depicts the timing of ECSPI in master mode and Table 52 lists the ECSPI master mode timing  
characteristics.  
ECSPIx_RDY_B  
CS10  
ECSPIx_SS_B  
CS5  
CS6  
CS2  
CS1  
CS3  
CS4  
ECSPIx_SCLK  
ECSPIx_MOSI  
CS2  
CS7  
CS3  
CS9  
CS8  
ECSPIx_MISO  
Figure 41. ECSPI Master Mode Timing Diagram  
Table 52. ECSPI Master Mode Timing Parameters  
ID  
Parameter  
Symbol  
Min  
Max Unit  
CS1  
ECSPIx_SCLK Cycle Time–Read  
• Slow group1  
tclk  
ns  
55  
40  
15  
• Fast group2  
ECSPIx_SCLK Cycle Time–Write  
CS2  
ECSPIx_SCLK High or Low Time–Read  
• Slow group1  
tSW  
ns  
26  
20  
7
• Fast group2  
ECSPIx_SCLK High or Low Time–Write  
CS3  
CS4  
CS5  
CS6  
CS7  
CS8  
ECSPIx_SCLK Rise or Fall3  
tRISE/FALL  
tCSLH  
1
ns  
ns  
ns  
ns  
ns  
ns  
ECSPIx_SSx pulse width  
Half ECSPIx_SCLK period  
Half ECSPIx_SCLK period - 4  
Half ECSPIx_SCLK period - 2  
-1  
ECSPIx_SSx Lead Time (CS setup time)  
ECSPIx_SSx Lag Time (CS hold time)  
ECSPIx_MOSI Propagation Delay (CLOAD = 20 pF)  
tSCS  
tHCS  
tPDmosi  
tSmiso  
ECSPIx_MISO Setup Time  
• Slow group1  
21.5  
16  
• Fast group2  
CS9  
ECSPIx_MISO Hold Time  
tHmiso  
tSDRY  
0
5
ns  
ns  
CS10 ECSPIx_RDY to ECSPIx_SSx Time4  
1
2
ECSPI slow includes:  
ECSPI1/DISP0_DAT22, ECSPI1/KEY_COL1, ECSPI1/CSI0_DAT6, ECSPI2/EIM_OE, ECSPI2/ ECSPI2/CSI0_DAT10,  
ECSPI3/DISP0_DAT2  
ECSPI fast includes:  
ECSPI1/EIM_D17, ECSPI4/EIM_D22, ECSPI5/SD2_DAT0, ECSPI5/SD1_DAT0  
See specific I/O AC parameters Section 4.7, “I/O AC Parameters.”  
ECSPI_RDY is sampled internally by ipg_clk and is asynchronous to all other CSPI signals.  
3
4
i.MX 6Dual/6Quad Automotive and Infotainment Applications Processors, Rev. 2.3  
Freescale Semiconductor  
75  
Electrical Characteristics  
4.11.2.2 ECSPI Slave Mode Timing  
Figure 42 depicts the timing of ECSPI in slave mode and Table 53 lists the ECSPI slave mode timing  
characteristics.  
ECSPIx_SS_B  
CS5  
CS6  
CS2  
CS1  
CS4  
ECSPIx_SCLK  
ECSPIx_MISO  
CS2  
CS9  
CS8  
CS7  
ECSPIx_MOSI  
Figure 42. ECSPI Slave Mode Timing Diagram  
Table 53. ECSPI Slave Mode Timing Parameters  
ID  
Parameter  
Symbol  
Min  
Max Unit  
CS1  
ECSPIx_SCLK Cycle Time–Read  
• Slow group1  
tclk  
ns  
55  
40  
15  
• Fast group2  
ECSPIx_SCLK Cycle Time–Write  
CS2  
ECSPIx_SCLK High or Low Time–Read  
• Slow group1  
tSW  
ns  
26  
20  
7
• Fast group2  
ECSPIx_SCLK High or Low Time–Write  
CS4  
CS5  
CS6  
CS7  
CS8  
CS9  
ECSPIx_SSx pulse width  
tCSLH  
tSCS  
Half ECSPIx_SCLK period  
ns  
ns  
ns  
ns  
ns  
ns  
ECSPIx_SSx Lead Time (CS setup time)  
ECSPIx_SSx Lag Time (CS hold time)  
ECSPIx_MOSI Setup Time  
5
5
4
4
4
tHCS  
tSmosi  
tHmosi  
tPDmiso  
ECSPIx_MOSI Hold Time  
ECSPIx_MISO Propagation Delay (CLOAD = 20 pF)  
• Slow group1  
• Fast group2  
25  
17  
1
2
ECSPI slow includes:  
ECSPI1/DISP0_DAT22, ECSPI1/KEY_COL1, ECSPI1/CSI0_DAT6, ECSPI2/EIM_OE, ECSPI2/DISP0_DAT17,  
ECSPI2/CSI0_DAT10, ECSPI3/DISP0_DAT2  
ECSPI fast includes:  
ECSPI1/EIM_D17, ECSPI4/EIM_D22, ECSPI5/SD2_DAT0, ECSPI5/SD1_DAT0  
i.MX 6Dual/6Quad Automotive and Infotainment Applications Processors, Rev. 2.3  
76  
Freescale Semiconductor  
Electrical Characteristics  
4.11.3 Enhanced Serial Audio Interface (ESAI) Timing Parameters  
The ESAI consists of independent transmitter and receiver sections, each section with its own clock  
generator. Table 54 shows the interface timing values. The number field in the table refers to timing  
signals found in Figure 43 and Figure 44.  
Table 54. Enhanced Serial Audio Interface (ESAI) Timing  
ID  
Parameter1,2  
Symbol Expression2 Min  
Max Condition3 Unit  
62 Clock cycle4  
tSSICC  
4 T  
4 T  
30.0  
30.0  
i ck  
i ck  
ns  
c
c
63 Clock high period:  
• For internal clock  
• For external clock  
ns  
2 T 9.0  
6
15  
c
2 T  
c
64 Clock low period:  
• For internal clock  
• For external clock  
ns  
2 T 9.0  
6
15  
c
2 T  
c
65 ESAI_RX_CLK rising edge to ESAI_RX_FS out (bl) high  
19.0  
7.0  
x ck  
i ck a  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
66 ESAI_RX_CLK rising edge to ESAI_RX_FS out (bl) low  
19.0  
7.0  
x ck  
i ck a  
67 ESAI_RX_CLK rising edge to ESAI_RX_FS out (wr)  
high5  
19.0  
9.0  
x ck  
i ck a  
68 ESAI_RX_CLK rising edge to ESAI_RX_FS out (wr) low5  
69 ESAI_RX_CLK rising edge to ESAI_RX_FS out (wl) high  
70 ESAI_RX_CLK rising edge to ESAI_RX_FSout (wl) low  
19.0  
9.0  
x ck  
i ck a  
19.0  
6.0  
x ck  
i ck a  
17.0  
7.0  
x ck  
i ck a  
71 Data in setup time before ESAI_RX_CLK (serial clock in  
synchronous mode) falling edge  
12.0  
19.0  
x ck  
i ck  
72 Data in hold time after ESAI_RX_CLK falling edge  
3.5  
9.0  
x ck  
i ck  
73 ESAI_RX_FS input (bl, wr) high before ESAI_RX_CLK  
falling edge5  
2.0  
19.0  
x ck  
i ck a  
74 ESAI_RX_FS input (wl) high before ESAI_RX_CLK  
falling edge  
2.0  
19.0  
x ck  
i ck a  
75 ESAI_RX_FS input hold time after ESAI_RX_CLK falling  
edge  
2.5  
8.5  
x ck  
i ck a  
78 ESAI_TX_CLK rising edge to ESAI_TX_FS out (bl) high  
19.0  
8.0  
x ck  
i ck  
79 ESAI_TX_CLK rising edge to ESAI_TX_FS out (bl) low  
20.0  
10.0  
x ck  
i ck  
80 ESAI_TX_CLK rising edge to ESAI_TX_FS out (wr)  
high5  
20.0  
10.0  
x ck  
i ck  
i.MX 6Dual/6Quad Automotive and Infotainment Applications Processors, Rev. 2.3  
Freescale Semiconductor  
77  
Electrical Characteristics  
Table 54. Enhanced Serial Audio Interface (ESAI) Timing (continued)  
Parameter1,2 Symbol Expression2 Min Max Condition3 Unit  
ID  
81 ESAI_TX_CLK rising edge to ESAI_TX_FS out (wr) low5  
82 ESAI_TX_CLK rising edge to ESAI_TX_FS out (wl) high  
83 ESAI_TX_CLK rising edge to ESAI_TX_FS out (wl) low  
22.0  
12.0  
x ck  
i ck  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
19.0  
9.0  
x ck  
i ck  
20.0  
10.0  
x ck  
i ck  
84 ESAI_TX_CLK rising edge to data out enable from high  
impedance  
22.0  
17.0  
x ck  
i ck  
86 ESAI_TX_CLK rising edge to data out valid  
19.0  
13.0  
x ck  
i ck  
87 ESAI_TX_CLK rising edge to data out high impedance 67  
21.0  
16.0  
x ck  
i ck  
89 ESAI_TX_FS input (bl, wr) setup time before  
ESAI_TX_CLK falling edge5  
2.0  
18.0  
x ck  
i ck  
90 ESAI_TX_FS input (wl) setup time before ESAI_TX_CLK  
falling edge  
2.0  
18.0  
x ck  
i ck  
91 ESAI_TX_FS input hold time after ESAI_TX_CLK falling  
edge  
4.0  
5.0  
x ck  
i ck  
95 ESAI_RX_HF_CLK/ESAI_TX_HF_CLK clock cycle  
2 x TC  
15  
ns  
ns  
96 ESAI_TX_HF_CLK input rising edge to ESAI_TX_CLK  
output  
18.0  
97 ESAI_RX_HF_CLK input rising edge to ESAI_RX_CLK  
output  
18.0  
ns  
1
i ck = internal clock  
x ck = external clock  
i ck a = internal clock, asynchronous mode  
(asynchronous implies that ESAI_TX_CLK and ESAI_RX_CLK are two different clocks)  
i ck s = internal clock, synchronous mode  
(synchronous implies that ESAI_TX_CLK and ESAI_RX_CLK are the same clock)  
2
3
bl = bit length  
wl = word length  
wr = word length relative  
ESAI_TX_CLK(ESAI_TX_CLK pin) = transmit clock  
ESAI_RX_CLK(ESAI_RX_CLK pin) = receive clock  
ESAI_TX_FS(ESAI_TX_FS pin) = transmit frame sync  
ESAI_RX_FS(ESAI_RX_FS pin) = receive frame sync  
ESAI_TX_HF_CLK(ESAI_TX_HF_CLK pin) = transmit high frequency clock  
ESAI_RX_HF_CLK(ESAI_RX_HF_CLK pin) = receive high frequency clock  
4
5
For the internal clock, the external clock cycle is defined by Icyc and the ESAI control register.  
The word-relative frame sync signal waveform relative to the clock operates in the same manner as the bit-length frame sync  
signal waveform, but it spreads from one serial clock before the first bit clock (like the bit length frame sync signal), until the  
second-to-last bit clock of the first word in the frame.  
6
Periodically sampled and not 100% tested.  
i.MX 6Dual/6Quad Automotive and Infotainment Applications Processors, Rev. 2.3  
78  
Freescale Semiconductor  
Electrical Characteristics  
62  
63  
64  
ESAI_TX_CLK  
(Input/Output)  
78  
79  
ESAI_TX_FS  
(Bit)  
Out  
82  
83  
ESAI_TX_FS  
(Word)  
Out  
86  
84  
86  
87  
First Bit  
Last Bit  
Data Out  
89  
91  
ESAI_TX_FS  
(Bit) In  
91  
90  
ESAI_TX_FS  
(Word) In  
Figure 43. ESAI Transmitter Timing  
i.MX 6Dual/6Quad Automotive and Infotainment Applications Processors, Rev. 2.3  
Freescale Semiconductor  
79  
Electrical Characteristics  
62  
63  
64  
ESAI_RX_CLK  
(Input/Output)  
65  
66  
ESAI_RX_FS  
(Bit) Out  
69  
70  
ESAI_RX_FS  
(Word) Out  
72  
71  
Data In  
Last Bit  
First Bit  
75  
73  
ESAI_RX_FS  
(Bit) In  
74  
75  
ESAI_RX_FS  
(Word) In  
Figure 44. ESAI Receiver Timing  
i.MX 6Dual/6Quad Automotive and Infotainment Applications Processors, Rev. 2.3  
Freescale Semiconductor  
80  
Electrical Characteristics  
4.11.4 Ultra High Speed SD/SDIO/MMC Host Interface (uSDHC) AC  
Timing  
This section describes the electrical information of the uSDHC, which includes SD/eMMC4.3 (Single  
Data Rate) timing and eMMC4.4/4.1 (Dual Date Rate) timing.  
4.11.4.1 SD/eMMC4.3 (Single Data Rate) AC Timing  
Figure 45 depicts the timing of SD/eMMC4.3, and Table 55 lists the SD/eMMC4.3 timing characteristics.  
SD4  
SD2  
SD1  
SD5  
SDx_CLK  
SD3  
SD6  
Output from uSDHC to card  
SDx_DATA[7:0]  
SD7  
SD8  
Input from card to uSDHC  
SDx_DATA[7:0]  
Figure 45. SD/eMMC4.3 Timing  
Table 55. SD/eMMC4.3 Interface Timing Specification  
ID  
Parameter  
Symbols  
Min  
Max  
Unit  
Card Input Clock  
1
SD1  
Clock Frequency (Low Speed)  
fPP  
0
0
400  
25/50  
20/52  
400  
kHz  
MHz  
MHz  
kHz  
ns  
2
Clock Frequency (SD/SDIO Full Speed/High Speed)  
Clock Frequency (MMC Full Speed/High Speed)  
Clock Frequency (Identification Mode)  
Clock Low Time  
fPP  
3
fPP  
0
fOD  
tWL  
100  
7
SD2  
SD3  
SD4  
SD5  
Clock High Time  
tWH  
tTLH  
tTHL  
7
ns  
Clock Rise Time  
3
ns  
Clock Fall Time  
3
ns  
eSDHC Output/Card Inputs SD_CMD, SD_DATAx (Reference to SDx_CLK)  
eSDHC Output Delay tOD –6.6  
SD6  
3.6  
ns  
i.MX 6Dual/6Quad Automotive and Infotainment Applications Processors, Rev. 2.3  
Freescale Semiconductor  
81  
Electrical Characteristics  
Table 55. SD/eMMC4.3 Interface Timing Specification (continued)  
Parameter Symbols Min  
eSDHC Input/Card Outputs SD_CMD, SD_DATAx (Reference to SDx_CLK)  
ID  
Max  
Unit  
SD7  
SD8  
eSDHC Input Setup Time  
eSDHC Input Hold Time4  
tISU  
tIH  
2.5  
1.5  
ns  
ns  
1
2
In low speed mode, card clock must be lower than 400 kHz, voltage ranges from 2.7 to 3.6 V.  
In normal (full) speed mode for SD/SDIO card, clock frequency can be any value between 025 MHz. In high-speed mode,  
clock frequency can be any value between 050 MHz.  
3
In normal (full) speed mode for MMC card, clock frequency can be any value between 020 MHz. In high-speed mode, clock  
frequency can be any value between 052 MHz.  
4To satisfy hold timing, the delay difference between clock input and cmd/data input must not exceed 2 ns.  
4.11.4.2 eMMC4.4/4.41 (Dual Data Rate) eSDHCv3 AC Timing  
Figure 46 depicts the timing of eMMC4.4/4.41. Table 56 lists the eMMC4.4/4.41 timing characteristics.  
Be aware that only SDx_DATAx is sampled on both edges of the clock (not applicable to SD_CMD).  
SD1  
SDx_CLK  
SD2  
SD2  
Output from eSDHCv3 to card  
SDx_DATA[7:0]  
......  
......  
SD3  
SD4  
Input from card to eSDHCv3  
SDx_DATA[7:0]  
Figure 46. eMMC4.4/4.41 Timing  
Table 56. eMMC4.4/4.41 Interface Timing Specification  
ID  
Parameter  
Symbols  
Card Input Clock  
Min  
Max  
Unit  
SD1  
SD1  
Clock Frequency (EMMC4.4 DDR)  
Clock Frequency (SD3.0 DDR)  
fPP  
fPP  
0
0
52  
50  
MHz  
MHz  
uSDHC Output / Card Inputs SD_CMD, SD_DATAx (Reference to SD_CLK)  
uSDHC Output Delay tOD 2.5 7.1  
uSDHC Input / Card Outputs SD_CMD, SD_DATAx (Reference to SD_CLK)  
SD2  
ns  
SD3  
SD4  
uSDHC Input Setup Time  
uSDHC Input Hold Time  
tISU  
tIH  
2.6  
1.5  
ns  
ns  
i.MX 6Dual/6Quad Automotive and Infotainment Applications Processors, Rev. 2.3  
Freescale Semiconductor  
82  
Electrical Characteristics  
4.11.4.3 SDR50/SDR104 AC Timing  
Figure 47 depicts the timing of SDR50/SDR104, and Table 55 lists the SDR50/SDR104 timing  
characteristics.  
3$ꢄ  
3$ꢇ  
3$ꢈ  
SDx_SCLK  
3$ꢆ  
3$ꢉ  
/UTPUT &ROM U3$(# TO #ARD  
3$ꢁ  
3$ꢋ  
)NPUT FROM #ARD TO U3$(#  
3$ꢊ  
Figure 47. SDR50/SDR104 Timing  
Table 57. SDR50/SDR104 Interface Timing Specification  
ID  
Parameter  
Symbols  
Min  
Max  
Unit  
Card Input Clock  
SD1 Clock Frequency Period  
SD2 Clock Low Time  
tCLK  
tCL  
4.8  
ns  
ns  
ns  
0.3 tCLK  
0.3 tCLK  
0.7 tCLK  
0.7 tCLK  
SD2 Clock High Time  
tCH  
uSDHC Output/Card Inputs SD_CMD, SDx_DATAx in SDR50 (Reference to SDx_CLK)  
SD4 uSDHC Output Delay tOD –3  
uSDHC Output/Card Inputs SD_CMD, SDx_DATAx in SDR104 (Reference to SDx_CLK)  
uSDHC Output Delay tOD –1.6  
uSDHC Input/Card Outputs SD_CMD, SDx_DATAx in SDR50 (Reference to SDx_CLK)  
1
ns  
ns  
1
SD5  
uSDHC Input Setup Time  
uSDHC Input Hold Time  
tISU  
tIH  
2.5  
1.5  
ns  
ns  
SD6  
SD7  
uSDHC Input/Card Outputs SD_CMD, SDx_DATAx in SDR104 (Reference to SDx_CLK)1  
Card Output Data Window tODW 0.5 tCLK  
ns  
SD8  
1Data window in SDR100 mode is variable.  
i.MX 6Dual/6Quad Automotive and Infotainment Applications Processors, Rev. 2.3  
Freescale Semiconductor  
83  
Electrical Characteristics  
4.11.4.4 Bus Operation Condition for 3.3 V and 1.8 V Signaling  
Signaling level of SD/eMMC4.3 and eMMC4.4/4.41 modes is 3.3 V. Signaling level of SDR104/SDR50  
mode is 1.8 V. The DC parameters for the NVCC_SD1, NVCC_SD2, and NVCC_SD3 supplies are  
identical to those shown in Table 23, "GPIO I/O DC Parameters," on page 37.  
4.11.5 Ethernet Controller (ENET) AC Electrical Specifications  
4.11.5.1 ENET MII Mode Timing  
This subsection describes MII receive, transmit, asynchronous inputs, and serial management signal  
timings.  
4.11.5.1.1 MII Receive Signal Timing (ENET_RX_DATA3,2,1,0, ENET_RX_EN,  
ENET_RX_ER, and ENET_RX_CLK)  
The receiver functions correctly up to an ENET_RX_CLK maximum frequency of 25 MHz + 1%. There  
is no minimum frequency requirement. Additionally, the processor clock frequency must exceed twice the  
ENET_RX_CLK frequency.  
Figure 48 shows MII receive signal timings. Table 58 describes the timing parameters (M1–M4) shown in  
the figure.  
M3  
ENET_RX_CLK (input)  
M4  
ENET_RX_DATA3,2,1,0  
(inputs)  
ENET_RX_EN  
ENET_RX_ER  
M1  
M2  
Figure 48. MII Receive Signal Timing Diagram  
Table 58. MII Receive Signal Timing  
ID  
Characteristic1  
Min  
Max  
Unit  
M1  
M2  
ENET_RX_DATA3,2,1,0, ENET_RX_EN, ENET_RX_ER to  
ENET_RX_CLK setup  
5
ns  
ENET_RX_CLK to ENET_RX_DATA3,2,1,0, ENET_RX_EN,  
ENET_RX_ER hold  
5
ns  
M3  
M4  
ENET_RX_CLK pulse width high  
ENET_RX_CLK pulse width low  
35%  
35%  
65%  
65%  
ENET_RX_CLK period  
ENET_RX_CLK period  
1 ENET_RX_EN, ENET_RX_CLK, and ENET0_RXD0 have the same timing in 10 Mbps 7-wire interface mode.  
i.MX 6Dual/6Quad Automotive and Infotainment Applications Processors, Rev. 2.3  
84  
Freescale Semiconductor  
Electrical Characteristics  
4.11.5.1.2 MII Transmit Signal Timing (ENET_TX_DATA3,2,1,0, ENET_TX_EN,  
ENET_TX_ER, and ENET_TX_CLK)  
The transmitter functions correctly up to an ENET_TX_CLK maximum frequency of 25 MHz + 1%.  
There is no minimum frequency requirement. Additionally, the processor clock frequency must exceed  
twice the ENET_TX_CLK frequency.  
Figure 49 shows MII transmit signal timings. Table 59 describes the timing parameters (M5–M8) shown  
in the figure.  
M7  
ENET_TX_CLK (input)  
M5  
M8  
ENET_TX_DATA3,2,1,0  
(outputs)  
ENET_TX_EN  
ENET_TX_ER  
M6  
Figure 49. MII Transmit Signal Timing Diagram  
Table 59. MII Transmit Signal Timing  
ID  
Characteristic1  
Min  
Max  
Unit  
M5  
M6  
ENET_TX_CLK to ENET_TX_DATA3,2,1,0, ENET_TX_EN,  
ENET_TX_ER invalid  
5
ns  
ENET_TX_CLK to ENET_TX_DATA3,2,1,0, ENET_TX_EN,  
ENET_TX_ER valid  
20  
ns  
M7  
M8  
ENET_TX_CLK pulse width high  
ENET_TX_CLK pulse width low  
35%  
35%  
65%  
65%  
ENET_TX_CLK period  
ENET_TX_CLK period  
1 ENET_TX_EN, ENET_TX_CLK, and ENET0_TXD0 have the same timing in 10-Mbps 7-wire interface mode.  
4.11.5.1.3 MII Asynchronous Inputs Signal Timing (ENET_CRS and ENET_COL)  
Figure 50 shows MII asynchronous input timings. Table 60 describes the timing parameter (M9) shown in  
the figure.  
ENET_CRS, ENET_COL  
M9  
Figure 50. MII Async Inputs Timing Diagram  
i.MX 6Dual/6Quad Automotive and Infotainment Applications Processors, Rev. 2.3  
Freescale Semiconductor  
85  
Electrical Characteristics  
Table 60. MII Asynchronous Inputs Signal Timing  
ID  
M91  
Characteristic  
ENET_CRS to ENET_COL minimum pulse width  
Min  
Max  
Unit  
1.5  
ENET_TX_CLK period  
1 ENET_COL has the same timing in 10-Mbit 7-wire interface mode.  
4.11.5.1.4 MII Serial Management Channel Timing (ENET_MDIO and ENET_MDC)  
The MDC frequency is designed to be equal to or less than 2.5 MHz to be compatible with the IEEE 802.3  
MII specification. However the ENET can function correctly with a maximum MDC frequency of  
15 MHz.  
Figure 51 shows MII asynchronous input timings. Table 61 describes the timing parameters (M10–M15)  
shown in the figure.  
M14  
M15  
ENET_MDC (output)  
M10  
ENET_MDIO (output)  
M11  
ENET_MDIO (input)  
M12  
M13  
Figure 51. MII Serial Management Channel Timing Diagram  
Table 61. MII Serial Management Channel Timing  
ID  
M10  
Characteristic  
Min  
Max  
Unit  
ENET_MDC falling edge to ENET_MDIO output invalid  
(minimum propagation delay)  
0
ns  
M11  
ENET_MDC falling edge to ENET_MDIO output valid  
(maximum propagation delay)  
5
ns  
M12  
M13  
M14  
M15  
ENET_MDIO (input) to ENET_MDC rising edge setup  
ENET_MDIO (input) to ENET_MDC rising edge hold  
ENET_MDC pulse width high  
18  
0
ns  
ns  
40%  
40%  
60%  
60%  
ENET_MDC period  
ENET_MDC period  
ENET_MDC pulse width low  
i.MX 6Dual/6Quad Automotive and Infotainment Applications Processors, Rev. 2.3  
Freescale Semiconductor  
86  
Electrical Characteristics  
4.11.5.2 RMII Mode Timing  
In RMII mode, ENET_CLK is used as the REF_CLK, which is a 50 MHz ± 50 ppm continuous reference  
clock. ENET_RX_EN is used as the ENET_RX_EN in RMII. Other signals under RMII mode include  
ENET_TX_EN, ENET0_TXD[1:0], ENET_RXD[1:0] and ENET_RX_ER.  
Figure 52 shows RMII mode timings. Table 62 describes the timing parameters (M16–M21) shown in the  
figure.  
M16  
M17  
ENET_CLK (input)  
M18  
ENET0_TXD[1:0] (output)  
ENET_TX_EN  
M19  
ENET_RX_EN (input)  
ENET_RXD[1:0]  
ENET_RX_ER  
M20  
M21  
Figure 52. RMII Mode Signal Timing Diagram  
Table 62. RMII Signal Timing  
ID  
M16  
Characteristic  
Min  
Max  
Unit  
ENET_CLK pulse width high  
ENET_CLK pulse width low  
35%  
35%  
4
65%  
65%  
ENET_CLK period  
M17  
M18  
M19  
M20  
ENET_CLK period  
ENET_CLK to ENET0_TXD[1:0], ENET_TX_EN invalid  
ENET_CLK to ENET0_TXD[1:0], ENET_TX_EN valid  
ns  
ns  
ns  
15  
ENET_RXD[1:0], ENET_RX_EN(ENET_RX_EN), ENET_RX_ER to  
ENET_CLK setup  
4
M21  
ENET_CLK to ENET_RXD[1:0], ENET_RX_EN, ENET_RX_ER hold  
2
ns  
i.MX 6Dual/6Quad Automotive and Infotainment Applications Processors, Rev. 2.3  
Freescale Semiconductor  
87  
Electrical Characteristics  
4.11.5.3 RGMII Signal Switching Specifications  
The following timing specifications meet the requirements for RGMII interfaces for a range of transceiver  
devices.  
1
Table 63. RGMII Signal Switching Specifications  
Symbol  
Description  
Min  
Max  
Unit  
2
Tcyc  
Clock cycle duration  
7.2  
-100  
1
8.8  
900  
2.6  
55  
ns  
ps  
ns  
%
3
TskewT  
Data to clock output skew at transmitter  
Data to clock input skew at receiver  
3
TskewR  
Duty_G4 Duty cycle for Gigabit  
Duty_T4 Duty cycle for 10/100T  
45  
40  
60  
%
Tr/Tf  
Rise/fall time (20–80%)  
0.75  
ns  
1
The timings assume the following configuration:  
DDR_SEL = (11)b  
DSE (drive-strength) = (111)b  
2
3
For 10 Mbps and 100 Mbps, Tcyc will scale to 400 ns 40 ns and 40 ns 4 ns respectively.  
For all versions of RGMII prior to 2.0; This implies that PC board design will require clocks to be routed such that an additional  
delay of greater than 1.2 ns and less than 1.7 ns will be added to the associated clock signal. For 10/100, the max value is  
unspecified.  
4
Duty cycle may be stretched/shrunk during speed changes or while transitioning to a received packet's clock domain as long  
as minimum duty cycle is not violated and stretching occurs for no more than three Tcyc of the lowest speed transitioned  
between.  
Figure 53. RGMII Transmit Signal Timing Diagram Original  
i.MX 6Dual/6Quad Automotive and Infotainment Applications Processors, Rev. 2.3  
88  
Freescale Semiconductor  
Electrical Characteristics  
Figure 54. RGMII Receive Signal Timing Diagram Original  
Figure 55. RGMII Receive Signal Timing Diagram with Internal Delay  
4.11.6 Flexible Controller Area Network (FlexCAN) AC Electrical  
Specifications  
The Flexible Controller Area Network (FlexCAN) module is a communication controller implementing  
the CAN protocol according to the CAN 2.0B protocol specification.The processor has two CAN modules  
available for systems design. Tx and Rx ports for both modules are multiplexed with other I/O pins. See  
the IOMUXC chapter of the i.MX 6Dual/6Quad reference manual (IMX6DQRM) to see which pins  
expose Tx and Rx pins; these ports are named FLEXCAN_TX and FLEXCAN_RX, respectively.  
4.11.7 HDMI Module Timing Parameters  
4.11.7.1 Latencies and Timing Information  
Power-up time (time between TX_PWRON assertion and TX_READY assertion) for the HDMI 3D Tx  
PHY while operating with the slowest input reference clock supported (13.5 MHz) is 3.35 ms.  
i.MX 6Dual/6Quad Automotive and Infotainment Applications Processors, Rev. 2.3  
Freescale Semiconductor  
89  
Electrical Characteristics  
Power-up time for the HDMI 3D Tx PHY while operating with the fastest input reference clock supported  
(340 MHz) is 133 s.  
4.11.7.2 Electrical Characteristics  
The table below provides electrical characteristics for the HDMI 3D Tx PHY. The following three figures  
illustrate various definitions and measurement conditions specified in the table below.  
Figure 56. Driver Measuring Conditions  
Figure 57. Driver Definitions  
Figure 58. Source Termination  
Table 64. Electrical Characteristics  
Symbol  
Parameter  
Condition  
Min  
Typ  
Max  
Unit  
Operating conditions for HDMI  
avddtmds Termination supply voltage  
3.15  
3.3  
3.45  
V
i.MX 6Dual/6Quad Automotive and Infotainment Applications Processors, Rev. 2.3  
Freescale Semiconductor  
90  
Electrical Characteristics  
Table 64. Electrical Characteristics (continued)  
Symbol  
Parameter  
Condition  
Min  
Typ  
Max  
Unit  
RT  
Termination resistance  
45  
50  
55  
TMDS drivers DC specifications  
VOFF  
Single-ended standby voltage  
RT = 50   
avddtmds 10 mV  
mV  
mV  
For measurement conditions and  
definitions, see the first two figures  
above.  
VSWING Single-ended output swing voltage  
400  
600  
Compliance point TP1 as defined in  
the HDMI specification, version 1.3a,  
section 4.2.4.  
VH  
Single-ended output high voltage  
For definition, see the second  
figure above.  
If attached sink supports TMDSCLK <  
or = 165 MHz  
avddtmds 10 mV  
mV  
mV  
mV  
mV  
If attached sink supports TMDSCLK > avddtmds  
165 MHz – 200 mV  
avddtmds  
+ 10 mV  
VL  
Single-ended output low voltage  
For definition, see the second  
figure above.  
If attached sink supports TMDSCLK < avddtmds  
or = 165 MHz – 600 mV  
avddtmds  
– 400mV  
If attached sink supports TMDSCLK > avddtmds  
165 MHz  
avddtmds  
– 400 mV  
– 700 mV  
RTERM Differential source termination load  
(inside HDMI 3D Tx PHY)  
50  
200  
Although the HDMI 3D Tx PHY  
includes differential source  
termination, the user-defined value  
is set for each single line (for  
illustration, see the third figure  
above).  
Note: RTERM can also be  
configured to be open and not  
present on TMDS channels.  
Hot plug detect specifications  
HPDVH Hot plug detect high range  
VHPD  
2.0  
0
5.3  
0.8  
V
V
Hot plug detect low range  
VL  
HPD  
Hot plug detect input impedance  
10  
k  
µs  
Z
HPD  
Hot plug detect time delay  
100  
t
4.11.8 Switching Characteristics  
Table 65 describes switching characteristics for the HDMI 3D Tx PHY. Figure 59 to Figure 63 illustrate  
various parameters specified in table.  
NOTE  
All dynamic parameters related to the TMDS line drivers’ performance  
imply the use of assembly guidelines.  
i.MX 6Dual/6Quad Automotive and Infotainment Applications Processors, Rev. 2.3  
Freescale Semiconductor  
91  
Electrical Characteristics  
P
TMDSCLK  
50%  
t
t
CPL  
CPH  
Figure 59. TMDS Clock Signal Definitions  
Figure 60. Eye Diagram Mask Definition for HDMI Driver Signal Specification at TP1  
Figure 61. Intra-Pair Skew Definition  
i.MX 6Dual/6Quad Automotive and Infotainment Applications Processors, Rev. 2.3  
92  
Freescale Semiconductor  
Electrical Characteristics  
Figure 62. Inter-Pair Skew Definition  
Figure 63. TMDS Output Signals Rise and Fall Time Definition  
Table 65. Switching Characteristics  
Symbol  
Parameter  
Conditions  
Min  
Typ  
Max  
Unit  
TMDS Drivers Specifications  
Maximum serial data rate  
TMDSCLK frequency  
TMDSCLK period  
25  
3.4  
340  
40  
Gbps  
MHz  
ns  
F
On TMDSCLKP/N outputs  
TMDSCLK  
TMDSCLK  
P
RL = 50   
2.94  
See Figure 59.  
t
t
= t  
/ P  
TMDSCLK duty cycle  
40  
50  
60  
%
CDC  
CDC  
CPH  
TMDSCLK  
RL = 50   
See Figure 59.  
t
TMDSCLK high time  
TMDSCLK low time  
RL = 50   
See Figure 59.  
4
4
5
5
6
6
UI  
UI  
CPH  
t
RL = 50   
CPL  
See Figure 59.  
TMDSCLK jitter1  
RL = 50   
0.25  
0.15  
UI  
UI  
t
Intra-pair (pulse) skew  
RL = 50   
SK(p)  
See Figure 61.  
t
Inter-pair skew  
RL = 50   
See Figure 62.  
1
UI  
ps  
SK(pp)  
tR  
Differential output signal rise  
time  
20–80%  
RL = 50   
See Figure 63.  
75  
0.4 UI  
i.MX 6Dual/6Quad Automotive and Infotainment Applications Processors, Rev. 2.3  
Freescale Semiconductor  
93  
Electrical Characteristics  
Symbol  
Table 65. Switching Characteristics (continued)  
Parameter Conditions Min  
Typ  
Max  
Unit  
tF  
Differential output signal fall time 20–80%  
RL = 50   
75  
0.4 UI  
ps  
See Figure 63.  
Differential signal overshoot  
Differential signal undershoot  
Referred to 2x VSWING  
Referred to 2x VSWING  
15  
25  
%
%
Data and Control Interface Specifications  
2
tPower-up  
HDMI 3D Tx PHY power-up time From power-down to  
3.35  
ms  
HSI_TX_READY assertion  
Relative to ideal recovery clock, as specified in the HDMI specification, version 1.4a, section 4.2.3.  
For information about latencies and associated timings, see Section 4.11.7.1, “Latencies and Timing Information.”  
1
2
4.11.9 I2C Module Timing Parameters  
2
2
This section describes the timing parameters of the I C module. Figure 64 depicts the timing of I C  
2
module, and Table 66 lists the I C module timing characteristics.  
I2Cx_SDA  
I2Cx_SCL  
IC11  
IC9  
IC10  
IC7  
IC4  
IC2  
IC3  
IC8  
IC10  
IC6  
IC11  
STOP  
START  
START  
START  
IC5  
IC1  
2
Figure 64. I C Bus Timing  
2
Table 66. I C Module Timing Parameters  
Standard Mode  
Fast Mode  
ID  
Parameter  
Unit  
Min  
Max  
Min  
Max  
IC1  
IC2  
IC3  
IC4  
IC5  
IC6  
IC7  
IC8  
I2Cx_SCL cycle time  
10  
4.0  
4.0  
01  
2.5  
0.6  
0.6  
01  
µs  
µs  
µs  
Hold time (repeated) START condition  
Set-up time for STOP condition  
Data hold time  
3.452  
0.92 µs  
HIGH Period of I2Cx_SCL Clock  
LOW Period of the I2Cx_SCL Clock  
Set-up time for a repeated START condition  
Data set-up time  
4.0  
4.7  
4.7  
250  
0.6  
1.3  
0.6  
1003  
µs  
µs  
µs  
ns  
i.MX 6Dual/6Quad Automotive and Infotainment Applications Processors, Rev. 2.3  
Freescale Semiconductor  
94  
Electrical Characteristics  
2
Table 66. I C Module Timing Parameters (continued)  
Standard Mode  
Parameter  
Fast Mode  
Unit  
ID  
Min  
Max  
Min  
Max  
IC9  
Bus free time between a STOP and START condition  
Rise time of both I2Cx_SDA and I2Cx_SCL signals  
Fall time of both I2Cx_SDA and I2Cx_SCL signals  
Capacitive load for each bus line (Cb)  
4.7  
1.3  
µs  
4
IC10  
IC11  
IC12  
1000  
300  
400  
20 + 0.1Cb 300 ns  
4
20 + 0.1Cb 300 ns  
400 pF  
1
A device must internally provide a hold time of at least 300 ns for I2Cx_SDA signal in order to bridge the undefined region of  
the falling edge of I2Cx_SCL.  
2
3
The maximum hold time has only to be met if the device does not stretch the LOW period (ID no IC5) of the I2Cx_SCL signal.  
A Fast-mode I2C-bus device can be used in a Standard-mode I2C-bus system, but the requirement of Set-up time (ID No IC7)  
of 250 ns must be met. This automatically is the case if the device does not stretch the LOW period of the I2Cx_SCL signal.  
If such a device does stretch the LOW period of the I2Cx_SCL signal, it must output the next data bit to the I2Cx_SDA line  
max_rise_time (IC9) + data_setup_time (IC7) = 1000 + 250 = 1250 ns (according to the Standard-mode I2C-bus specification)  
before the I2Cx_SCL line is released.  
4
Cb = total capacitance of one bus line in pF.  
4.11.10 Image Processing Unit (IPU) Module Parameters  
The purpose of the IPU is to provide comprehensive support for the flow of data from an image sensor  
and/or to a display device. This support covers all aspects of these activities:  
Connectivity to relevant devicescameras, displays, graphics accelerators, and TV encoders.  
Related image processing and manipulation: sensor image signal processing, display processing,  
image conversions, and other related functions.  
Synchronization and control capabilities, such as avoidance of tearing artifacts.  
i.MX 6Dual/6Quad Automotive and Infotainment Applications Processors, Rev. 2.3  
Freescale Semiconductor  
95  
Electrical Characteristics  
4.11.10.1 IPU Sensor Interface Signal Mapping  
The IPU supports a number of sensor input formats. Table 67 defines the mapping of the Sensor Interface  
Pins used for various supported interface formats.  
Table 67. Camera Input Signal Cross Reference, Format, and Bits Per Cycle  
RGB565  
8 bits  
2 cycles  
RGB5652  
8 bits  
3 cycles  
RGB6663 RGB888  
YCbCr4 RGB5655 YCbCr6  
YCbCr7  
16 bits  
1 cycle  
YCbCr8  
20 bits  
1 cycle  
Signal  
Name1  
8 bits  
8 bits  
8 bits  
16 bits  
16 bits  
1 cycle  
3 cycles  
3 cycles  
2 cycles 2 cycles  
IPUx_CSIx_  
DATA00  
0
C[0]  
C[1]  
C[2]  
C[3]  
C[4]  
C[5]  
C[6]  
C[7]  
C[8]  
C[9]  
Y[0]  
Y[1]  
Y[2]  
Y[3]  
Y[4]  
Y[5]  
Y[6]  
Y[7]  
Y[8]  
Y[9]  
IPUx_CSIx_  
DATA01  
0
IPUx_CSIx_  
DATA02  
C[0]  
C[1]  
C[2]  
C[3]  
C[4]  
C[5]  
C[6]  
C[7]  
0
IPUx_CSIx_  
DATA03  
IPUx_CSIx_  
DATA04  
B[0]  
B[1]  
B[2]  
B[3]  
B[4]  
G[0]  
G[1]  
G[2]  
G[3]  
G[4]  
G[5]  
R[0]  
R[1]  
R[2]  
R[3]  
R[4]  
C[0]  
C[1]  
C[2]  
C[3]  
C[4]  
C[5]  
C[6]  
C[7]  
Y[0]  
Y[1]  
Y[2]  
Y[3]  
Y[4]  
Y[5]  
Y[6]  
Y[7]  
IPU2_CSIx_  
DATA_05  
IPUx_CSIx_  
DATA06  
IPUx_CSIx_  
DATA07  
IPUx_CSIx_  
DATA08  
IPUx_CSIx_  
DATA09  
IPUx_CSIx_  
DATA10  
IPUx_CSIx_  
DATA11  
0
IPUx_CSIx_  
DATA12  
B[0], G[3] R[2],G[4],B[2] R/G/B[4]  
B[1], G[4] R[3],G[5],B[3] R/G/B[5]  
B[2], G[5] R[4],G[0],B[4] R/G/B[0]  
B[3], R[0] R[0],G[1],B[0] R/G/B[1]  
B[4], R[1] R[1],G[2],B[1] R/G/B[2]  
G[0], R[2] R[2],G[3],B[2] R/G/B[3]  
G[1], R[3] R[3],G[4],B[3] R/G/B[4]  
G[2], R[4] R[4],G[5],B[4] R/G/B[5]  
R/G/B[0]  
R/G/B[1]  
R/G/B[2]  
R/G/B[3]  
R/G/B[4]  
R/G/B[5]  
R/G/B[6]  
R/G/B[7]  
Y/C[0]  
Y/C[1]  
Y/C[2]  
Y/C[3]  
Y/C[4]  
Y/C[5]  
Y/C[6]  
Y/C[7]  
Y[0]  
Y[1]  
Y[2]  
Y[3]  
Y[4]  
Y[5]  
Y[6]  
Y[7]  
IPUx_CSIx_  
DATA13  
IPUx_CSIx_  
DATA14  
IPUx_CSIx_  
DATA15  
IPUx_CSIx_  
DATA16  
IPUx_CSIx_  
DATA17  
IPUx_CSIx_  
DATA18  
IPUx_CSIx_  
DATA19  
1
IPU2_CSIx stands for IPU2_CSI1 or IPU2_CSI2.  
i.MX 6Dual/6Quad Automotive and Infotainment Applications Processors, Rev. 2.3  
Freescale Semiconductor  
96  
Electrical Characteristics  
2
3
4
5
The MSB bits are duplicated on LSB bits implementing color extension.  
The two MSB bits are duplicated on LSB bits implementing color extension.  
YCbCr, 8 bits—Supported within the BT.656 protocol (sync embedded within the data stream).  
RGB, 16 bits—Supported in two ways: (1) As a “generic data” input—with no on-the-fly processing; (2) With on-the-fly  
processing, but only under some restrictions on the control protocol.  
6
7
8
YCbCr, 16 bits—Supported as a “generic-data” input—with no on-the-fly processing.  
YCbCr, 16 bits—Supported as a sub-case of the YCbCr, 20 bits, under the same conditions (BT.1120 protocol).  
YCbCr, 20 bits—Supported only within the BT.1120 protocol (syncs embedded within the data stream).  
4.11.10.2 Sensor Interface Timings  
There are three camera timing modes supported by the IPU.  
4.11.10.2.1 BT.656 and BT.1120 Video Mode  
Smart camera sensors, which include imaging processing, usually support video mode transfer. They use  
an embedded timing syntax to replace the IPU2_CSIx_VSYNC and IPU2_CSIx_HSYNC signals. The  
timing syntax is defined by the BT.656/BT.1120 standards.  
This operation mode follows the recommendations of ITU BT.656/ ITU BT.1120 specifications. The only  
control signal used is IPU2_CSIx_PIX_CLK. Start-of-frame and active-line signals are embedded in the  
data stream. An active line starts with a SAV code and ends with a EAV code. In some cases, digital  
blanking is inserted in between EAV and SAV code. The CSI decodes and filters out the timing-coding  
from the data stream, thus recovering IPU2_CSIx_VSYNC and IPU2_CSIx_HSYNC signals for internal  
use. On BT.656 one component per cycle is received over the IPU2_CSIx_DATA_EN bus. On BT.1120  
two components per cycle are received over the IPU2_CSIx_DATA_EN bus.  
4.11.10.2.2 Gated Clock Mode  
The IPU2_CSIx_VSYNC, IPU2_CSIx_HSYNC, and IPU2_CSIx_PIX_CLK signals are used in this  
mode. See Figure 65.  
!CTIVE ,INE  
3TART OF &RAME  
NTH FRAME  
NꢍꢄTH FRAME  
)05ꢇ?#3)X?639.#  
)05ꢇ?#3)X?(39.#  
)05ꢇ?#3)X?0)8?#,+  
)05ꢇ?#3)X?$!4!;ꢄꢌꢂꢀ=  
INVALID  
INVALID  
ꢄST BYTE  
ꢄST BYTE  
Figure 65. Gated Clock Mode Timing Diagram  
i.MX 6Dual/6Quad Automotive and Infotainment Applications Processors, Rev. 2.3  
Freescale Semiconductor  
97  
Electrical Characteristics  
A frame starts with a rising edge on IPU2_CSIx_VSYNC (all the timings correspond to straight polarity  
of the corresponding signals). Then IPU2_CSIx_HSYNC goes to high and hold for the entire line. Pixel  
clock is valid as long as IPU2_CSIx_HSYNC is high. Data is latched at the rising edge of the valid pixel  
clocks. IPU2_CSIx_HSYNC goes to low at the end of line. Pixel clocks then become invalid and the CSI  
stops receiving data from the stream. For the next line, the IPU2_CSIx_HSYNC timing repeats. For the  
next frame, the IPU2_CSIx_VSYNC timing repeats.  
4.11.10.2.3 Non-Gated Clock Mode  
The timing is the same as the gated-clock mode (described in Section 4.11.10.2.2, “Gated Clock Mode,”)  
except for the IPU2_CSIx_HSYNC signal, which is not used (see Figure 66). All incoming pixel clocks  
are valid and cause data to be latched into the input FIFO. The IPU2_CSIx_PIX_CLK signal is inactive  
(states low) until valid data is going to be transmitted over the bus.  
Start of Frame  
nth frame  
n+1th frame  
IPU2_CSIx_VSYNC  
IPU2_CSIx_PIX_CLK  
invalid  
invalid  
IPU2_CSIx_DATA_EN[19:0]  
1st byte  
1st byte  
Figure 66. Non-Gated Clock Mode Timing Diagram  
The timing described in Figure 66 is that of a typical sensor. Some other sensors may have a slightly  
different timing. The CSI can be programmed to support rising/falling-edge triggered  
IPU2_CSIx_VSYNC; active-high/low IPU2_CSIx_HSYNC; and rising/falling-edge triggered  
IPU2_CSIx_PIX_CLK.  
i.MX 6Dual/6Quad Automotive and Infotainment Applications Processors, Rev. 2.3  
98  
Freescale Semiconductor  
Electrical Characteristics  
4.11.10.3 Electrical Characteristics  
Figure 67 depicts the sensor interface timing. IPU2_CSIx_PIX_CLK signal described here is not  
generated by the IPU. Table 68 lists the sensor interface timing characteristics.  
IPUx_CSIx_PIX_CLK  
(Sensor Output)  
1/IP1  
IP2  
IP3  
IPUx_CSIx_DATA_EN,  
IPUx_CSIx_VSYNC,  
IPUx_CSIx_HSYNC  
Figure 67. Sensor Interface Timing Diagram  
Table 68. Sensor Interface Timing Characteristics  
ID  
Parameter  
Symbol  
Fpck  
Min  
Max  
Unit  
IP1  
IP2  
IP3  
Sensor output (pixel) clock frequency  
Data and control setup time  
0.01  
2
180  
MHz  
ns  
Tsu  
Thd  
Data and control holdup time  
1
ns  
4.11.10.4 IPU Display Interface Signal Mapping  
The IPU supports a number of display output video formats. Table 69 defines the mapping of the Display  
Interface Pins used during various supported video interface formats.  
Table 69. Video Signal Cross-Reference  
i.MX 6Dual/6Quad  
LCD  
RGB/TV Signal Allocation (Example)  
Comment1,2  
RGB,  
Signal  
Name  
Port Name  
(x = 0, 1)  
16-bit 18-bit 24 Bit  
8-bit  
16-bit 20-bit  
RGB RGB RGB YCrCb3 YCrCb YCrCb  
(General)  
IPUx_DISPx_DAT00  
IPUx_DISPx_DAT01  
IPUx_DISPx_DAT02  
IPUx_DISPx_DAT03  
IPUx_DISPx_DAT04  
IPUx_DISPx_DAT05  
IPUx_DISPx_DAT06  
DAT[0]  
DAT[1]  
DAT[2]  
DAT[3]  
DAT[4]  
DAT[5]  
DAT[6]  
B[0]  
B[1]  
B[2]  
B[3]  
B[4]  
G[0]  
G[1]  
B[0]  
B[1]  
B[2]  
B[3]  
B[4]  
B[5]  
G[0]  
B[0]  
B[1]  
B[2]  
B[3]  
B[4]  
B[5]  
B[6]  
Y/C[0]  
Y/C[1]  
Y/C[2]  
Y/C[3]  
Y/C[4]  
Y/C[5]  
Y/C[6]  
C[0]  
C[1]  
C[2]  
C[3]  
C[4]  
C[5]  
C[6]  
C[0]  
C[1]  
C[2]  
C[3]  
C[4]  
C[5]  
C[6]  
i.MX 6Dual/6Quad Automotive and Infotainment Applications Processors, Rev. 2.3  
Freescale Semiconductor  
99  
Electrical Characteristics  
i.MX 6Dual/6Quad  
Table 69. Video Signal Cross-Reference (continued)  
LCD  
RGB/TV Signal Allocation (Example)  
Comment1,2  
RGB,  
Port Name  
(x = 0, 1)  
Signal  
Name  
(General)  
16-bit 18-bit 24 Bit  
8-bit  
16-bit 20-bit  
RGB RGB RGB YCrCb3 YCrCb YCrCb  
IPUx_DISPx_DAT07  
IPUx_DISPx_DAT08  
IPUx_DISPx_DAT09  
IPUx_DISPx_DAT10  
IPUx_DISPx_DAT11  
IPUx_DISPx_DAT12  
IPUx_DISPx_DAT13  
IPUx_DISPx_DAT14  
IPUx_DISPx_DAT15  
IPUx_DISPx_DAT16  
IPUx_DISPx_DAT17  
IPUx_DISPx_DAT18  
IPUx_DISPx_DAT19  
IPUx_DISPx_DAT20  
IPUx_DISPx_DAT21  
IPUx_DISPx_DAT22  
IPUx_DISPx_DAT23  
IPUx_DIx_DISP_CLK  
DAT[7]  
DAT[8]  
G[2]  
G[3]  
G[4]  
G[5]  
R[0]  
R[1]  
R[2]  
R[3]  
R[4]  
G[1]  
G[2]  
G[3]  
G[4]  
G[5]  
R[0]  
R[1]  
R[2]  
R[3]  
R[4]  
R[5]  
B[7]  
G[0]  
G[1]  
G[2]  
G[3]  
G[4]  
G[5]  
G[6]  
G[7]  
R[0]  
R[1]  
R[2]  
R[3]  
R[4]  
R[5]  
R[6]  
R[7]  
PixCLK  
Y/C[7]  
C[7]  
Y[0]  
Y[1]  
Y[2]  
Y[3]  
Y[4]  
Y[5]  
Y[6]  
Y[7]  
C[7]  
C[8]  
C[9]  
Y[0]  
Y[1]  
Y[2]  
Y[3]  
Y[4]  
Y[5]  
Y[6]  
Y[7]  
Y[8]  
Y[9]  
DAT[9]  
DAT[10]  
DAT[11]  
DAT[12]  
DAT[13]  
DAT[14]  
DAT[15]  
DAT[16]  
DAT[17]  
DAT[18]  
DAT[19]  
DAT[20]  
DAT[21]  
DAT[22]  
DAT[23]  
IPUx_DIx_PIN01  
IPUx_DIx_PIN02  
IPUx_DIx_PIN03  
IPUx_DIx_PIN04  
IPUx_DIx_PIN05  
IPUx_DIx_PIN06  
IPUx_DIx_PIN07  
IPUx_DIx_PIN08  
HSYNC  
VSYNC  
May be required for anti-tearing  
VSYNC out  
Additional frame/row synchronous  
signals with programmable timing  
i.MX 6Dual/6Quad Automotive and Infotainment Applications Processors, Rev. 2.3  
Freescale Semiconductor  
100  
Electrical Characteristics  
Table 69. Video Signal Cross-Reference (continued)  
i.MX 6Dual/6Quad  
LCD  
RGB/TV Signal Allocation (Example)  
Comment1,2  
RGB,  
Signal  
Name  
Port Name  
(x = 0, 1)  
16-bit 18-bit 24 Bit  
8-bit  
16-bit 20-bit  
RGB RGB RGB YCrCb3 YCrCb YCrCb  
(General)  
IPUx_DIx_D0_CS  
IPUx_DIx_D1_CS  
Alternate mode of PWM output for  
contrast or brightness control  
IPUx_DIx_PIN11  
IPUx_DIx_PIN12  
IPUx_DIx_PIN13  
IPUx_DIx_PIN14  
IPUx_DIx_PIN15  
IPUx_DIx_PIN16  
IPUx_DIx_PIN17  
Register select signal  
Optional RS2  
DRDY/DV  
Data validation/blank, data enable  
Q
Additional data synchronous  
signals with programmable  
features/timing  
1
2
Signal mapping (both data and control/synchronization) is flexible. The table provides examples.  
Restrictions for ports IPUx_DISPx_DAT00 through IPUx_DISPx_DAT23 are as follows:  
• A maximum of three continuous groups of bits can be independently mapped to the external bus. Groups must not overlap.  
• The bit order is expressed in each of the bit groups, for example, B[0] = least significant blue pixel bit.  
This mode works in compliance with recommendation ITU-R BT.656. The timing reference signals (frame start, frame end, line  
start, and line end) are embedded in the 8-bit data bus. Only video data is supported, transmission of non-video related data  
during blanking intervals is not supported.  
3
NOTE  
Table 69 provides information for both the DISP0 and DISP1 ports.  
However, DISP1 port has reduced pinout depending on IOMUXC  
configuration and therefore may not support all configurations. See the  
IOMUXC table for details.  
4.11.10.5 IPU Display Interface Timing  
The IPU Display Interface supports two kinds of display accesses: synchronous and asynchronous. There  
are two groups of external interface pins to provide synchronous and asynchronous controls.  
4.11.10.5.1 Synchronous Controls  
The synchronous control changes its value as a function of a system or of an external clock. This control  
has a permanent period and a permanent waveform.  
There are special physical outputs to provide synchronous controls:  
The ipp_disp_clk is a dedicated base synchronous signal that is used to generate a base display  
(component, pixel) clock for a display.  
i.MX 6Dual/6Quad Automotive and Infotainment Applications Processors, Rev. 2.3  
Freescale Semiconductor  
101  
Electrical Characteristics  
The ipp_pin_1– ipp_pin_7 are general purpose synchronous pins, that can be used to provide  
HSYNC, VSYNC, DRDY or any else independent signal to a display.  
The IPU has a system of internal binding counters for internal events (such as, HSYNC/VSYNC)  
calculation. The internal event (local start point) is synchronized with internal DI_CLK. A suitable control  
starts from the local start point with predefined UP and DOWN values to calculate control’s changing  
points with half DI_CLK resolution. A full description of the counter system can be found in the IPU  
chapter of the i.MX 6Dual/6Quad reference manual (IMX6DQRM).  
4.11.10.5.2 Asynchronous Controls  
The asynchronous control is a data-oriented signal that changes its value with an output data according to  
additional internal flags coming with the data.  
There are special physical outputs to provide asynchronous controls, as follows:  
The ipp_d0_cs and ipp_d1_cs pins are dedicated to provide chip select signals to two displays.  
The ipp_pin_11– ipp_pin_17 are general purpose asynchronous pins, that can be used to provide  
WR. RD, RS or any other data-oriented signal to display.  
NOTE  
The IPU has independent signal generators for asynchronous signals  
toggling. When a DI decides to put a new asynchronous data on the bus, a  
new internal start (local start point) is generated. The signal generators  
calculate predefined UP and DOWN values to change pins states with half  
DI_CLK resolution.  
4.11.10.6 Synchronous Interfaces to Standard Active Matrix TFT LCD Panels  
4.11.10.6.1 IPU Display Operating Signals  
The IPU uses four control signals and data to operate a standard synchronous interface:  
IPP_DISP_CLK—Clock to display  
HSYNC—Horizontal synchronization  
VSYNC—Vertical synchronization  
DRDY—Active data  
All synchronous display controls are generated on the base of an internally generated “local start point”.  
The synchronous display controls can be placed on time axis with DI’s offset, up and down parameters.  
The display access can be whole number of DI clock (Tdiclk) only. The IPP_DATA can not be moved  
relative to the local start point. The data bus of the synchronous interface is output direction only.  
4.11.10.6.2 LCD Interface Functional Description  
Figure 68 depicts the LCD interface timing for a generic active matrix color TFT panel. In this figure,  
signals are shown with negative polarity. The sequence of events for active matrix interface timing is:  
DI_CLK internal DI clock is used for calculation of other controls.  
i.MX 6Dual/6Quad Automotive and Infotainment Applications Processors, Rev. 2.3  
102  
Freescale Semiconductor  
Electrical Characteristics  
IPP_DISP_CLK latches data into the panel on its negative edge (when positive polarity is  
selected). In active mode, IPP_DISP_CLK runs continuously.  
HSYNC causes the panel to start a new line. (Usually IPUx_DIx_PIN02 is used as HSYNC.)  
VSYNC causes the panel to start a new frame. It always encompasses at least one HSYNC pulse.  
(Usually IPUx_DIx_PIN03 is used as VSYNC.)  
DRDY acts like an output enable signal to the CRT display. This output enables the data to be  
shifted onto the display. When disabled, the data is invalid and the trace is off.  
(DRDY can be used either synchronous or asynchronous generic purpose pin as well.)  
VSYNC  
HSYNC  
LINE 1  
LINE 2  
LINE 3  
LINE 4  
LINE n-1 LINE n  
HSYNC  
DRDY  
1
2
3
m–1  
m
IPP_DISP_CLK  
IPP_DATA  
Figure 68. Interface Timing Diagram for TFT (Active Matrix) Panels  
4.11.10.6.3 TFT Panel Sync Pulse Timing Diagrams  
Figure 69 depicts the horizontal timing (timing of one line), including both the horizontal sync pulse and  
the data. All the parameters shown in the figure are programmable. All controls are started by  
corresponding internal events—local start points. The timing diagrams correspond to inverse polarity of  
the IPP_DISP_CLK signal and active-low polarity of the HSYNC, VSYNC, and DRDY signals.  
i.MX 6Dual/6Quad Automotive and Infotainment Applications Processors, Rev. 2.3  
Freescale Semiconductor  
103  
Electrical Characteristics  
IP13o  
IP5o  
IP7  
IP5  
IP8o  
IP8  
DI clock  
IPP_DISP_CLK  
VSYNC  
HSYNC  
DRDY  
IPP_DATA  
Dn  
D0  
D1  
IP9o  
IP10  
IP9  
IP6  
Figure 69. TFT Panels Timing Diagram—Horizontal Sync Pulse  
Figure 70 depicts the vertical timing (timing of one frame). All parameters shown in the figure are  
programmable.  
End of frame  
Start of frame  
IP13  
VSYNC  
HSYNC  
DRDY  
IP15  
IP14  
IP12  
Figure 70. TFT Panels Timing Diagram—Vertical Sync Pulse  
i.MX 6Dual/6Quad Automotive and Infotainment Applications Processors, Rev. 2.3  
104  
Freescale Semiconductor  
Electrical Characteristics  
Table 70 shows timing characteristics of signals presented in Figure 69 and Figure 70.  
Table 70. Synchronous Display Interface Timing Characteristics (Pixel Level)  
ID  
Parameter  
Symbol  
Value  
Description  
Unit  
IP5  
IP6  
Display interface clock period Tdicp  
Display pixel clock period  
(see1)  
Display interface clock IPP_DISP_CLK  
ns  
ns  
Tdpcp DISP_CLK_PER_PIXEL Time of translation of one pixel to display,  
Tdicp  
DISP_CLK_PER_PIXEL—number of pixel  
components in one pixel (1.n).  
The DISP_CLK_PER_PIXEL is virtual  
parameter to define display pixel clock  
period.  
TheDISP_CLK_PER_PIXEL isreceived by  
DC/DI one access division to n  
components.  
IP7  
Screen width time  
Tsw  
(SCREEN_WIDTH)  
SCREEN_WIDTH—screen width in,  
interface clocks. horizontal blanking  
included.  
ns  
Tdicp  
The SCREEN_WIDTH should be built by  
suitable DI’s counter2.  
IP8  
IP9  
HSYNC width time  
Thsw  
Thbi1  
(HSYNC_WIDTH)  
HSYNC_WIDTH—Hsync width in DI_CLK  
with 0.5 DI_CLK resolution. Defined by DI’s  
counter.  
ns  
ns  
Horizontal blank interval 1  
BGXP Tdicp  
BGXP—width of a horizontal blanking  
before a first active data in a line (in  
interface clocks). The BGXP should be built  
by suitable DI’s counter.  
IP10 Horizontal blank interval 2  
IP12 Screen height  
Thbi2  
Tsh  
(SCREEN_WIDTH –  
BGXP – FW) Tdicp  
Width a horizontal blanking after a last  
active data in a line (in interface clocks)  
FW—with of active line in interface clocks.  
The FW should be built by suitable DI’s  
counter.  
ns  
(SCREEN_HEIGHT)  
SCREEN_HEIGHT— screen height in lines ns  
with blanking.  
The SCREEN_HEIGHT is a distance  
between 2 VSYNCs.  
Tsw  
The SCREEN_HEIGHT should be built by  
suitable DI’s counter.  
IP13 VSYNC width  
Tvsw  
Tvbi1  
Tvbi2  
VSYNC_WIDTH  
VSYNC_WIDTH—Vsync width in DI_CLK  
with 0.5 DI_CLK resolution. Defined by DI’s  
counter.  
ns  
ns  
IP14 Vertical blank interval 1  
IP15 Vertical blank interval 2  
BGYP Tsw  
BGYP—width of first Vertical  
blanking interval in line. The BGYP should  
be built by suitable DI’s counter.  
(SCREEN_HEIGHT –  
BGYP – FH) Tsw  
Width of second vertical blanking interval in ns  
line. The FH should be built by suitable DI’s  
counter.  
i.MX 6Dual/6Quad Automotive and Infotainment Applications Processors, Rev. 2.3  
Freescale Semiconductor  
105  
Electrical Characteristics  
Table 70. Synchronous Display Interface Timing Characteristics (Pixel Level) (continued)  
ID  
Parameter  
Symbol  
Value  
Description  
Unit  
IP5o Offset of IPP_DISP_CLK  
Todicp  
DISP_CLK_OFFSET—offset of  
IPP_DISP_CLK edges from local start  
point, in DI_CLK2  
ns  
DISP_CLTKd_icOlkFFSET  
(0.5 DI_CLK Resolution).  
Defined by DISP_CLK counter.  
IP13o Offset of VSYNC  
Tovs  
Tohs  
VSYNC_OFFSET  
VSYNC_OFFSET—offset of Vsync edges  
from a local start point, when a Vsync  
should be active, in DI_CLK2  
(0.5 DI_CLK Resolution). The  
VSYNC_OFFSET should be built by  
suitable DI’s counter.  
ns  
ns  
ns  
Tdiclk  
IP8o Offset of HSYNC  
IP9o Offset of DRDY  
HSYNC_OFFSET  
HSYNC_OFFSET—offset of Hsync edges  
from a local start point, when a Hsync  
should be active, in DI_CLK2  
(0.5 DI_CLK Resolution). The  
HSYNC_OFFSET should be built by  
suitable DI’s counter.  
Tdiclk  
Todrdy  
DRDY_OFFSET  
DRDY_OFFSET—offset of DRDY edges  
from a suitable local start point, when a  
corresponding data has been set on the  
bus, in DI_CLK2  
Tdiclk  
(0.5 DI_CLK Resolution).  
The DRDY_OFFSET should be built by  
suitable DI’s counter.  
1
Display interface clock period immediate value.  
DISP_CLK_PERIOD  
----------------------------------------------------  
DISP_CLK_PERIOD  
DI_CLK_PERIOD  
T
,
for integer ----------------------------------------------------  
diclk  
DI_CLK_PERIOD  
Tdicp =  
DISP_CLK_PERIOD  
----------------------------------------------------  
+ 0.5 0.5 , for fractional ----------------------------------------------------  
DISP_CLK_PERIOD  
DI_CLK_PERIOD  
T
floor  
diclk  
DI_CLK_PERIOD  
DISP_CLK_PERIOD—number of DI_CLK per one Tdicp. Resolution 1/16 of DI_CLK.  
DI_CLK_PERIOD—relation of between programing clock frequency and current system clock frequency  
Display interface clock period average value.  
DISP_CLK_PERIOD  
----------------------------------------------------  
Tdicp = T  
diclk  
DI_CLK_PERIOD  
2
DI’s counter can define offset, period and UP/DOWN characteristic of output signal according to programed parameters of the  
counter. Same of parameters in the table are not defined by DI’s registers directly (by name), but can be generated by  
corresponding DI’s counter. The SCREEN_WIDTH is an input value for DI’s HSYNC generation counter. The distance between  
HSYNCs is a SCREEN_WIDTH.  
The maximum accuracy of UP/DOWN edge of controls is:  
Accuracy = 0.5 T  
0.62ns  
diclk  
i.MX 6Dual/6Quad Automotive and Infotainment Applications Processors, Rev. 2.3  
Freescale Semiconductor  
106  
Electrical Characteristics  
The maximum accuracy of UP/DOWN edge of IPP_DISP_DATA is:  
Accuracy = T  
0.62ns  
diclk  
The DISP_CLK_PERIOD, DI_CLK_PERIOD parameters are register-controlled.  
Figure 71 depicts the synchronous display interface timing for access level. The DISP_CLK_DOWN and  
DISP_CLK_UP parameters are register-controlled. Table 71 lists the synchronous display interface timing  
characteristics.  
IP20o IP20  
VSYNC  
HSYNC  
DRDY  
other controls  
IPP_DISP_CLK  
Tdicd  
Tdicu  
IP18  
IPP_DATA  
IP16  
IP17  
IP19  
local start point  
Figure 71. Synchronous Display Interface Timing Diagram—Access Level  
Table 71. Synchronous Display Interface Timing Characteristics (Access Level)  
ID  
Parameter  
Symbol  
Min  
Typ1  
Max  
Unit  
IP16  
Display interface clock low Tckl  
time  
Tdicd-Tdicu-1.24  
Tdicd2-Tdicu3  
Tdicd-Tdicu+1.24  
ns  
IP17  
Display interface clock  
high time  
Tckh  
Tdicp-Tdicd+Tdicu-1.24 Tdicp-Tdicd+Tdicu Tdicp-Tdicd+Tdicu+1.2  
ns  
IP18  
IP19  
IP20o  
Data setup time  
Data holdup time  
Tdsu  
Tdhd  
Tocsu  
Tdicd-1.24  
Tdicu  
ns  
ns  
ns  
Tdicp-Tdicd-1.24  
Tocsu-1.24  
Tdicp-Tdicu  
Tocsu  
Control signals offset  
times (defined for each  
pin)  
Tocsu+1.24  
IP20  
Control signals setup time Tcsu  
to display interface clock  
(defined for each pin)  
Tdicd-1.24-Tocsu%Tdicp Tdicu  
ns  
1The exact conditions have not been finalized, but will likely match the current customer requirement for their specific display.  
These conditions may be chip specific.  
2
Display interface clock down time  
2 DISP_CLK_DOWN  
1
2
-----------------------------------------------------------  
ceil  
Tdicd = -- T  
diclk  
DI_CLK_PERIOD  
i.MX 6Dual/6Quad Automotive and Infotainment Applications Processors, Rev. 2.3  
Freescale Semiconductor  
107  
Electrical Characteristics  
3
Display interface clock up time where CEIL(X) rounds the elements of X to the nearest integers towards infinity.  
2 DISP_CLK_UP  
1
2
------------------------------------------------  
ceil  
Tdicu = -- T  
diclk  
DI_CLK_PERIOD  
4.11.11 LVDS Display Bridge (LDB) Module Parameters  
The LVDS interface complies with TIA/EIA 644-A standard. For more details, see TIA/EIA STANDARD  
644-A, “Electrical Characteristics of Low Voltage Differential Signaling (LVDS) Interface Circuits.”  
Table 72. LVDS Display Bridge (LDB) Electrical Specification  
Parameter  
Symbol  
Test Condition  
100 Differential load  
Min  
Max  
Units  
Differential Voltage Output Voltage  
Output Voltage High  
VOD  
Voh  
250  
450  
1.6  
mV  
mV  
100 differential load  
1.25  
(0 V Diff—Output High Voltage static)  
Output Voltage Low  
Offset Static Voltage  
Vol  
100 differential load  
(0 V Diff—Output Low Voltage static)  
0.9  
1.25  
mV  
V
VOS  
Two 49.9 resistors in series between N-P  
terminal, with output in either Zero or One state, the  
voltage measured between the 2 resistors.  
1.15  
1.375  
VOS Differential  
VOSDIFF Difference in VOS between a One and a Zero state  
ISA ISB With the output common shorted to GND  
-50  
-24  
247  
50  
24  
mV  
mA  
mV  
Output short-circuited to GND  
VT Full Load Test  
VTLoad 100 Differential load with a 3.74 kload between  
454  
GND and I/O supply voltage  
4.11.12 MIPI D-PHY Timing Parameters  
This section describes MIPI D-PHY electrical specifications, compliant with MIPI CSI-2 version 1.0,  
D-PHY specification Rev. 1.0 (for MIPI sensor port x4 lanes) and MIPI DSI Version 1.01, and D-PHY  
specification Rev. 1.0 (and also DPI version 2.0, DBI version 2.0, DSC version 1.0a at protocol layer) (for  
MIPI display port x2 lanes).  
4.11.12.1 Electrical and Timing Information  
Table 73. Electrical and Timing Information  
Symbol  
Parameters  
Test Conditions  
Min Typ  
Max Unit  
Input DC Specifications—Apply to DSI_CLK_P/_N and DSI_DATA_P/_N Inputs  
VI  
Input signal voltage range  
Input leakage current  
Transient voltage range is limited from -300  
mV to 1600 mV  
-50  
-10  
1350 mV  
VLEAK  
VGNDSH(min) = VI = VGNDSH(max) +  
VOH(absmax)  
Lane module in LP Receive Mode  
10  
50  
mA  
mV  
VGNDSH  
Ground Shift  
-50  
i.MX 6Dual/6Quad Automotive and Infotainment Applications Processors, Rev. 2.3  
Freescale Semiconductor  
108  
Electrical Characteristics  
Table 73. Electrical and Timing Information (continued)  
Symbol  
Parameters  
Test Conditions  
Min Typ  
Max Unit  
VOH(absmax)  
Maximum transient output  
voltage level  
1.45  
V
tvoh(absmax)  
Maximum transient time  
above VOH(absmax)  
20  
ns  
HS Line Drivers DC Specifications  
|VOD  
|
HS Transmit Differential  
output voltage magnitude  
80 = RL< = 125   
140  
200  
270  
10  
mV  
mV  
|VOD  
|
Change in Differential output  
voltage magnitude between  
logic states  
80 = RL< = 125   
VCMTX  
Steady-state common-mode  
output voltage.  
80 = RL< = 125   
80 = RL< = 125   
150  
200  
250  
5
mV  
mV  
VCMTX(1,0)  
Changes in steady-state  
common-mode output voltage  
between logic states  
VOHHS  
ZOS  
HS output high voltage  
80 = RL< = 125   
360  
mV  
Single-ended output  
impedance.  
40  
50  
62.5  
ZOS  
Single-ended output  
impedance mismatch.  
10  
%
LP Line Drivers DC Specifications  
VOL  
Output low-level SE voltage  
-50  
1.1  
50  
1.3  
mV  
V
VOH  
ZOLP  
Output high-level SE voltage  
1.2  
Single-ended output  
impedance.  
110  
ZOLP(01-10)  
Single-ended output  
impedance mismatch driving  
opposite level  
20  
5
%
%
ZOLP(0-11)  
Single-ended output  
impedance mismatch driving  
same level  
HS Line Receiver DC Specifications  
VIDTH  
VIDTL  
VIHHS  
Differential input high voltage  
threshold  
-70  
70  
mV  
mV  
mV  
Differential input low voltage  
threshold  
Single ended input high  
voltage  
460  
i.MX 6Dual/6Quad Automotive and Infotainment Applications Processors, Rev. 2.3  
Freescale Semiconductor  
109  
Electrical Characteristics  
Symbol  
Table 73. Electrical and Timing Information (continued)  
Parameters  
Test Conditions  
Min Typ  
Max Unit  
VILHS  
Single ended input low  
voltage  
-40  
mV  
VCMRXDC  
ZID  
Input common mode voltage  
Differential input impedance  
70  
80  
330  
125  
mV  
LP Line Receiver DC Specifications  
VIL  
Input low voltage  
Input high voltage  
Input hysteresis  
920  
25  
550  
mV  
mV  
mV  
VIH  
VHYST  
Contention Line Receiver DC Specifications  
VILF  
Input low fault threshold  
200  
450  
mV  
4.11.12.2 D-PHY Signaling Levels  
The signal levels are different for differential HS mode and single-ended LP mode. Figure 72 shows both  
the HS and LP signal levels on the left and right sides, respectively. The HS signaling levels are below  
the LP low-level input threshold such that LP receiver always detects low on HS signals.  
VOH,MAX  
LP  
VOL  
VOH,MIN  
VIH  
LP  
VIH  
LP Threshold  
Region  
VIL  
VOHHS  
Max VOD  
VCMTX,MAX  
LP VIL  
HS Vout  
Range  
HS Vcm  
Range  
VGNDSH,MA  
VCMTX,MIN  
VOLHS  
Min VOD  
X
LP VOL  
GND  
VGNDSH,MIN  
HS Differential Signaling  
LP Single-ended Signaling  
Figure 72. D-PHY Signaling Levels  
i.MX 6Dual/6Quad Automotive and Infotainment Applications Processors, Rev. 2.3  
Freescale Semiconductor  
110  
Electrical Characteristics  
4.11.12.3 HS Line Driver Characteristics  
Ideal Single-Ended High Speed Signals  
VDN  
VCMTX = (VDP + VDN)/2  
VOD(0)  
VOD(1)  
VDP  
Ideal Differential High Speed Signals  
VOD(1)  
0V  
(Differential)  
VOD(0)  
VOD = VDP - VDN  
Figure 73. Ideal Single-ended and Resulting Differential HS Signals  
4.11.12.4 Possible VCMTX and VOD Distortions of the Single-ended HS Signals  
VOD/2  
V
OD (SE HS Signals)  
VDN  
VOD (1)  
VCM TX  
VOD(0)  
VDP  
V
OD /2  
Static VCMTX (SE HS Signals)  
VDN  
VCMTX  
VDP  
VOD(0)  
Dynamic VCMTX (SE HS Signals)  
VDN  
VCM TX  
VDP  
Figure 74. Possible VCMTX and VOD Distortions of the Single-ended HS Signals  
4.11.12.5 D-PHY Switching Characteristics  
Table 74. Electrical and Timing Information  
Symbol  
Parameters  
Test Conditions  
Min  
Typ  
Max  
Unit  
HS Line Drivers AC Specifications  
Maximum serial data rate (forward  
direction)  
On DATAP/N outputs.  
80 <= RL <= 125   
80  
1000  
Mbps  
FDDRCLK  
PDDRCLK  
DDR CLK frequency  
DDR CLK period  
On DATAP/N outputs.  
40  
2
500  
25  
MHz  
ns  
80 = RL< = 125   
i.MX 6Dual/6Quad Automotive and Infotainment Applications Processors, Rev. 2.3  
Freescale Semiconductor  
111  
Electrical Characteristics  
Symbol  
Table 74. Electrical and Timing Information (continued)  
Parameters  
Test Conditions  
Min  
Typ  
Max  
Unit  
tCDC  
DDR CLK duty cycle  
DDR CLK high time  
tCDCtCPHPDDRCLK  
50  
1
%
UI  
tCPH  
tCPL  
DDR CLK low time  
1
UI  
DDR CLK / DATA Jitter  
75  
0.075  
ps pk-pk  
UI  
tSKEW[PN]  
tSKEW[TX]  
tSETUP[RX]  
tHOLD[RX]  
tr  
Intra-Pair (Pulse) skew  
Data to Clock Skew  
0.350  
0.15  
0.15  
150  
150  
0.650  
UI  
Data to Clock Receiver Setup time  
Clock to Data Receiver Hold time  
Differential output signal rise time  
Differential output signal fall time  
UI  
UI  
20% to 80%, RL = 50   
20% to 80%, RL = 50   
0.3UI  
0.3UI  
15  
ps  
tf  
ps  
VCMTX(HF)  
VCMTX(LF)  
Common level variation above 450 MHz 80 <= RL< = 125   
mVrms  
mVp  
Common level variation between 50  
MHz and 450 MHz  
80 <= RL< = 125   
25  
LP Line Drivers AC Specifications  
trlp, flp  
t
Single ended output rise/fall time  
15% to 85%, CL<70 pF  
30% to 85%, CL<70 pF  
15% to 85%, CL<70 pF  
0
25  
35  
ns  
ns  
treo  
V/tSR  
CL  
Signal slew rate  
120  
70  
mV/ns  
pF  
Load capacitance  
HS Line Receiver AC Specifications  
VCMRX(HF)  
VCMRX(LF)  
CCM  
Common mode interference beyond  
450 MHz  
-50  
200  
50  
mVpp  
mVpp  
pF  
Common mode interference between  
50 MHz and 450 MHz  
Common mode termination  
60  
LP Line Receiver AC Specifications  
eSPIKE  
TMIN  
VINT  
fINT  
Input pulse rejection  
50  
300  
Vps  
ns  
Minimum pulse response  
Pk-to-Pk interference voltage  
Interference frequency  
400  
mV  
MHz  
450  
Model Parameters used for Driver Load switching performance evaluation  
CPAD  
Equivalent Single ended I/O PAD  
capacitance.  
1
2
pF  
pF  
CPIN  
Equivalent Single ended Package +  
PCB capacitance.  
i.MX 6Dual/6Quad Automotive and Infotainment Applications Processors, Rev. 2.3  
Freescale Semiconductor  
112  
Electrical Characteristics  
Table 74. Electrical and Timing Information (continued)  
Symbol  
Parameters  
Test Conditions  
Min  
Typ  
Max  
Unit  
LS  
RS  
RL  
Equivalent wire bond series inductance  
Equivalent wire bond series resistance  
Load Resistance  
80  
1.5  
0.15  
125  
nH  
100  
4.11.12.6 High-Speed Clock Timing  
CLKp  
CLKn  
1 Data Bit Time = 1UI  
UIINST(1)  
1 Data Bit Time = 1UI  
UIINST(2)  
1 DDR Clock Period = UIINST(1) + UIINST(2)  
Figure 75. DDR Clock Definition  
4.11.12.7 Forward High-Speed Data Transmission Timing  
The timing relationship of the DDR Clock differential signal to the Data differential signal is shown in  
Figure 76:  
2EFERENCE 4IME  
43%450  
4(/,$  
ꢀꢅꢆ5)).34  
43+%7  
#,+P  
#,+N  
ꢄ 5)).34  
4#,+P  
Figure 76. Data to Clock Timing Definitions  
4.11.12.8 Reverse High-Speed Data Transmission Timing  
TTD  
NRZ Data  
CLKn  
CLKp  
Clock to Data  
Skew  
2UI  
2UI  
Figure 77. Reverse High-Speed Data Transmission Timing at Slave Side  
i.MX 6Dual/6Quad Automotive and Infotainment Applications Processors, Rev. 2.3  
Freescale Semiconductor  
113  
Electrical Characteristics  
4.11.12.9 Low-Power Receiver Timing  
2*TLPX  
2*TLPX  
eSPIKE  
VIH  
VIL  
Input  
eSPIKE  
TMIN-RX  
TMIN-RX  
Output  
Figure 78. Input Glitch Rejection of Low-Power Receivers  
4.11.13 HSI Host Controller Timing Parameters  
This section describes the timing parameters of the HSI Host Controller which are compliant with  
High-Speed Synchronous Serial Interface (HSI) Physical Layer specification version 1.01.  
4.11.13.1 Synchronous Data Flow  
Last bit of  
frame  
First bit of  
frame  
Last bit of  
frame  
First bit of  
frame  
tNomBit  
DATA  
FLAG  
N-bits Frame  
N-bits Frame  
READY  
Receiver has  
detected the start  
of the Frame  
Receiver has captured  
and stored a complete  
Frame  
Figure 79. Synchronized Data Flow READY Signal Timing (Frame and Stream Transmission)  
4.11.13.2 Pipelined Data Flow  
First bit of  
frame  
Last bit of  
frame  
Last bit of  
frame  
Last bit of  
frame  
First bit of  
frame  
tNomBit  
DATA  
FLAG  
N-bits Frame  
N-bits Frame  
READY  
E.  
Ready  
can  
D. Ready shall  
maintain zero of if  
receiver does not  
have free space  
F. Ready  
shall  
maintain  
its value  
G. Ready  
can change  
C. Ready can change  
A Ready can change  
B Ready shall not  
change to zero  
change  
Figure 80. Pipelined Data Flow Ready Signal Timing (Frame Transmission Mode)  
i.MX 6Dual/6Quad Automotive and Infotainment Applications Processors, Rev. 2.3  
114  
Freescale Semiconductor  
Electrical Characteristics  
4.11.13.3 Receiver Real-Time Data Flow  
Last bit of  
frame  
First bit of  
frame  
Last bit of  
frame  
First bit of  
frame  
tNomBit  
DATA  
FLAG  
N-bits Frame  
N-bits Frame  
READY  
Receiver has  
detected the start  
of the Frame  
Receiver has captured a  
complete Frame  
Figure 81. Receiver Real-Time Data Flow READY Signal Timing  
4.11.13.4 Synchronized Data Flow Transmission with Wake  
A
B
C
D
A
TX state  
DATA  
PHY Frame  
PHY Frame  
FLAG  
3. First bit  
received  
6. Receiver  
READY  
4. Received  
frame stored  
2. Receiver in active  
start state  
can no longer  
receive date  
5. Transmitter  
has no more  
data to  
transmit  
WAKE  
1. Transmitter has  
data to transmit  
A
B
C
A
D
RX state  
A: Sleep state(non-operational)  
B: Wake-up state  
C: Active state (full operational)  
D: Disable State(No communication ability)  
Figure 82. Synchronized Data Flow Transmission with WAKE  
4.11.13.5 Stream Transmission Mode Frame Transfer  
Channel  
Description  
bits  
its  
Payload Data B  
DATA  
FLAG  
Complete N-bits Frame  
Complete N-bits Frame  
READY  
Figure 83. Stream Transmission Mode Frame Transfer (Synchronized Data Flow)  
i.MX 6Dual/6Quad Automotive and Infotainment Applications Processors, Rev. 2.3  
Freescale Semiconductor  
115  
Electrical Characteristics  
4.11.13.6 Frame Transmission Mode (Synchronized Data Flow)  
Frame  
Channel  
start bit  
its  
Payload Data B  
Description  
bits  
DATA  
FLAG  
Complete N-bits Frame  
Complete N-bits Frame  
READY  
Figure 84. Frame Transmission Mode Transfer of Two Frames (Synchronized Data Flow)  
4.11.13.7 Frame Transmission Mode (Pipelined Data Flow)  
Frame  
Channel  
start bit  
Data Bits  
Payload  
Description  
bits  
DATA  
FLAG  
Complete N-bits Frame  
Complete N-bits Frame  
READY  
Figure 85. Frame Transmission Mode Transfer of Two Frames (Pipelined Data Flow)  
4.11.13.8 DATA and FLAG Signal Timing Requirement for a 15 pF Load  
Table 75. DATA and FLAG Timing  
Parameter  
Description  
1 Mbit/s 100 Mbit/s  
tBit, nom  
Nominal bit time  
1000 ns  
2 ns  
10 ns  
2 ns  
tRise, min and tFall, min Minimum allowed rise and fall time  
tTxToRxSkew, maxfq  
tEageSepTx, min  
Maximum skew between transmitter and receiver package pins  
50 ns  
0.5 ns  
4 ns  
Minimum allowed separation of signal transitions at transmitter package pins,  
including all timing defects, for example, jitter and skew, inside the transmitter.  
400 ns  
tEageSepRx, min  
Minimum separation of signal transitions, measured at the receiver package pins, 350 ns  
including all timing defects, for example, jitter and skew, inside the receiver.  
3.5 ns  
i.MX 6Dual/6Quad Automotive and Infotainment Applications Processors, Rev. 2.3  
116  
Freescale Semiconductor  
Electrical Characteristics  
4.11.13.9 DATA and FLAG Signal Timing  
tEdgeSepTx  
80%  
50%  
50%  
DATA  
(TX)  
tRise  
Note2  
Note1  
80%  
80%  
50%  
FLAG  
(TX)  
20%  
20%  
20%  
tBit  
t Fall  
tTxToRxSkew  
tEdgeSepRx  
80%  
50%  
50%  
DATA  
(RX)  
Note1  
Note2  
50%  
FLAG  
(RX)  
20%  
Figure 86. DATA and FLAG Signal Timing  
4.11.14 MediaLB (MLB) Characteristics  
4.11.14.1 MediaLB (MLB) DC Characteristics  
Table 76 lists the MediaLB 3-pin interface electrical characteristics.  
Table 76. MediaLB 3-Pin Interface Electrical DC Specifications  
Parameter  
Symbol  
Test Conditions  
Min  
Max  
Unit  
Maximum input voltage  
Low level input threshold  
High level input threshold  
Low level output threshold  
High level output threshold  
Input leakage current  
VIL  
VIH  
VOL  
VOH  
IL  
3.6  
0.7  
V
V
See Note1  
IOL = 6 mA  
IOH = –6 mA  
0 < Vin < VDD  
1.8  
V
0.4  
V
2.0  
V
10  
A  
1
Higher VIH thresholds can be used; however, the risks associated with less noise margin in the system must be  
evaluated and assumed by the customer.  
Table 77 lists the MediaLB 6-pin interface electrical characteristics.  
Table 77. MediaLB 6-Pin Interface Electrical DC Specifications  
Parameter  
Symbol  
Test Conditions  
Min  
Max  
Unit  
Driver Characteristics  
Differential output voltage (steady-state):  
VOD  
See Note1  
300  
-50  
500  
50  
mV  
mV  
I VO+ - VO-  
I
Difference in differential output voltage  
between (high/low) steady-states:  
VOD  
I VOD, high - VOD, low  
I
i.MX 6Dual/6Quad Automotive and Infotainment Applications Processors, Rev. 2.3  
Freescale Semiconductor  
117  
Electrical Characteristics  
Table 77. MediaLB 6-Pin Interface Electrical DC Specifications (continued)  
Parameter  
Symbol  
Test Conditions  
Min  
Max  
Unit  
Common-mode output voltage:  
(VO+ - VO-) / 2  
VOCM  
1.0  
1.5  
V
Difference in common-mode output between  
(high/low) steady-states:  
VOCM  
-50  
50  
mV  
I VOCM, high - VOCM, low  
I
Variations on common-mode output during a  
logic state transitions  
VCMV  
See Note2  
150  
mVpp  
Short circuit current  
|IOS  
|
See Note3  
43  
mA  
Differential output impedance  
ZO  
1.6  
k  
Receiver Characteristics  
Differential clock input:  
• logic low steady-state  
• logic high steady-state  
• hysteresis  
See Note4  
VILC  
VIHC  
VHSC  
-50  
25  
mV  
mV  
mV  
50  
-25  
Differential signal/data input:  
• logic low steady-state  
• logic high steady-state  
VILS  
VIHS  
50  
-50  
mV  
mV  
Signal-ended input voltage (steady-state):  
• MLB_SIG_P, MLB_DATA_P  
• MLB_SIG_N, MLB_DATA_N  
VIN+  
VIN-  
0.5  
0.5  
2.0  
2.0  
V
V
1
2
The signal-ended output voltage of a driver is defined as VO+ on MLB_CLK_P, MLB_SIG_P, and MLB_DATA_P. The  
signal-ended output voltage of a driver is defined as VO- on MLB_CLK_N, MLB_SIG_N, and MLB_DATA_N.  
Variations in the common-mode voltage can occur between logic states (for example, during state transitions) as a result of  
differences in the transition rate of VO+ and VO-.  
3
4
Short circuit current is applicable when VO+ and VO- are shorted together and/or shorted to ground.  
The logic state of the receiver is undefined when -50 mV < VID < 50 mV.  
i.MX 6Dual/6Quad Automotive and Infotainment Applications Processors, Rev. 2.3  
118  
Freescale Semiconductor  
Electrical Characteristics  
4.11.14.2 MediaLB (MLB) Controller AC Timing Electrical Specifications  
This section describes the timing electrical information of the MediaLB module. Figure 87 show the  
timing of MediaLB 3-pin interface, and Table 78 and Table 79 lists the MediaLB 3-pin interface timing  
characteristics.  
Figure 87. MediaLB 3-Pin Timing  
Ground = 0.0 V; Load Capacitance = 60 pF; MediaLB speed = 256/512 Fs; Fs = 48 kHz; all timing  
parameters specified from the valid voltage threshold as listed below; unless otherwise noted.  
Table 78. MLB 256/512 Fs Timing Parameters  
Parameter  
Symbol  
Min  
Max  
Unit  
Comment  
MLB_CLK operating frequency1  
fmck  
11.264  
MHz  
256xFs at 44.0 kHz  
512xFs at 50.0 kHz  
25.6  
3
MLB_CLK rise time  
MLB_CLK fall time  
MLB_CLK low time2  
tmckr  
tmckf  
tmckl  
ns  
ns  
ns  
VIL TO VIH  
VIH TO VIL  
3
30  
14  
256xFs  
512xFs  
MLB_CLK high time  
tmckh  
tdsmcf  
tdhmcf  
tmcfdz  
tmdzh  
30  
14  
ns  
ns  
ns  
ns  
ns  
256xFs  
512xFs  
MLB_SIG/MLB_DATA receiver input  
valid to MLB_CLK falling  
1
tmdzh  
0
MLB_SIG/MLB_DATA receiver input  
hold from MLB_CLK low  
3
MLB_SIG/MLB_DATA output high  
impedance from MLB_CLK low  
tmckl  
(see  
)
Bus Hold from MLB_CLK low  
4
i.MX 6Dual/6Quad Automotive and Infotainment Applications Processors, Rev. 2.3  
Freescale Semiconductor  
119  
Electrical Characteristics  
1
The controller can shut off MLB_CLK to place MediaLB in a low-power state. Depending on the time the clock is shut off, a  
runt pulse can occur on MLB_CLK.  
2
MLB_CLK low/high time includes the pulse width variation.  
3
The MediaLB driver can release the MLB_DATA/MLB_SIG line as soon as MLB_CLK is low; however, the logic state of the  
final driven bit on the line must remain on the bus for tmdzh. Therefore, coupling must be minimized while meeting the maximum  
load capacitance listed.  
Ground = 0.0 V; load capacitance = 40 pF; MediaLB speed = 1024 Fs; Fs = 48 kHz; all timing  
parameters specified from the valid voltage threshold as listed in Table 79; unless otherwise noted.  
Table 79. MLB 1024 Fs Timing Parameters  
Parameter  
Symbol  
Min  
Max  
Unit  
Comment  
MLB_CLK Operating Frequency1  
fmck  
45.056  
51.2  
MHz  
1024xfs at 44.0 kHz  
1024xfs at 50.0 kHz  
MLB_CLK rise time  
MLB_CLK fall time  
MLB_CLK low time  
MLB_CLK high time  
tmckr  
tmckf  
tmckl  
1
ns  
ns  
ns  
ns  
ns  
VIL TO VIH  
1
VIH TO VIL  
2
6.1  
9.3  
1
(see  
)
tmckh  
tdsmcf  
MLB_SIG/MLB_DATA receiver input valid to  
MLB_CLK falling  
MLB_SIG/MLB_DATA receiver input hold  
from MLB_CLK low  
tdhmcf  
tmcfdz  
tmdzh  
tmdzh  
tmckl  
ns  
ns  
ns  
(see  
3
MLB_SIG/MLB_DATA output high  
impedance from MLB_CLK low  
0
)
Bus Hold from MLB_CLK low  
2
1
The controller can shut off MLB_CLK to place MediaLB in a low-power state. Depending on the time the clock is shut off, a  
runt pulse can occur on MLB_CLK.  
2
3
MLB_CLK low/high time includes the pulse width variation.  
The MediaLB driver can release the MLB_DATA/MLB_SIG line as soon as MLB_CLK is low; however, the logic state of the  
final driven bit on the line must remain on the bus for tmdzh. Therefore, coupling must be minimized while meeting the maximum  
load capacitance listed.  
Table 80 lists the MediaLB 6-pin interface timing characteristics, and Figure 88 shows the MLB 6-pin  
delay, setup, and hold times.  
Table 80. MLB 6-Pin Interface Timing Parameters  
Parameter  
Symbol  
Min  
Max  
Unit  
Comment  
Cycle-to-cycle system jitter  
tjitter  
600  
1.3  
ps  
ns  
Transmitter MLB_SIG_P/_N  
tdelay  
0.6  
(MLB_DATA_P/_N) output valid from transition  
of MLB_CLK_P/_N (low-to-high)1  
Disable turnaround time from transition of  
MLB_CLK_P/_N (low-to-high)  
tphz  
0.6  
3.5  
ns  
i.MX 6Dual/6Quad Automotive and Infotainment Applications Processors, Rev. 2.3  
Freescale Semiconductor  
120  
Electrical Characteristics  
Table 80. MLB 6-Pin Interface Timing Parameters (continued)  
Parameter  
Symbol  
Min  
Max  
Unit  
Comment  
Enable turnaround time from transition of  
MLB_CLK_P/_N (low-to-high)  
tplz  
0.6  
5.6  
ns  
MLB_SIG_P/_N (MLB_DATA_P/_N) valid to  
transition of MLB_CLK_P/_N (low-to-high)  
tsu  
0.05  
0.6  
ns  
ns  
MLB_SIG_P/_N (MLB_DATA_P/_N) hold from  
transition of MLB_CLK_P/_N (low-to-high)2  
thd  
1
tdelay, tphz, tplz, tsu, and thd may also be referenced from a low-to-high transition of the recovered clock for 2:1 and 4:1 recov-  
ered-to-external clock ratios.  
2
The transmitting device must ensure valid data on MLB_SIG_P/_N (MLB_DATA_P/_N) for at least thd(min) following the rising  
edge of MLBCP/N; receivers must latch MLB_SIG_P/_N (MLB_DATA_P/_N) data within thd(min) of the rising edge of  
MLB_CLK_P/_N.  
Figure 88. MLB 6-Pin Delay, Setup, and Hold Times  
4.11.15 PCIe PHY Parameters  
The PCIe interface complies with PCIe specification Gen2 x1 lane and supports the PCI Express 1.1/2.0  
standard.  
4.11.15.1 PCIE_REXT Reference Resistor Connection  
The impedance calibration process requires connection of reference resistor 200  1% precision resistor  
on PCIE_REXT pads to ground. It is used for termination impedance calibration.  
i.MX 6Dual/6Quad Automotive and Infotainment Applications Processors, Rev. 2.3  
Freescale Semiconductor  
121  
Electrical Characteristics  
4.11.16 Pulse Width Modulator (PWM) Timing Parameters  
This section describes the electrical information of the PWM. The PWM can be programmed to select one  
of three clock signals as its source frequency. The selected clock signal is passed through a prescaler before  
being input to the counter. The output is available at the pulse-width modulator output (PWMO) external  
pin.  
Figure 89 depicts the timing of the PWM, and Table 81 lists the PWM timing parameters.  
PWMn_OUT  
Figure 89. PWM Timing  
Table 81. PWM Output Timing Parameters  
ID  
Parameter  
Min  
Max  
Unit  
P1  
P2  
PWM Module Clock Frequency  
PWM output pulse width high  
PWM output pulse width low  
0
ipg_clk  
MHz  
ns  
15  
15  
ns  
4.11.17 SATA PHY Parameters  
This section describes SATA PHY electrical specifications.  
4.11.17.1 Transmitter and Receiver Characteristics  
The SATA PHY meets or exceeds the electrical compliance requirements defined in the SATA  
specifications.  
NOTE  
The tables in the following sections indicate any exceptions to the SATA  
specification or aspects of the SATA PHY that exceed the standard, as well  
as provide information about parameters not defined in the standard.  
The following subsections provide values obtained from a combination of simulations and silicon  
characterization.  
i.MX 6Dual/6Quad Automotive and Infotainment Applications Processors, Rev. 2.3  
122  
Freescale Semiconductor  
Electrical Characteristics  
4.11.17.1.1 SATA PHY Transmitter Characteristics  
Table 82 provides specifications for SATA PHY transmitter characteristics.  
Table 82. SATA2 PHY Transmitter Characteristics  
Parameters  
Symbol  
Min  
Typ  
Max  
Unit  
Transmit common mode voltage  
VCTM  
0.4  
0.6  
0.5  
V
Transmitter pre-emphasis accuracy (measured  
change in de-emphasized bit)  
–0.5  
dB  
4.11.17.1.2 SATA PHY Receiver Characteristics  
Table 83 provides specifications for SATA PHY receiver characteristics.  
Table 83. SATA PHY Receiver Characteristics  
Parameters  
Symbol  
Min  
Typ  
Max  
Unit  
Minimum Rx eye height (differential peak-to-peak)  
Tolerance  
VMIN_RX_EYE_HEIGHT  
PPM  
175  
mV  
–400  
400  
ppm  
4.11.17.2 SATA_REXT Reference Resistor Connection  
The impedance calibration process requires connection of reference resistor 191 . 1% precision resistor  
on SATA_REXT pad to ground.  
Resistor calibration consists of learning which state of the internal Resistor Calibration register causes an  
internal, digitally trimmed calibration resistor to best match the impedance applied to the SATA_REXT  
pin. The calibration register value is then supplied to all Tx and Rx termination resistors.  
During the calibration process (for a few tens of microseconds), up to 0.3 mW can be dissipated in the  
external SATA_REXT resistor. At other times, no power is dissipated by the SATA_REXT resistor.  
4.11.18 SCAN JTAG Controller (SJC) Timing Parameters  
Figure 90 depicts the SJC test clock input timing. Figure 91 depicts the SJC boundary scan timing.  
Figure 92 depicts the SJC test access port. Signal parameters are listed in Table 84.  
SJ1  
SJ2  
VM  
SJ2  
VM  
JTAG_TCK  
(Input)  
VIH  
VIL  
SJ3  
SJ3  
Figure 90. Test Clock Input Timing Diagram  
i.MX 6Dual/6Quad Automotive and Infotainment Applications Processors, Rev. 2.3  
Freescale Semiconductor  
123  
Electrical Characteristics  
JTAG_TCK  
(Input)  
VIH  
SJ5  
Input Data Valid  
VIL  
SJ4  
Data  
Inputs  
SJ6  
Data  
Outputs  
Output Data Valid  
SJ7  
SJ6  
Data  
Outputs  
Data  
Outputs  
Output Data Valid  
Figure 91. Boundary Scan (JTAG) Timing Diagram  
JTAG_TCK  
(Input)  
VIH  
VIL  
SJ8  
Input Data Valid  
SJ9  
JTAG_TDI  
JTAG_TMS  
(Input)  
SJ10  
SJ11  
SJ10  
JTAG_TDO  
(Output)  
Output Data Valid  
JTAG_TDO  
(Output)  
JTAG_TDO  
(Output)  
Output Data Valid  
Figure 92. Test Access Port Timing Diagram  
i.MX 6Dual/6Quad Automotive and Infotainment Applications Processors, Rev. 2.3  
Freescale Semiconductor  
124  
Electrical Characteristics  
JTAG_TCK  
(Input)  
SJ13  
JTAG_TRST_B  
(Input)  
SJ12  
Figure 93. JTAG_TRST_B Timing Diagram  
Table 84. JTAG Timing  
All Frequencies  
ID  
Parameter1,2  
Unit  
Min  
Max  
1
SJ0  
SJ1  
JTAG_TCK frequency of operation 1/(3xTDC  
)
0.001  
45  
22.5  
22  
3
MHz  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
JTAG_TCK cycle time in crystal mode  
2
SJ2  
JTAG_TCK clock pulse width measured at VM  
JTAG_TCK rise and fall times  
SJ3  
SJ4  
Boundary scan input data set-up time  
Boundary scan input data hold time  
JTAG_TCK low to output data valid  
JTAG_TCK low to output high impedance  
JTAG_TMS, JTAG_TDI data set-up time  
JTAG_TMS, JTAG_TDI data hold time  
JTAG_TCK low to JTAG_TDO data valid  
5
40  
40  
44  
44  
SJ5  
24  
SJ6  
SJ7  
SJ8  
5
SJ9  
25  
SJ10  
SJ11  
SJ12  
SJ13  
JTAG_TCK low to JTAG_TDO high impedance  
JTAG_TRST_B assert time  
100  
40  
JTAG_TRST_B set-up time to JTAG_TCK low  
1
2
T
= target frequency of SJC  
DC  
VM = mid-point voltage  
4.11.19 SPDIF Timing Parameters  
The Sony/Philips Digital Interconnect Format (SPDIF) data is sent using the bi-phase marking code. When  
encoding, the SPDIF data signal is modulated by a clock that is twice the bit rate of the data signal.  
Table 85 and Figure 94 and Figure 95 show SPDIF timing parameters for the Sony/Philips Digital  
Interconnect Format (SPDIF), including the timing of the modulating Rx clock (SPDIF_SR_CLK) for  
SPDIF in Rx mode and the timing of the modulating Tx clock (SPDIF_ST_CLK) for SPDIF in Tx mode.  
i.MX 6Dual/6Quad Automotive and Infotainment Applications Processors, Rev. 2.3  
Freescale Semiconductor  
125  
Electrical Characteristics  
Table 85. SPDIF Timing Parameters  
Timing Parameter Range  
Parameter  
Symbol  
Unit  
Min  
Max  
SPDIF_IN Skew: asynchronous inputs, no specs apply  
0.7  
ns  
ns  
SPDIF_OUT output (Load = 50pf)  
• Skew  
Transition rising  
Transition falling  
1.5  
24.2  
31.3  
SPDIF_OUT output (Load = 30pf)  
• Skew  
Transition rising  
Transition falling  
1.5  
13.6  
18.0  
ns  
Modulating Rx clock (SPDIF_SR_CLK) period  
SPDIF_SR_CLK high period  
srckp  
srckph  
srckpl  
stclkp  
stclkph  
stclkpl  
40.0  
16.0  
16.0  
40.0  
16.0  
16.0  
ns  
ns  
ns  
ns  
ns  
ns  
SPDIF_SR_CLK low period  
Modulating Tx clock (SPDIF_ST_CLK) period  
SPDIF_ST_CLK high period  
SPDIF_ST_CLK low period  
srckp  
srckpl  
VM  
srckph  
VM  
SPDIF_SR_CLK  
(Output)  
Figure 94. SPDIF_SR_CLK Timing Diagram  
stclkp  
stclkpl  
VM  
stclkph  
VM  
SPDIF_ST_CLK  
(Input)  
Figure 95. SPDIF_ST_CLK Timing Diagram  
i.MX 6Dual/6Quad Automotive and Infotainment Applications Processors, Rev. 2.3  
Freescale Semiconductor  
126  
Electrical Characteristics  
4.11.20 SSI Timing Parameters  
This section describes the timing parameters of the SSI module. The connectivity of the serial  
synchronous interfaces are summarized in Table 86.  
Table 86. AUDMUX Port Allocation  
Port  
Signal Nomenclature  
Type and Access  
AUDMUX port 1  
AUDMUX port 2  
AUDMUX port 3  
AUDMUX port 4  
AUDMUX port 5  
AUDMUX port 6  
AUDMUX port 7  
SSI 1  
SSI 2  
AUD3  
AUD4  
AUD5  
AUD6  
SSI 3  
Internal  
Internal  
External – AUD3 I/O  
External – EIM or CSPI1 I/O through IOMUXC  
External – EIM or SD1 I/O through IOMUXC  
External – EIM or DISP2 through IOMUXC  
Internal  
NOTE  
The terms WL and BL used in the timing diagrams and tables refer to Word  
Length (WL) and Bit Length (BL).  
4.11.20.1 SSI Transmitter Timing with Internal Clock  
Figure 96 depicts the SSI transmitter internal clock timing and Table 87 lists the timing parameters for  
the SSI transmitter internal clock.  
.
SS1  
SS3  
SS5  
SS4  
SS2  
AUDx_TXC  
(Output)  
SS8  
SS6  
AUDx_TXFS (bl)  
(Output)  
SS10  
SS12  
SS14  
SS17  
AUDx_TXFS (wl)  
(Output)  
SS15  
SS16  
SS18  
AUDx_TXD  
(Output)  
SS43  
SS42  
SS19  
AUDx_RXD  
(Input)  
Note: AUDx_RXD input in synchronous mode only  
Figure 96. SSI Transmitter Internal Clock Timing Diagram  
i.MX 6Dual/6Quad Automotive and Infotainment Applications Processors, Rev. 2.3  
Freescale Semiconductor  
127  
Electrical Characteristics  
Table 87. SSI Transmitter Timing with Internal Clock  
ID  
Parameter  
Internal Clock Operation  
Min  
Max  
Unit  
SS1  
SS2  
AUDx_TXC/AUDx_RXC clock period  
81.4  
36.0  
36.0  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
AUDx_TXC/AUDx_RXC clock high period  
AUDx_TXC/AUDx_RXC clock low period  
SS4  
SS6  
AUDx_TXC high to AUDx_TXFS (bl) high  
AUDx_TXC high to AUDx_TXFS (bl) low  
15.0  
15.0  
15.0  
15.0  
6.0  
SS8  
SS10  
SS12  
SS14  
SS15  
SS16  
SS17  
SS18  
AUDx_TXC high to AUDx_TXFS (wl) high  
AUDx_TXC high to AUDx_TXFS (wl) low  
AUDx_TXC/AUDx_RXC Internal AUDx_TXFS rise time  
AUDx_TXC/AUDx_RXC Internal AUDx_TXFS fall time  
AUDx_TXC high to AUDx_TXD valid from high impedance  
AUDx_TXC high to AUDx_TXD high/low  
6.0  
15.0  
15.0  
15.0  
AUDx_TXC high to AUDx_TXD high impedance  
Synchronous Internal Clock Operation  
SS42  
SS43  
AUDx_RXD setup before AUDx_TXC falling  
AUDx_RXD hold after AUDx_TXC falling  
10.0  
0.0  
ns  
ns  
NOTE  
All the timings for the SSI are given for a non-inverted serial clock  
polarity (TSCKP/RSCKP = 0) and a non-inverted frame sync  
(TFSI/RFSI = 0). If the polarity of the clock and/or the frame sync have  
been inverted, all the timing remains valid by inverting the clock signal  
AUDx_TXC/AUDx_RXC and/or the frame sync  
AUDx_TXFS/AUDx_RXFS shown in the tables and in the figures.  
All timings are on Audiomux Pads when SSI is being used for data  
transfer.  
The terms, WL and BL, refer to Word Length(WL) and Bit Length(BL).  
For internal Frame Sync operation using external clock, the frame sync  
timing is the same as that of transmit data (for example, during AC97  
mode of operation).  
i.MX 6Dual/6Quad Automotive and Infotainment Applications Processors, Rev. 2.3  
128  
Freescale Semiconductor  
Electrical Characteristics  
4.11.20.2 SSI Receiver Timing with Internal Clock  
Figure 97 depicts the SSI receiver internal clock timing and Table 88 lists the timing parameters for the  
receiver timing with the internal clock.  
SS1  
SS3  
SS5  
SS4  
SS2  
AUDx_TXC  
(Output)  
SS9  
SS7  
AUDx_TXFS (bl)  
(Output)  
SS11  
SS13  
AUDx_TXFS (wl)  
(Output)  
SS20  
SS21  
AUDx_RXD  
(Input)  
SS51  
SS50  
SS47  
SS49  
SS48  
AUDx_RXC  
(Output)  
Figure 97. SSI Receiver Internal Clock Timing Diagram  
Table 88. SSI Receiver Timing with Internal Clock  
ID  
Parameter  
Min  
Max  
Unit  
Internal Clock Operation  
SS1  
SS2  
AUDx_TXC/AUDx_RXC clock period  
81.4  
36.0  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
AUDx_TXC/AUDx_RXC clock high period  
AUDx_TXC/AUDx_RXC clock rise time  
AUDx_TXC/AUDx_RXC clock low period  
AUDx_TXC/AUDx_RXC clock fall time  
AUDx_RXC high to AUDx_TXFS (bl) high  
AUDx_RXC high to AUDx_TXFS (bl) low  
AUDx_RXC high to AUDx_TXFS (wl) high  
AUDx_RXC high to AUDx_TXFS (wl) low  
AUDx_RXD setup time before AUDx_RXC low  
AUDx_RXD hold time after AUDx_RXC low  
SS3  
6.0  
SS4  
36.0  
SS5  
6.0  
15.0  
15.0  
15.0  
15.0  
SS7  
SS9  
SS11  
SS13  
SS20  
SS21  
10.0  
0.0  
i.MX 6Dual/6Quad Automotive and Infotainment Applications Processors, Rev. 2.3  
Freescale Semiconductor  
129  
Electrical Characteristics  
Table 88. SSI Receiver Timing with Internal Clock (continued)  
ID  
Parameter  
Oversampling Clock Operation  
Min  
Max  
Unit  
SS47  
SS48  
SS49  
SS50  
SS51  
Oversampling clock period  
15.04  
6.0  
ns  
ns  
ns  
ns  
ns  
Oversampling clock high period  
Oversampling clock rise time  
Oversampling clock low period  
Oversampling clock fall time  
3.0  
6.0  
3.0  
NOTE  
All the timings for the SSI are given for a non-inverted serial clock  
polarity (TSCKP/RSCKP = 0) and a non-inverted frame sync  
(TFSI/RFSI = 0). If the polarity of the clock and/or the frame sync have  
been inverted, all the timing remains valid by inverting the clock signal  
AUDx_TXC/AUDx_RXC and/or the frame sync  
AUDx_TXFS/AUDx_RXFS shown in the tables and in the figures.  
All timings are on Audiomux Pads when SSI is being used for data  
transfer.  
AUDx_TXC and AUDx_RXC refer to the Transmit and Receive  
sections of the SSI.  
The terms, WL and BL, refer to Word Length (WL) and Bit Length(BL).  
For internal Frame Sync operation using external clock, the frame sync  
timing is same as that of transmit data (for example, during AC97 mode  
of operation).  
i.MX 6Dual/6Quad Automotive and Infotainment Applications Processors, Rev. 2.3  
130  
Freescale Semiconductor  
Electrical Characteristics  
4.11.20.3 SSI Transmitter Timing with External Clock  
Figure 98 depicts the SSI transmitter external clock timing and Table 89 lists the timing parameters for  
the transmitter timing with the external clock.  
SS22  
SS25  
SS26  
SS23  
SS24  
AUDx_TXC  
(Input)  
SS27  
SS29  
AUDx_TXFS (bl)  
(Input)  
SS33  
SS31  
AUDx_TXFS (wl)  
(Input)  
SS39  
SS38  
SS37  
AUDx_TXD  
(Output)  
SS45  
SS44  
AUDx_RXD  
(Input)  
Note: AUDx_RXD Input in Synchronous mode only  
SS46  
Figure 98. SSI Transmitter External Clock Timing Diagram  
Table 89. SSI Transmitter Timing with External Clock  
ID  
Parameter  
External Clock Operation  
Min  
Max  
Unit  
SS22  
SS23  
SS24  
SS25  
SS26  
SS27  
SS29  
SS31  
SS33  
SS37  
SS38  
SS39  
AUDx_TXC/AUDx_RXC clock period  
81.4  
36.0  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
AUDx_TXC/AUDx_RXC clock high period  
AUDx_TXC/AUDx_RXC clock rise time  
AUDx_TXC/AUDx_RXC clock low period  
AUDx_TXC/AUDx_RXC clock fall time  
6.0  
36.0  
6.0  
15.0  
AUDx_TXC high to AUDx_TXFS (bl) high  
AUDx_TXC high to AUDx_TXFS (bl) low  
AUDx_TXC high to AUDx_TXFS (wl) high  
AUDx_TXC high to AUDx_TXFS (wl) low  
AUDx_TXC high to AUDx_TXD valid from high impedance  
AUDx_TXC high to AUDx_TXD high/low  
AUDx_TXC high to AUDx_TXD high impedance  
–10.0  
10.0  
–10.0  
10.0  
15.0  
15.0  
15.0  
15.0  
i.MX 6Dual/6Quad Automotive and Infotainment Applications Processors, Rev. 2.3  
Freescale Semiconductor  
131  
Electrical Characteristics  
Table 89. SSI Transmitter Timing with External Clock (continued)  
ID  
Parameter  
Min  
Max  
Unit  
Synchronous External Clock Operation  
SS44  
SS45  
SS46  
AUDx_RXD setup before AUDx_TXC falling  
AUDx_RXD hold after AUDx_TXC falling  
AUDx_RXD rise/fall time  
10.0  
2.0  
ns  
ns  
ns  
6.0  
NOTE  
All the timings for the SSI are given for a non-inverted serial clock  
polarity (TSCKP/RSCKP = 0) and a non-inverted frame sync  
(TFSI/RFSI = 0). If the polarity of the clock and/or the frame sync have  
been inverted, all the timing remains valid by inverting the clock signal  
AUDx_TXC/AUDx_RXC and/or the frame sync  
AUDx_TXFS/AUDx_RXFS shown in the tables and in the figures.  
All timings are on Audiomux Pads when SSI is being used for data  
transfer.  
AUDx_TXC and AUDx_RXC refer to the Transmit and Receive  
sections of the SSI.  
The terms WL and BL refer to Word Length (WL) and Bit Length (BL).  
For internal Frame Sync operation using external clock, the frame sync  
timing is same as that of transmit data (for example, during AC97 mode  
of operation).  
4.11.20.4 SSI Receiver Timing with External Clock  
Figure 99 depicts the SSI receiver external clock timing and Table 90 lists the timing parameters for the  
receiver timing with the external clock.  
SS22  
SS24  
SS26  
SS25  
SS23  
SS28  
AUDx_TXC  
(Input)  
SS30  
AUDx_TXFS (bl)  
(Input)  
SS32  
SS35  
SS34  
AUDx_TXFS (wl)  
(Input)  
SS41  
SS36  
SS40  
AUDx_RXD  
(Input)  
Figure 99. SSI Receiver External Clock Timing Diagram  
i.MX 6Dual/6Quad Automotive and Infotainment Applications Processors, Rev. 2.3  
Freescale Semiconductor  
132  
Electrical Characteristics  
Table 90. SSI Receiver Timing with External Clock  
ID  
Parameter  
Min  
Max  
Unit  
External Clock Operation  
SS22  
SS23  
SS24  
SS25  
SS26  
SS28  
SS30  
SS32  
SS34  
SS35  
SS36  
SS40  
SS41  
AUDx_TXC/AUDx_RXC clock period  
81.4  
36  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
AUDx_TXC/AUDx_RXC clock high period  
AUDx_TXC/AUDx_RXC clock rise time  
6.0  
AUDx_TXC/AUDx_RXC clock low period  
AUDx_TXC/AUDx_RXC clock fall time  
36  
6.0  
15.0  
AUDx_RXC high to AUDx_TXFS (bl) high  
AUDx_RXC high to AUDx_TXFS (bl) low  
AUDx_RXC high to AUDx_TXFS (wl) high  
AUDx_RXC high to AUDx_TXFS (wl) low  
AUDx_TXC/AUDx_RXC External AUDx_TXFS rise time  
AUDx_TXC/AUDx_RXC External AUDx_TXFS fall time  
AUDx_RXD setup time before AUDx_RXC low  
AUDx_RXD hold time after AUDx_RXC low  
–10  
10  
–10  
10  
15.0  
6.0  
6.0  
10  
2
NOTE  
All the timings for the SSI are given for a non-inverted serial clock  
polarity (TSCKP/RSCKP = 0) and a non-inverted frame sync  
(TFSI/RFSI = 0). If the polarity of the clock and/or the frame sync have  
been inverted, all the timing remains valid by inverting the clock signal  
AUDx_TXC/AUDx_RXC and/or the frame sync  
AUDx_TXFS/AUDx_RXFS shown in the tables and in the figures.  
All timings are on Audiomux Pads when SSI is being used for data  
transfer.  
AUDx_TXC and AUDx_RXC refer to the Transmit and Receive  
sections of the SSI.  
The terms, WL and BL, refer to Word Length (WL) and Bit Length(BL).  
For internal Frame Sync operation using external clock, the frame sync  
timing is same as that of transmit data (for example, during AC97 mode  
of operation).  
i.MX 6Dual/6Quad Automotive and Infotainment Applications Processors, Rev. 2.3  
Freescale Semiconductor  
133  
Electrical Characteristics  
4.11.21 UART I/O Configuration and Timing Parameters  
4.11.21.1 UART RS-232 I/O Configuration in Different Modes  
The i.MX 6Dual/6Quad UART interfaces can serve both as DTE or DCE device. This can be configured  
by the DCEDTE control bit (default 0 – DCE mode). Table 91 shows the UART I/O configuration based  
on the enabled mode.  
Table 91. UART I/O Configuration vs. Mode  
DTE Mode  
Description  
DCE Mode  
Description  
Port  
Direction  
Direction  
UARTx_RTS_B  
UARTx_CTS_B  
UARTx_DTR_B  
UARTx_DSR_B  
UARTx_DCD_B  
UARTx_RI_B  
Output  
Input  
RTS from DTE to DCE  
CTS from DCE to DTE  
DTR from DTE to DCE  
DSR from DCE to DTE  
DCD from DCE to DTE  
RING from DCE to DTE  
Serial data from DCE to DTE  
Serial data from DTE to DCE  
Input  
Output  
Input  
RTS from DTE to DCE  
CTS from DCE to DTE  
DTR from DTE to DCE  
DSR from DCE to DTE  
DCD from DCE to DTE  
RING from DCE to DTE  
Serial data from DCE to DTE  
Serial data from DTE to DCE  
Output  
Input  
Output  
Output  
Output  
Output  
Input  
Input  
Input  
UARTx_TX_DATA  
UARTx_RX_DATA  
Input  
Output  
i.MX 6Dual/6Quad Automotive and Infotainment Applications Processors, Rev. 2.3  
Freescale Semiconductor  
134  
Electrical Characteristics  
4.11.21.2 UART RS-232 Serial Mode Timing  
The following sections describe the electrical information of the UART module in the RS-232 mode.  
4.11.21.2.1 UART Transmitter  
Figure 100 depicts the transmit timing of UART in the RS-232 serial mode, with 8 data bit/1 stop bit  
format. Table 92 lists the UART RS-232 serial mode transmit timing characteristics.  
POSSIBLE  
PARITY  
UA1  
UA1  
Bit 3  
BIT  
NEXT  
START  
BIT  
Start  
Bit  
UARTx_TX_DATA  
(output)  
STOP  
BIT  
Bit 7  
Bit 0  
Bit 1  
Bit 2  
Bit 4  
Bit 5  
Bit 6  
Par Bit  
UA1  
UA1  
Figure 100. UART RS-232 Serial Mode Transmit Timing Diagram  
Table 92. RS-232 Serial Mode Transmit Timing Parameters  
ID  
Parameter  
Transmit Bit Time  
Symbol  
Min  
Max  
1/Fbaud_rate + T  
Unit  
2
UA1  
tTbit  
1/Fbaud_rate1 – T  
ref_clk  
ref_clk  
1
Fbaud_rate: Baud rate frequency. The maximum baud rate the UART can support is (ipg_perclk frequency)/16.  
2
Tref_clk: The period of UART reference clock ref_clk (ipg_perclk after RFDIV divider).  
4.11.21.2.2 UART Receiver  
Figure 101 depicts the RS-232 serial mode receive timing with 8 data bit/1 stop bit format. Table 93 lists  
serial mode receive timing characteristics.  
POSSIBLE  
PARITY  
UA2  
UA2  
Bit 3  
BIT  
NEXT  
START  
BIT  
Start  
Bit  
UARTx_RX_DATA  
(input)  
STOP  
BIT  
Bit 7  
Bit 0  
Bit 1  
Bit 2  
Bit 4  
Bit 5  
Bit 6  
Par Bit  
UA2  
UA2  
Figure 101. UART RS-232 Serial Mode Receive Timing Diagram  
Table 93. RS-232 Serial Mode Receive Timing Parameters  
ID  
Parameter  
Receive Bit Time1  
Symbol  
Min  
Max  
Unit  
2
UA2  
tRbit  
1/Fbaud_rate  
1/Fbaud_rate  
+
1/(16 Fbaud_rate  
)
1/(16 Fbaud_rate)  
1
2
The UART receiver can tolerate 1/(16 Fbaud_rate) tolerance in each bit. But accumulation tolerance in one frame must not  
exceed 3/(16 Fbaud_rate).  
Fbaud_rate: Baud rate frequency. The maximum baud rate the UART can support is (ipg_perclk frequency)/16.  
i.MX 6Dual/6Quad Automotive and Infotainment Applications Processors, Rev. 2.3  
Freescale Semiconductor  
135  
Electrical Characteristics  
4.11.21.2.3 UART IrDA Mode Timing  
The following subsections give the UART transmit and receive timings in IrDA mode.  
UART IrDA Mode Transmitter  
Figure 102 depicts the UART IrDA mode transmit timing, with 8 data bit/1 stop bit format. Table 94 lists  
the transmit timing characteristics.  
UA3  
UA3  
UA4  
UA3  
UA3  
UARTx_TX_DATA  
(output)  
Start  
Bit  
STOP  
BIT  
POSSIBLE  
PARITY  
BIT  
Bit 0  
Bit 1  
Bit 2  
Bit 3  
Bit 4  
Bit 5  
Bit 6  
Bit 7  
Figure 102. UART IrDA Mode Transmit Timing Diagram  
Table 94. IrDA Mode Transmit Timing Parameters  
ID  
Parameter  
Symbol  
Min  
Max  
1/Fbaud_rate + T  
Unit  
2
UA3 Transmit Bit Time in IrDA mode  
UA4 Transmit IR Pulse Duration  
tTIRbit  
1/Fbaud_rate1 – T  
ref_clk  
ref_clk  
tTIRpulse (3/16) (1/Fbaud_rate) – T  
(3/16) (1/Fbaud_rate) + T  
ref_clk  
ref_clk  
1
2
Fbaud_rate: Baud rate frequency. The maximum baud rate the UART can support is (ipg_perclk frequency)/16.  
ref_clk: The period of UART reference clock ref_clk (ipg_perclk after RFDIV divider).  
T
UART IrDA Mode Receiver  
Figure 103 depicts the UART IrDA mode receive timing, with 8 data bit/1 stop bit format. Table 95 lists  
the receive timing characteristics.  
UA6  
UA5  
UA5  
UA5  
UA5  
UARTx_RX_DATA  
(input)  
STOP  
BIT  
Start  
Bit  
POSSIBLE  
PARITY  
BIT  
Bit 0  
Bit 1  
Bit 2  
Bit 3  
Bit 4  
Bit 5  
Bit 6  
Bit 7  
Figure 103. UART IrDA Mode Receive Timing Diagram  
Table 95. IrDA Mode Receive Timing Parameters  
ID  
Parameter  
Symbol  
Min  
Max  
Unit  
2
UA5 Receive Bit Time1 in IrDA mode  
tRIRbit  
1/Fbaud_rate  
1/Fbaud_rate  
+
1/(16 Fbaud_rate  
)
1/(16 Fbaud_rate)  
UA6 Receive IR Pulse Duration  
tRIRpulse  
1.41 s  
(5/16) (1/Fbaud_rate)  
1
2
The UART receiver can tolerate 1/(16 Fbaud_rate) tolerance in each bit. But accumulation tolerance in one frame must not  
exceed 3/(16 Fbaud_rate).  
Fbaud_rate: Baud rate frequency. The maximum baud rate the UART can support is (ipg_perclk frequency)/16.  
i.MX 6Dual/6Quad Automotive and Infotainment Applications Processors, Rev. 2.3  
136  
Freescale Semiconductor  
Electrical Characteristics  
4.11.22 USB HSIC Timings  
This section describes the electrical information of the USB HSIC port.  
NOTE  
HSIC is a DDR signal. The following timing specification is for both rising  
and falling edges.  
4.11.22.1 Transmit Timing  
Tstrobe  
USB_H_STROBE  
USB_H_DATA  
Todelay  
Todelay  
Figure 104. USB HSIC Transmit Waveform  
Table 96. USB HSIC Transmit Parameters  
Name  
Parameter  
Min  
Max  
Unit  
Comment  
Tstrobe strobe period  
4.166  
550  
4.167  
1350  
2
ns  
ps  
Todelay data output delay time  
Measured at 50% point  
Tslew  
strobe/data rising/falling time  
0.7  
V/ns  
Averaged from 30% – 70% points  
4.11.22.2 Receive Timing  
Tstrobe  
USB_H_STROBE  
USB_H_DATA  
Thold  
Tsetup  
Figure 105. USB HSIC Receive Waveform  
1
Table 97. USB HSIC Receive Parameters  
Name  
Parameter  
Min  
Max  
Unit  
Comment  
Tstrobe strobe period  
4.166  
300  
365  
0.7  
4.167  
ns  
ps  
Thold  
Tsetup  
Tslew  
data hold time  
Measured at 50% point  
data setup time  
ps  
Measured at 50% point  
strobe/data rising/falling time  
2
V/ns  
Averaged from 30% – 70% points  
1
The timings in the table are guaranteed when:  
—AC I/O voltage is between 0.9x to 1x of the I/O supply  
—DDR_SEL configuration bits of the I/O are set to (10)b  
i.MX 6Dual/6Quad Automotive and Infotainment Applications Processors, Rev. 2.3  
Freescale Semiconductor  
137  
Electrical Characteristics  
4.11.23 USB PHY Parameters  
This section describes the USB-OTG PHY and the USB Host port PHY parameters.  
The USB PHY meets the electrical compliance requirements defined in the Universal Serial Bus Revision  
2.0 OTG, USB Host with the amendments below (On-The-Go and Embedded Host Supplement to the USB  
Revision 2.0 Specification is not applicable to Host port).  
USB ENGINEERING CHANGE NOTICE  
— Title: 5V Short Circuit Withstand Requirement Change  
— Applies to: Universal Serial Bus Specification, Revision 2.0  
Errata for USB Revision 2.0 April 27, 2000 as of 12/7/2000  
USB ENGINEERING CHANGE NOTICE  
— Title: Pull-up/Pull-down resistors  
— Applies to: Universal Serial Bus Specification, Revision 2.0  
USB ENGINEERING CHANGE NOTICE  
— Title: Suspend Current Limit Changes  
— Applies to: Universal Serial Bus Specification, Revision 2.0  
USB ENGINEERING CHANGE NOTICE  
— Title: USB 2.0 Phase Locked SOFs  
— Applies to: Universal Serial Bus Specification, Revision 2.0  
On-The-Go and Embedded Host Supplement to the USB Revision 2.0 Specification  
— Revision 2.0 plus errata and ecn June 4, 2010  
Battery Charging Specification (available from USB-IF)  
— Revision 1.2, December 7, 2010  
i.MX 6Dual/6Quad Automotive and Infotainment Applications Processors, Rev. 2.3  
138  
Freescale Semiconductor  
Boot Mode Configuration  
5 Boot Mode Configuration  
This section provides information on boot mode configuration pins allocation and boot devices interfaces  
allocation.  
5.1  
Boot Mode Configuration Pins  
Table 98 provides boot options, functionality, fuse values, and associated pins. Several input pins are also  
sampled at reset and can be used to override fuse values, depending on the value of BT_FUSE_SEL fuse.  
The boot option pins are in effect when BT_FUSE_SEL fuse is ‘0’ (cleared, which is the case for an  
unblown fuse). For detailed boot mode options configured by the boot mode pins, see the i.MX  
6Dual/6Quad Fuse Map document and the System Boot chapter of the i.MX 6Dual/6Quad reference  
manual (IMX6DQRM).  
Table 98. Fuses and Associated Pins Used for Boot  
Pin  
Direction at Reset  
eFuse Name  
Boot Mode Selection  
BOOT_MODE1  
BOOT_MODE0  
Input  
Input  
Boot Mode Selection  
Boot Mode Selection  
Boot Options1  
EIM_DA0  
EIM_DA1  
EIM_DA2  
EIM_DA3  
EIM_DA4  
EIM_DA5  
EIM_DA6  
EIM_DA7  
EIM_DA8  
EIM_DA9  
EIM_DA10  
EIM_DA11  
EIM_DA12  
EIM_DA13  
EIM_DA14  
EIM_DA15  
EIM_A16  
EIM_A17  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
BOOT_CFG1[0]  
BOOT_CFG1[1]  
BOOT_CFG1[2]  
BOOT_CFG1[3]  
BOOT_CFG1[4]  
BOOT_CFG1[5]  
BOOT_CFG1[6]  
BOOT_CFG1[7]  
BOOT_CFG2[0]  
BOOT_CFG2[1]  
BOOT_CFG2[2]  
BOOT_CFG2[3]  
BOOT_CFG2[4]  
BOOT_CFG2[5]  
BOOT_CFG2[6]  
BOOT_CFG2[7]  
BOOT_CFG3[0]  
BOOT_CFG3[1]  
i.MX 6Dual/6Quad Automotive and Infotainment Applications Processors, Rev. 2.3  
Freescale Semiconductor  
139  
Boot Mode Configuration  
Table 98. Fuses and Associated Pins Used for Boot (continued)  
Pin  
Direction at Reset  
eFuse Name  
EIM_A18  
EIM_A19  
EIM_A20  
EIM_A21  
EIM_A22  
EIM_A23  
EIM_A24  
EIM_WAIT  
EIM_LBA  
EIM_EB0  
EIM_EB1  
EIM_RW  
EIM_EB2  
EIM_EB3  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
BOOT_CFG3[2]  
BOOT_CFG3[3]  
BOOT_CFG3[4]  
BOOT_CFG3[5]  
BOOT_CFG3[6]  
BOOT_CFG3[7]  
BOOT_CFG4[0]  
BOOT_CFG4[1]  
BOOT_CFG4[2]  
BOOT_CFG4[3]  
BOOT_CFG4[4]  
BOOT_CFG4[5]  
BOOT_CFG4[6]  
BOOT_CFG4[7]  
1
Pin value overrides fuse settings for BT_FUSE_SEL = ‘0’. Signal Configuration as Fuse Override Input at Power  
Up. These are special I/O lines that control the boot up configuration during product development. In production,  
the boot configuration can be controlled by fuses.  
5.2  
Boot Devices Interfaces Allocation  
Table 99 lists the interfaces that can be used by the boot process in accordance with the specific boot  
mode configuration. The table also describes the interface’s specific modes and IOMUXC allocation,  
which are configured during boot when appropriate.  
Table 99. Interfaces Allocation During Boot  
Interface  
IP Instance  
Allocated Pads During Boot  
Comment  
SPI  
ECSPI-1  
EIM_D17, EIM_D18, EIM_D16, EIM_EB2, EIM_D19,  
EIM_D24, EIM_D25  
SPI  
SPI  
SPI  
SPI  
EIM  
ECSPI-2  
ECSPI-3  
ECSPI-4  
ECSPI-5  
EIM  
CSI0_DAT10, CSI0_DAT9, CSI0_DAT8, CSI0_DAT11,  
EIM_LBA, EIM_D24, EIM_D25  
DISP0_DAT2, DISP0_DAT1, DISP0_DAT0,  
DISP0_DAT3, DISP0_DAT4, DISP0_DAT5, DISP0_DAT6  
EIM_D22, EIM_D28, EIM_D21, EIM_D20, EIM_A25,  
EIM_D24, EIM_D25  
SD1_DAT0, SD1_CMD, SD1_CLK, SD1_DAT1,  
SD1_DAT2, SD1_DAT3, SD2_DAT3  
EIM_DA[15:0], EIM_D[31:16], CSI0_DAT[19:4],  
CSI0_DATA_EN, CSI0_VSYNC  
Used for NOR, OneNAND boot  
Only CS0 is supported  
i.MX 6Dual/6Quad Automotive and Infotainment Applications Processors, Rev. 2.3  
Freescale Semiconductor  
140  
Boot Mode Configuration  
Comment  
Table 99. Interfaces Allocation During Boot (continued)  
Allocated Pads During Boot  
Interface  
IP Instance  
NAND Flash  
GPMI  
NANDF_CLE, NANDF_ALE, NANDF_WP_B,  
SD4_CMD, SD4_CLK, NANDF_RB0, SD4_DAT0,  
NANDF_CS0, NANDF_CS1, NANDF_CS2,  
NANDF_CS3, NANDF_D[7:0]  
8 bit  
Only CS0 is supported  
SD/MMC  
SD/MMC  
SD/MMC  
SD/MMC  
USDHC-1  
USDHC-2  
USDHC-3  
USDHC-4  
SD1_CLK, SD1_CMD,SD1_DAT0, SD1_DAT1,  
SD1_DAT2, SD1_DAT3, NANDF_D0, NANDF_D1,  
NANDF_D2, NANDF_D3, KEY_COL1  
1, 4, or 8 bit  
SD2_CLK, SD2_CMD, SD2_DAT0, SD2_DAT1,  
SD2_DAT2, SD2_DAT3, NANDF_D4, NANDF_D5,  
NANDF_D6, NANDF_D7, KEY_ROW1  
1, 4, or 8 bit  
SD3_CLK, SD3_CMD, SD3_DAT0, SD3_DAT1,  
SD3_DAT2, SD3_DAT3, SD3_DAT4, SD3_DAT5,  
SD3_DAT6, SD3_DAT7, GPIO_18  
1, 4, or 8 bit  
SD4_CLK, SD4_CMD, SD4_DAT0, SD4_DAT1,  
SD4_DAT2, SD4_DAT3, SD4_DAT4, SD4_DAT5,  
SD4_DAT6, SD4_DAT7, NANDF_CS1  
1, 4, or 8 bit  
I2C  
I2C  
I2C-1  
I2C-2  
I2C-3  
EIM_D28, EIM_D21  
EIM_D16, EIM_EB2  
EIM_D18, EIM_D17  
I2C  
SATA  
SATA_PHY SATA_TXM, SATA_TXP, SATA_RXP, SATA_RXM,  
SATA_REXT  
USB  
USB-OTG  
PHY  
USB_OTG_DP  
USB_OTG_DN  
USB_OTG_VBUS  
i.MX 6Dual/6Quad Automotive and Infotainment Applications Processors, Rev. 2.3  
Freescale Semiconductor  
141  
Package Information and Contact Assignments  
6 Package Information and Contact Assignments  
This section includes the contact assignment information and mechanical package drawing.  
6.1  
Updated Signal Naming Convention  
The signal names of the i.MX6 series of products have been standardized to better align the signal names  
within the family and across the documentation. Some of the benefits of these changes are as follows:  
The names are unique within the scope of an SoC and within the series of products  
Searches will return all occurrences of the named signal  
The names are consistent between i.MX 6 series products implementing the same modules  
The module instance is incorporated into the signal name  
This change applies only to signal names. The original ball names have been preserved to prevent the need  
to change schematics, BSDL models, IBIS models, etc.  
Throughout this document, the updated signal names are used except where referenced as a ball name  
(such as the Functional Contact Assignments table, Ball Map table, and so on). A master list of the signal  
name changes is in the document, IMX 6 Series Signal Name Mapping (EB792). This list can be used to  
map the signal names used in older documentation to the new standardized naming conventions.  
6.2  
21 x 21 mm Package Information  
6.2.1  
Case FCPBGA, 21 x 21 mm, 0.8 mm Pitch, 25 x 25 Ball Matrix  
i.MX 6Dual/6Quad Automotive and Infotainment Applications Processors, Rev. 2.3  
142  
Freescale Semiconductor  
Package Information and Contact Assignments  
6.2.1.1  
21 x 21 mm Lidded Package  
Figure 106 shows the top, bottom, and side views of the 21 21 mm lidded package.  
i.MX 6Dual/6Quad Automotive and Infotainment Applications Processors, Rev. 2.3  
Freescale Semiconductor  
143  
Package Information and Contact Assignments  
Figure 106. 21 x 21 mm Lidded Package Top, Bottom, and Side Views  
i.MX 6Dual/6Quad Automotive and Infotainment Applications Processors, Rev. 2.3  
Freescale Semiconductor  
144  
Package Information and Contact Assignments  
6.2.2  
21 x 21 mm Ground, Power, Sense, and Reference Contact  
Assignments  
Table 100 shows the device connection list for ground, power, sense, and reference contact signals.  
Table 100. 21 x 21 mm Supplies Contact Assignment  
Supply Rail Name  
Ball(s) Position(s)  
Remark  
CSI_REXT  
DRAM_VREF  
DSI_REXT  
FA_ANA  
D4  
AC2  
G4  
A5  
GND  
A13, A25, A4, A8, AA10, AA13, AA16, AA19, AA22, AD4, D3,  
F8, J15, L10, M15, P15, T15, U8, W17, AA7, AD7, D6, G10,  
J18, L12, M18, P18, T17, V19, W18, AB24, AE1, D8, G19, J2,  
L15, M8, P8, T19, V8, W19, AB3, AE25, E5, G3, J8, L18, N10,  
R12, T8, W10, W3, AD10, B4, E6, H12, K10, L2, N15, R15,  
U11, W11, W7, AD13, C1, E7, H15, K12, L5, N18, R17, U12,  
W12, W8, AD16, C10, F5, H18, K15, L8, N8, R8, U15, W13,  
W9, AD19, C4, F6, H8, K18, M10, P10, T11, U17, W15, Y24,  
AD22, C6, F7, J12, K8, M12, P12, T12, U19, W16, Y5  
GPANAIO  
C8  
K2  
HDMI_DDCCEC  
Analog ground reference for the Hot  
Plug detect signal  
HDMI_REF  
HDMI_VP  
J1  
L7  
HDMI_VPH  
NVCC_CSI  
NVCC_DRAM  
M7  
N7  
Supply of the camera sensor interface  
Supply of the DDR interface  
R18, T18, U18, V10, V11, V12, V13,  
V14, V15, V16, V17, V18, V9  
NVCC_EIM0  
NVCC_EIM1  
NVCC_EIM2  
NVCC_ENET  
NVCC_GPIO  
NVCC_JTAG  
K19  
L19  
M19  
R19  
P7  
Supply of the EIM interface  
Supply of the EIM interface  
Supply of the EIM interface  
Supply of the ENET interface  
Supply of the GPIO interface  
J7  
Supply of the JTAG tap controller  
interface  
NVCC_LCD  
P19  
V7  
Supply of the LCD interface  
NVCC_LVDS2P5  
Supply of the LVDS display interface  
and DDR pre-drivers. Even if the LVDS  
interface is not used, this supply must  
remain powered.  
NVCC_MIPI  
K7  
Supply of the MIPI interface  
i.MX 6Dual/6Quad Automotive and Infotainment Applications Processors, Rev. 2.3  
Freescale Semiconductor  
145  
Package Information and Contact Assignments  
Table 100. 21 x 21 mm Supplies Contact Assignment (continued)  
Supply Rail Name  
Ball(s) Position(s)  
Remark  
NVCC_NANDF  
G15  
Supply of the RAW NAND Flash  
Memories interface  
NVCC_PLL_OUT  
NVCC_RGMII  
NVCC_SD1  
E8  
G18  
G16  
G17  
G14  
H7  
Supply of the ENET interface  
Supply of the SD card interface  
NVCC_SD2  
Supply of the SD card interface  
NVCC_SD3  
Supply of the SD card interface  
PCIE_VP  
PCIE_REXT  
PCIE_VPH  
A2  
G7  
PCI PHY supply  
PCIE_VPTX  
SATA_REXT  
SATA_VP  
G8  
PCI PHY supply  
C14  
G13  
G12  
D10  
E9  
SATA_VPH  
USB_H1_VBUS  
USB_OTG_VBUS  
VDD_CACHE_CAP  
N12  
Cache supply input. This input should  
be connected to (driven by)  
VDD_SOC_CAP. The external  
capacitor used for VDD_SOC_CAP is  
sufficient for this supply.  
VDD_FA  
B5  
G9  
VDD_SNVS_CAP  
Secondary supply for the SNVS  
(internal regulator output—requires  
capacitor if internal regulator is used)  
VDD_SNVS_IN  
VDDARM_CAP  
G11  
Primary supply for the SNVS regulator  
H13, J13, K13, L13, M13, N13, P13, R13  
Secondary supply for the ARM0 and  
ARM1 cores (internal regulator  
output—requires capacitor if internal  
regulator is used)  
VDDARM_IN  
H14, J14, K14, L14, M14, N14, P14, R14  
H11, J11, K11, L11, M11, N11, P11, R11  
Primary supply for the ARM0 and  
ARM1 core regulator  
VDDARM23_CAP  
Secondary supply for the ARM2 and  
ARM3 cores (internal regulator  
output—requires capacitor if internal  
regulator is used)  
VDDARM23_IN  
K9, L9, M9, N9, P9, R9, T9, U9  
Primary supply for the ARM2 and  
ARM3 core regulator  
i.MX 6Dual/6Quad Automotive and Infotainment Applications Processors, Rev. 2.3  
Freescale Semiconductor  
146  
Package Information and Contact Assignments  
Table 100. 21 x 21 mm Supplies Contact Assignment (continued)  
Supply Rail Name  
Ball(s) Position(s)  
Remark  
VDDHIGH_CAP  
H10, J10  
Secondary supply for the 2.5 V domain  
(internal regulator output—requires  
capacitor if internal regulator is used)  
VDDHIGH_IN  
VDDPU_CAP  
H9, J9  
Primary supply for the 2.5 V regulator  
H17, J17, K17, L17, M17, N17, P17  
Secondary supply for the VPU and  
GPU (internal regulator output—  
requires capacitor if internal regulator  
is used)  
VDDSOC_CAP  
R10, T10, T13, T14, U10, U13, U14  
Secondary supply for the SoC and PU  
(internal regulator output—requires  
capacitor if internal regulator is used)  
VDDSOC_IN  
H16, J16, K16, L16, M16, N16, P16, R16, T16, U16  
F9  
Primary supply for the SoC and PU  
regulators  
VDDUSB_CAP  
Secondary supply for the 3 V domain  
(internal regulator output—requires  
capacitor if internal regulator is used)  
ZQPAD  
AE17  
Table 101 displays an alpha-sorted list of the signal assignments including power rails. The table also  
includes out of reset pad state.  
Table 101. 21 x 21 mm Functional Contact Assignments  
Out of Reset Condition1  
Default  
Ball Name  
Ball  
Power Group Ball Type  
Mode  
(Reset  
Mode)  
Default Function  
(Signal Name)  
Input/Output  
Value2  
BOOT_MODE0  
BOOT_MODE1  
CLK1_N  
C12  
F12  
VDD_SNVS_IN  
VDD_SNVS_IN  
GPIO  
GPIO  
ALT0  
ALT0  
SRC_BOOT_MODE0  
SRC_BOOT_MODE1  
CLK1_N  
Input  
Input  
PD (100K)  
PD (100K)  
C7 VDD_HIGH_CAP  
D7 VDD_HIGH_CAP  
C5 VDD_HIGH_CAP  
D5 VDD_HIGH_CAP  
CLK1_P  
CLK1_P  
CLK2_N  
CLK2_N  
CLK2_P  
CLK2_P  
CSI_CLK0M  
CSI_CLK0P  
CSI_D0M  
CSI_D0P  
F4  
F3  
E4  
E3  
D1  
D2  
E1  
NVCC_MIPI  
NVCC_MIPI  
NVCC_MIPI  
NVCC_MIPI  
NVCC_MIPI  
NVCC_MIPI  
NVCC_MIPI  
CSI_CLK_N  
CSI_CLK_P  
CSI_DATA0_N  
CSI_DATA0_P  
CSI_DATA1_N  
CSI_DATA1_P  
CSI_DATA2_N  
CSI_D1M  
CSI_D1P  
CSI_D2M  
i.MX 6Dual/6Quad Automotive and Infotainment Applications Processors, Rev. 2.3  
Freescale Semiconductor  
147  
Package Information and Contact Assignments  
Table 101. 21 x 21 mm Functional Contact Assignments (continued)  
Out of Reset Condition1  
Default  
Mode  
(Reset  
Mode)  
Ball Name  
Ball  
Power Group Ball Type  
Default Function  
(Signal Name)  
Input/Output  
Value2  
CSI_D2P  
CSI_D3M  
E2  
F2  
NVCC_MIPI  
NVCC_MIPI  
NVCC_MIPI  
NVCC_CSI  
NVCC_CSI  
NVCC_CSI  
NVCC_CSI  
NVCC_CSI  
NVCC_CSI  
NVCC_CSI  
NVCC_CSI  
NVCC_CSI  
NVCC_CSI  
NVCC_CSI  
NVCC_CSI  
NVCC_CSI  
NVCC_CSI  
NVCC_CSI  
NVCC_CSI  
NVCC_CSI  
NVCC_CSI  
NVCC_CSI  
NVCC_CSI  
NVCC_LCD  
NVCC_LCD  
NVCC_LCD  
NVCC_LCD  
NVCC_LCD  
NVCC_LCD  
NVCC_LCD  
NVCC_LCD  
NVCC_LCD  
NVCC_LCD  
NVCC_LCD  
NVCC_LCD  
NVCC_LCD  
CSI_DATA2_P  
CSI_DATA3_N  
CSI_D3P  
F1  
CSI_DATA3_P  
CSI0_DAT10  
CSI0_DAT11  
CSI0_DAT12  
CSI0_DAT13  
CSI0_DAT14  
CSI0_DAT15  
CSI0_DAT16  
CSI0_DAT17  
CSI0_DAT18  
CSI0_DAT19  
CSI0_DAT4  
CSI0_DAT5  
CSI0_DAT6  
CSI0_DAT7  
CSI0_DAT8  
CSI0_DAT9  
CSI0_DATA_EN  
CSI0_MCLK  
CSI0_PIXCLK  
CSI0_VSYNC  
DI0_DISP_CLK  
DI0_PIN15  
M1  
M3  
M2  
L1  
GPIO  
GPIO  
GPIO  
GPIO  
GPIO  
GPIO  
GPIO  
GPIO  
GPIO  
GPIO  
GPIO  
GPIO  
GPIO  
GPIO  
GPIO  
GPIO  
GPIO  
GPIO  
GPIO  
GPIO  
GPIO  
GPIO  
GPIO  
GPIO  
GPIO  
GPIO  
GPIO  
GPIO  
GPIO  
GPIO  
GPIO  
GPIO  
GPIO  
ALT5  
ALT5  
ALT5  
ALT5  
ALT5  
ALT5  
ALT5  
ALT5  
ALT5  
ALT5  
ALT5  
ALT5  
ALT5  
ALT5  
ALT5  
ALT5  
ALT5  
ALT5  
ALT5  
ALT5  
ALT5  
ALT5  
ALT5  
ALT5  
ALT5  
ALT5  
ALT5  
ALT5  
ALT5  
ALT5  
ALT5  
ALT5  
ALT5  
IPU1_CSI0_DATA10  
IPU1_CSI0_DATA11  
IPU1_CSI0_DATA12  
IPU1_CSI0_DATA13  
IPU1_CSI0_DATA14  
IPU1_CSI0_DATA15  
IPU1_CSI0_DATA16  
IPU1_CSI0_DATA17  
IPU1_CSI0_DATA18  
IPU1_CSI0_DATA19  
IPU1_CSI0_DATA04  
IPU1_CSI0_DATA05  
IPU1_CSI0_DATA06  
IPU1_CSI0_DATA07  
IPU1_CSI0_DATA08  
IPU1_CSI0_DATA09  
IPU1_CSI0_DATA_EN  
IPU1_CSI0_HSYNC  
IPU1_CSI0_PIXCLK  
IPU1_CSI0_VSYNC  
IPU1_DI0_DISP_CLK  
IPU1_DI0_PIN15  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
PU (100K)  
PU (100K)  
PU (100K)  
PU (100K)  
PU (100K)  
PU (100K)  
PU (100K)  
PU (100K)  
PU (100K)  
PU (100K)  
PU (100K)  
PU (100K)  
PU (100K)  
PU (100K)  
PU (100K)  
PU (100K)  
PU (100K)  
PU (100K)  
PU (100K)  
PU (100K)  
PU (100K)  
PU (100K)  
PU (100K)  
PU (100K)  
PU (100K)  
PU (100K)  
PU (100K)  
PU (100K)  
PU (100K)  
PU (100K)  
PU (100K)  
PU (100K)  
PU (100K)  
M4  
M5  
L4  
L3  
M6  
L6  
N1  
P2  
N4  
N3  
N6  
N5  
P3  
P4  
P1  
N2  
N19  
N21  
N25  
N20  
P25  
P24  
P22  
R21  
T23  
T24  
R20  
U25  
T22  
DI0_PIN2  
IPU1_DI0_PIN02  
DI0_PIN3  
IPU1_DI0_PIN03  
DI0_PIN4  
IPU1_DI0_PIN04  
DISP0_DAT0  
DISP0_DAT1  
DISP0_DAT10  
DISP0_DAT11  
DISP0_DAT12  
DISP0_DAT13  
DISP0_DAT14  
DISP0_DAT15  
IPU1_DISP0_DATA00  
IPU1_DISP0_DATA01  
IPU1_DISP0_DATA10  
IPU1_DISP0_DATA11  
IPU1_DISP0_DATA12  
IPU1_DISP0_DATA13  
IPU1_DISP0_DATA14  
IPU1_DISP0_DATA15  
i.MX 6Dual/6Quad Automotive and Infotainment Applications Processors, Rev. 2.3  
Freescale Semiconductor  
148  
Package Information and Contact Assignments  
Table 101. 21 x 21 mm Functional Contact Assignments (continued)  
Out of Reset Condition1  
Default  
Mode  
(Reset  
Mode)  
Ball Name  
Ball  
Power Group Ball Type  
Default Function  
(Signal Name)  
Input/Output  
Value2  
DISP0_DAT16  
DISP0_DAT17  
DISP0_DAT18  
DISP0_DAT19  
DISP0_DAT2  
DISP0_DAT20  
DISP0_DAT21  
DISP0_DAT22  
DISP0_DAT23  
DISP0_DAT3  
DISP0_DAT4  
DISP0_DAT5  
DISP0_DAT6  
DISP0_DAT7  
DISP0_DAT8  
DISP0_DAT9  
DRAM_A0  
T21  
U24  
NVCC_LCD  
NVCC_LCD  
NVCC_LCD  
NVCC_LCD  
NVCC_LCD  
NVCC_LCD  
NVCC_LCD  
NVCC_LCD  
NVCC_LCD  
NVCC_LCD  
NVCC_LCD  
NVCC_LCD  
NVCC_LCD  
NVCC_LCD  
NVCC_LCD  
NVCC_LCD  
NVCC_DRAM  
NVCC_DRAM  
NVCC_DRAM  
NVCC_DRAM  
NVCC_DRAM  
NVCC_DRAM  
NVCC_DRAM  
NVCC_DRAM  
NVCC_DRAM  
NVCC_DRAM  
NVCC_DRAM  
NVCC_DRAM  
NVCC_DRAM  
NVCC_DRAM  
NVCC_DRAM  
NVCC_DRAM  
NVCC_DRAM  
NVCC_DRAM  
NVCC_DRAM  
NVCC_DRAM  
GPIO  
GPIO  
GPIO  
GPIO  
GPIO  
GPIO  
GPIO  
GPIO  
GPIO  
GPIO  
GPIO  
GPIO  
GPIO  
GPIO  
GPIO  
GPIO  
DDR  
DDR  
DDR  
DDR  
DDR  
DDR  
DDR  
DDR  
DDR  
DDR  
DDR  
DDR  
DDR  
DDR  
DDR  
DDR  
DDR  
DDR  
DDR  
DDR  
ALT5  
ALT5  
ALT5  
ALT5  
ALT5  
ALT5  
ALT5  
ALT5  
ALT5  
ALT5  
ALT5  
ALT5  
ALT5  
ALT5  
ALT5  
ALT5  
ALT0  
ALT0  
ALT0  
ALT0  
ALT0  
ALT0  
ALT0  
ALT0  
ALT0  
ALT0  
ALT0  
ALT0  
ALT0  
ALT0  
ALT0  
ALT0  
ALT0  
ALT0  
ALT0  
ALT0  
IPU1_DISP0_DATA16  
IPU1_DISP0_DATA17  
IPU1_DISP0_DATA18  
IPU1_DISP0_DATA19  
IPU1_DISP0_DATA02  
IPU1_DISP0_DATA20  
IPU1_DISP0_DATA21  
IPU1_DISP0_DATA22  
IPU1_DISP0_DATA23  
IPU1_DISP0_DATA03  
IPU1_DISP0_DATA04  
IPU1_DISP0_DATA05  
IPU1_DISP0_DATA06  
IPU1_DISP0_DATA07  
IPU1_DISP0_DATA08  
IPU1_DISP0_DATA09  
DRAM_ADDR00  
Input  
Input  
PU (100K)  
PU (100K)  
V25  
Input  
PU (100K)  
U23  
Input  
PU (100K)  
P23  
Input  
PU (100K)  
U22  
Input  
PU (100K)  
T20  
Input  
PU (100K)  
V24  
Input  
PU (100K)  
W24  
P21  
Input  
PU (100K)  
Input  
PU (100K)  
P20  
Input  
PU (100K)  
R25  
Input  
PU (100K)  
R23  
Input  
PU (100K)  
R24  
Input  
PU (100K)  
R22  
Input  
PU (100K)  
T25  
Input  
PU (100K)  
AC14  
AB14  
AA15  
AC12  
AD12  
AC17  
AA12  
Y12  
Output  
Output  
Output  
Output  
Output  
Output  
Output  
Output  
Output  
Output  
Output  
Output  
Output  
Output  
Output  
Output  
Output  
Output  
Output  
Input  
0
DRAM_A1  
DRAM_ADDR01  
0
DRAM_A10  
DRAM_A11  
DRAM_A12  
DRAM_A13  
DRAM_A14  
DRAM_A15  
DRAM_A2  
DRAM_ADDR10  
0
DRAM_ADDR11  
0
DRAM_ADDR12  
0
DRAM_ADDR13  
0
DRAM_ADDR14  
0
DRAM_ADDR15  
0
AA14  
Y14  
DRAM_ADDR02  
0
DRAM_A3  
DRAM_ADDR03  
0
DRAM_A4  
W14  
AE13  
AC13  
Y13  
DRAM_ADDR04  
0
DRAM_A5  
DRAM_ADDR05  
0
DRAM_A6  
DRAM_ADDR06  
0
DRAM_A7  
DRAM_ADDR07  
0
DRAM_A8  
AB13  
AE12  
AE16  
Y16  
DRAM_ADDR08  
0
DRAM_A9  
DRAM_ADDR09  
0
DRAM_CAS  
DRAM_CS0  
DRAM_CS1  
DRAM_D0  
DRAM_CAS_B  
0
DRAM_CS0_B  
0
0
AD17  
AD2  
DRAM_CS1_B  
DRAM_DATA00  
PU (100K)  
i.MX 6Dual/6Quad Automotive and Infotainment Applications Processors, Rev. 2.3  
Freescale Semiconductor  
149  
Package Information and Contact Assignments  
Table 101. 21 x 21 mm Functional Contact Assignments (continued)  
Out of Reset Condition1  
Default  
Mode  
(Reset  
Mode)  
Ball Name  
Ball  
Power Group Ball Type  
Default Function  
(Signal Name)  
Input/Output  
Value2  
DRAM_D1  
DRAM_D10  
DRAM_D11  
DRAM_D12  
DRAM_D13  
DRAM_D14  
DRAM_D15  
DRAM_D16  
DRAM_D17  
DRAM_D18  
DRAM_D19  
DRAM_D2  
AE2  
AA6  
AE7  
AB5  
AC5  
AB6  
AC7  
AB7  
AA8  
AB9  
Y9  
NVCC_DRAM  
NVCC_DRAM  
NVCC_DRAM  
NVCC_DRAM  
NVCC_DRAM  
NVCC_DRAM  
NVCC_DRAM  
NVCC_DRAM  
NVCC_DRAM  
NVCC_DRAM  
NVCC_DRAM  
NVCC_DRAM  
NVCC_DRAM  
NVCC_DRAM  
NVCC_DRAM  
NVCC_DRAM  
NVCC_DRAM  
NVCC_DRAM  
NVCC_DRAM  
NVCC_DRAM  
NVCC_DRAM  
NVCC_DRAM  
NVCC_DRAM  
NVCC_DRAM  
NVCC_DRAM  
NVCC_DRAM  
NVCC_DRAM  
NVCC_DRAM  
NVCC_DRAM  
NVCC_DRAM  
NVCC_DRAM  
NVCC_DRAM  
NVCC_DRAM  
NVCC_DRAM  
NVCC_DRAM  
NVCC_DRAM  
DDR  
DDR  
DDR  
DDR  
DDR  
DDR  
DDR  
DDR  
DDR  
DDR  
DDR  
DDR  
DDR  
DDR  
DDR  
DDR  
DDR  
DDR  
DDR  
DDR  
DDR  
DDR  
DDR  
DDR  
DDR  
DDR  
DDR  
DDR  
DDR  
DDR  
DDR  
DDR  
DDR  
DDR  
DDR  
DDR  
ALT0  
ALT0  
ALT0  
ALT0  
ALT0  
ALT0  
ALT0  
ALT0  
ALT0  
ALT0  
ALT0  
ALT0  
ALT0  
ALT0  
ALT0  
ALT0  
ALT0  
ALT0  
ALT0  
ALT0  
ALT0  
ALT0  
ALT0  
ALT0  
ALT0  
ALT0  
ALT0  
ALT0  
ALT0  
ALT0  
ALT0  
ALT0  
ALT0  
ALT0  
ALT0  
ALT0  
DRAM_DATA01  
DRAM_DATA10  
DRAM_DATA11  
DRAM_DATA12  
DRAM_DATA13  
DRAM_DATA14  
DRAM_DATA15  
DRAM_DATA16  
DRAM_DATA17  
DRAM_DATA18  
DRAM_DATA19  
DRAM_DATA02  
DRAM_DATA20  
DRAM_DATA21  
DRAM_DATA22  
DRAM_DATA23  
DRAM_DATA24  
DRAM_DATA25  
DRAM_DATA26  
DRAM_DATA27  
DRAM_DATA28  
DRAM_DATA29  
DRAM_DATA03  
DRAM_DATA30  
DRAM_DATA31  
DRAM_DATA32  
DRAM_DATA33  
DRAM_DATA34  
DRAM_DATA35  
DRAM_DATA36  
DRAM_DATA37  
DRAM_DATA38  
DRAM_DATA39  
DRAM_DATA04  
DRAM_DATA40  
DRAM_DATA41  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
PU (100K)  
PU (100K)  
PU (100K)  
PU (100K)  
PU (100K)  
PU (100K)  
PU (100K)  
PU (100K)  
PU (100K)  
PU (100K)  
PU (100K)  
PU (100K)  
PU (100K)  
PU (100K)  
PU (100K)  
PU (100K)  
PU (100K)  
PU (100K)  
PU (100K)  
PU (100K)  
PU (100K)  
PU (100K)  
PU (100K)  
PU (100K)  
PU (100K)  
PU (100K)  
PU (100K)  
PU (100K)  
PU (100K)  
PU (100K)  
PU (100K)  
PU (100K)  
PU (100K)  
PU (100K)  
PU (100K)  
PU (100K)  
AC4  
Y7  
DRAM_D20  
DRAM_D21  
DRAM_D22  
DRAM_D23  
DRAM_D24  
DRAM_D25  
DRAM_D26  
DRAM_D27  
DRAM_D28  
DRAM_D29  
DRAM_D3  
Y8  
AC8  
AA9  
AE9  
Y10  
AE11  
AB11  
AC9  
AD9  
AA5  
AD11  
AC11  
AA17  
AA18  
AC18  
AE19  
Y17  
DRAM_D30  
DRAM_D31  
DRAM_D32  
DRAM_D33  
DRAM_D34  
DRAM_D35  
DRAM_D36  
DRAM_D37  
DRAM_D38  
DRAM_D39  
DRAM_D4  
Y18  
AB19  
AC19  
AC1  
Y19  
DRAM_D40  
DRAM_D41  
AB20  
i.MX 6Dual/6Quad Automotive and Infotainment Applications Processors, Rev. 2.3  
Freescale Semiconductor  
150  
Package Information and Contact Assignments  
Table 101. 21 x 21 mm Functional Contact Assignments (continued)  
Out of Reset Condition1  
Default  
Mode  
(Reset  
Mode)  
Ball Name  
Ball  
Power Group Ball Type  
Default Function  
(Signal Name)  
Input/Output  
Value2  
DRAM_D42  
DRAM_D43  
DRAM_D44  
DRAM_D45  
DRAM_D46  
DRAM_D47  
DRAM_D48  
DRAM_D49  
DRAM_D5  
AB21  
AD21  
Y20  
NVCC_DRAM  
NVCC_DRAM  
NVCC_DRAM  
NVCC_DRAM  
NVCC_DRAM  
NVCC_DRAM  
NVCC_DRAM  
NVCC_DRAM  
NVCC_DRAM  
NVCC_DRAM  
NVCC_DRAM  
NVCC_DRAM  
NVCC_DRAM  
NVCC_DRAM  
NVCC_DRAM  
NVCC_DRAM  
NVCC_DRAM  
NVCC_DRAM  
NVCC_DRAM  
NVCC_DRAM  
NVCC_DRAM  
NVCC_DRAM  
NVCC_DRAM  
NVCC_DRAM  
NVCC_DRAM  
NVCC_DRAM  
NVCC_DRAM  
NVCC_DRAM  
NVCC_DRAM  
NVCC_DRAM  
NVCC_DRAM  
NVCC_DRAM  
NVCC_DRAM  
NVCC_DRAM  
NVCC_DRAM  
NVCC_DRAM  
DDR  
DDR  
DDR  
DDR  
DDR  
DDR  
DDR  
DDR  
DDR  
DDR  
DDR  
DDR  
DDR  
DDR  
DDR  
DDR  
DDR  
DDR  
DDR  
DDR  
DDR  
DDR  
DDR  
DDR  
DDR  
DDR  
DDR  
DDR  
DDR  
DDR  
DDR  
DDR  
DDR  
DDR  
DDR  
DDR  
ALT0  
ALT0  
ALT0  
ALT0  
ALT0  
ALT0  
ALT0  
ALT0  
ALT0  
ALT0  
ALT0  
ALT0  
ALT0  
ALT0  
ALT0  
ALT0  
ALT0  
ALT0  
ALT0  
ALT0  
ALT0  
ALT0  
ALT0  
ALT0  
ALT0  
ALT0  
ALT0  
ALT0  
ALT0  
ALT0  
ALT0  
ALT0  
ALT0  
ALT0  
ALT0  
ALT0  
DRAM_DATA42  
DRAM_DATA43  
DRAM_DATA44  
DRAM_DATA45  
DRAM_DATA46  
DRAM_DATA47  
DRAM_DATA48  
DRAM_DATA49  
DRAM_DATA05  
DRAM_DATA50  
DRAM_DATA51  
DRAM_DATA52  
DRAM_DATA53  
DRAM_DATA54  
DRAM_DATA55  
DRAM_DATA56  
DRAM_DATA57  
DRAM_DATA58  
DRAM_DATA59  
DRAM_DATA06  
DRAM_DATA60  
DRAM_DATA61  
DRAM_DATA62  
DRAM_DATA63  
DRAM_DATA07  
DRAM_DATA08  
DRAM_DATA09  
DRAM_DQM0  
DRAM_DQM1  
DRAM_DQM2  
DRAM_DQM3  
DRAM_DQM4  
DRAM_DQM5  
DRAM_DQM6  
DRAM_DQM7  
DRAM_RAS_B  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
Output  
Output  
Output  
Output  
Output  
Output  
Output  
Output  
Output  
PU (100K)  
PU (100K)  
PU (100K)  
PU (100K)  
PU (100K)  
PU (100K)  
PU (100K)  
PU (100K)  
PU (100K)  
PU (100K)  
PU (100K)  
PU (100K)  
PU (100K)  
PU (100K)  
PU (100K)  
PU (100K)  
PU (100K)  
PU (100K)  
PU (100K)  
PU (100K)  
PU (100K)  
PU (100K)  
PU (100K)  
PU (100K)  
PU (100K)  
PU (100K)  
PU (100K)  
0
AA20  
AE21  
AC21  
AC22  
AE22  
AD1  
DRAM_D50  
DRAM_D51  
DRAM_D52  
DRAM_D53  
DRAM_D54  
DRAM_D55  
DRAM_D56  
DRAM_D57  
DRAM_D58  
DRAM_D59  
DRAM_D6  
AE24  
AC24  
AB22  
AC23  
AD25  
AC25  
AB25  
AA21  
Y25  
Y22  
AB4  
DRAM_D60  
DRAM_D61  
DRAM_D62  
DRAM_D63  
DRAM_D7  
AB23  
AA23  
Y23  
W25  
AE4  
DRAM_D8  
AD5  
DRAM_D9  
AE5  
DRAM_DQM0  
DRAM_DQM1  
DRAM_DQM2  
DRAM_DQM3  
DRAM_DQM4  
DRAM_DQM5  
DRAM_DQM6  
DRAM_DQM7  
DRAM_RAS  
AC3  
AC6  
0
AB8  
0
AE10  
AB18  
AC20  
AD24  
Y21  
0
0
0
0
0
AB15  
0
i.MX 6Dual/6Quad Automotive and Infotainment Applications Processors, Rev. 2.3  
Freescale Semiconductor  
151  
Package Information and Contact Assignments  
Table 101. 21 x 21 mm Functional Contact Assignments (continued)  
Out of Reset Condition1  
Default  
Mode  
(Reset  
Mode)  
Ball Name  
Ball  
Power Group Ball Type  
Default Function  
(Signal Name)  
Input/Output  
Value2  
DRAM_RESET  
DRAM_SDBA0  
DRAM_SDBA1  
DRAM_SDBA2  
DRAM_SDCKE0  
DRAM_SDCKE1  
Y6  
NVCC_DRAM  
NVCC_DRAM  
NVCC_DRAM  
NVCC_DRAM  
NVCC_DRAM  
NVCC_DRAM  
NVCC_DRAM  
NVCC_DRAM  
NVCC_DRAM  
NVCC_DRAM  
NVCC_DRAM  
NVCC_DRAM  
NVCC_DRAM  
NVCC_DRAM  
NVCC_DRAM  
NVCC_DRAM  
NVCC_DRAM  
NVCC_DRAM  
NVCC_DRAM  
NVCC_DRAM  
NVCC_DRAM  
NVCC_DRAM  
NVCC_DRAM  
NVCC_DRAM  
NVCC_DRAM  
NVCC_DRAM  
NVCC_DRAM  
NVCC_DRAM  
NVCC_DRAM  
NVCC_MIPI  
DDR  
DDR  
DDR  
DDR  
DDR  
DDR  
ALT0  
ALT0  
ALT0  
ALT0  
ALT0  
ALT0  
DRAM_RESET  
DRAM_SDBA0  
Output  
Output  
Output  
Output  
Output  
Output  
Input  
0
0
AC15  
Y15  
DRAM_SDBA1  
0
AB12  
Y11  
DRAM_SDBA2  
0
DRAM_SDCKE0  
DRAM_SDCKE1  
DRAM_SDCLK0_P  
DRAM_SDCLK0_N  
DRAM_SDCLK1_P  
DRAM_SDCLK1_N  
DRAM_ODT0  
0
AA11  
0
DRAM_SDCLK_0 AD15  
DRAM_SDCLK_0_B AE15  
DRAM_SDCLK_1 AD14  
DRAM_SDCLK_1_B AE14  
DDRCLK ALT0  
DDRCLK  
DDRCLK ALT0  
Hi-Z  
Input  
Hi-Z  
DDRCLK  
DDR  
DRAM_SDODT0  
DRAM_SDODT1  
DRAM_SDQS0  
DRAM_SDQS0_B  
DRAM_SDQS1  
DRAM_SDQS1_B  
DRAM_SDQS2  
DRAM_SDQS2_B  
DRAM_SDQS3  
AC16  
AB17  
AE3  
ALT0  
ALT0  
Output  
Output  
Input  
0
DDR  
DRAM_ODT1  
0
DDRCLK ALT0  
DDRCLK  
DDRCLK ALT0  
DDRCLK  
DDRCLK ALT0  
DDRCLK  
DDRCLK ALT0  
DDRCLK  
DDRCLK ALT0  
DDRCLK  
DDRCLK ALT0  
DDRCLK  
DDRCLK ALT0  
DDRCLK  
DDRCLK ALT0  
DRAM_SDQS0_P  
DRAM_SDQS0_N  
DRAM_SDQS1_P  
DRAM_SDQS1_N  
DRAM_SDQS2_P  
DRAM_SDQS2_N  
DRAM_SDQS3_P  
DRAM_SDQS3_N  
DRAM_SDQS4_P  
DRAM_SDQS4_N  
DRAM_SDQS5_P  
DRAM_SDQS5_N  
DRAM_SDQS6_P  
DRAM_SDQS6_N  
DRAM_SDQS7_P  
DRAM_SDQS7_N  
DRAM_SDWE_B  
DSI_CLK_N  
Hi-Z  
AD3  
AD6  
AE6  
Input  
Hi-Z  
AD8  
AE8  
Input  
Hi-Z  
AC10  
Input  
Hi-Z  
DRAM_SDQS3_B AB10  
DRAM_SDQS4 AD18  
DRAM_SDQS4_B AE18  
DRAM_SDQS5 AD20  
DRAM_SDQS5_B AE20  
DRAM_SDQS6 AD23  
DRAM_SDQS6_B AE23  
DRAM_SDQS7 AA25  
DRAM_SDQS7_B AA24  
Input  
Hi-Z  
Input  
Hi-Z  
Input  
Hi-Z  
Input  
Hi-Z  
DDRCLK  
DDR  
ALT0  
DRAM_SDWE  
DSI_CLK0M  
DSI_CLK0P  
DSI_D0M  
AB16  
H3  
Output  
0
H4  
NVCC_MIPI  
DSI_CLK_P  
G2  
NVCC_MIPI  
DSI_DATA0_N  
DSI_D0P  
G1  
NVCC_MIPI  
DSI_DATA0_P  
DSI_D1M  
H2  
NVCC_MIPI  
DSI_DATA1_N  
DSI_D1P  
H1  
NVCC_MIPI  
DSI_DATA1_P  
EIM_A16  
H25  
NVCC_EIM1  
GPIO  
ALT0  
EIM_ADDR16  
Output  
0
i.MX 6Dual/6Quad Automotive and Infotainment Applications Processors, Rev. 2.3  
Freescale Semiconductor  
152  
Package Information and Contact Assignments  
Table 101. 21 x 21 mm Functional Contact Assignments (continued)  
Out of Reset Condition1  
Default  
Mode  
(Reset  
Mode)  
Ball Name  
Ball  
Power Group Ball Type  
Default Function  
(Signal Name)  
Input/Output  
Value2  
EIM_A17  
EIM_A18  
EIM_A19  
EIM_A20  
EIM_A21  
EIM_A22  
EIM_A23  
EIM_A24  
EIM_A25  
EIM_BCLK  
EIM_CS0  
EIM_CS1  
EIM_D16  
EIM_D17  
EIM_D18  
EIM_D19  
EIM_D20  
EIM_D21  
EIM_D22  
EIM_D23  
EIM_D24  
EIM_D25  
EIM_D26  
EIM_D27  
EIM_D28  
EIM_D29  
EIM_D30  
EIM_D31  
EIM_DA0  
EIM_DA1  
EIM_DA2  
EIM_DA3  
EIM_DA4  
EIM_DA5  
EIM_DA6  
EIM_DA7  
G24  
J22  
G25  
H22  
H23  
F24  
J21  
F25  
H19  
N22  
H24  
J23  
C25  
F21  
D24  
G21  
G20  
H20  
E23  
D25  
F22  
G22  
E24  
E25  
G23  
J19  
J20  
H21  
L20  
J25  
L21  
K24  
L22  
L23  
K25  
L25  
NVCC_EIM1  
NVCC_EIM1  
NVCC_EIM1  
NVCC_EIM1  
NVCC_EIM1  
NVCC_EIM1  
NVCC_EIM1  
NVCC_EIM1  
NVCC_EIM0  
NVCC_EIM2  
NVCC_EIM1  
NVCC_EIM1  
NVCC_EIM0  
NVCC_EIM0  
NVCC_EIM0  
NVCC_EIM0  
NVCC_EIM0  
NVCC_EIM0  
NVCC_EIM0  
NVCC_EIM0  
NVCC_EIM0  
NVCC_EIM0  
NVCC_EIM0  
NVCC_EIM0  
NVCC_EIM0  
NVCC_EIM0  
NVCC_EIM0  
NVCC_EIM0  
NVCC_EIM2  
NVCC_EIM2  
NVCC_EIM2  
NVCC_EIM2  
NVCC_EIM2  
NVCC_EIM2  
NVCC_EIM2  
NVCC_EIM2  
GPIO  
GPIO  
GPIO  
GPIO  
GPIO  
GPIO  
GPIO  
GPIO  
GPIO  
GPIO  
GPIO  
GPIO  
GPIO  
GPIO  
GPIO  
GPIO  
GPIO  
GPIO  
GPIO  
GPIO  
GPIO  
GPIO  
GPIO  
GPIO  
GPIO  
GPIO  
GPIO  
GPIO  
GPIO  
GPIO  
GPIO  
GPIO  
GPIO  
GPIO  
GPIO  
GPIO  
ALT0  
ALT0  
ALT0  
ALT0  
ALT0  
ALT0  
ALT0  
ALT0  
ALT0  
ALT0  
ALT0  
ALT0  
ALT5  
ALT5  
ALT5  
ALT5  
ALT5  
ALT5  
ALT5  
ALT5  
ALT5  
ALT5  
ALT5  
ALT5  
ALT5  
ALT5  
ALT5  
ALT5  
ALT0  
ALT0  
ALT0  
ALT0  
ALT0  
ALT0  
ALT0  
ALT0  
EIM_ADDR17  
EIM_ADDR18  
EIM_ADDR19  
EIM_ADDR20  
EIM_ADDR21  
EIM_ADDR22  
EIM_ADDR23  
EIM_ADDR24  
EIM_ADDR25  
EIM_BCLK  
Output  
Output  
Output  
Output  
Output  
Output  
Output  
Output  
Output  
Output  
Output  
Output  
Input  
0
0
0
0
0
0
0
0
0
0
EIM_CS0_B  
EIM_CS1_B  
EIM_DATA16  
EIM_DATA17  
EIM_DATA18  
EIM_DATA19  
EIM_DATA20  
EIM_DATA21  
EIM_DATA22  
EIM_DATA23  
EIM_DATA24  
EIM_DATA25  
EIM_DATA26  
EIM_DATA27  
EIM_DATA28  
EIM_DATA29  
EIM_DATA30  
EIM_DATA31  
EIM_AD00  
1
1
PU (100K)  
PU (100K)  
PU (100K)  
PU (100K)  
PU (100K)  
PU (100K)  
PD (100K)  
PU (100K)  
PU (100K)  
PU (100K)  
PU (100K)  
PU (100K)  
PU (100K)  
PU (100K)  
PU (100K)  
PD (100K)  
PU (100K)  
PU (100K)  
PU (100K)  
PU (100K)  
PU (100K)  
PU (100K)  
PU (100K)  
PU (100K)  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
EIM_AD01  
Input  
EIM_AD02  
Input  
EIM_AD03  
Input  
EIM_AD04  
Input  
EIM_AD05  
Input  
EIM_AD06  
Input  
EIM_AD07  
Input  
i.MX 6Dual/6Quad Automotive and Infotainment Applications Processors, Rev. 2.3  
Freescale Semiconductor  
153  
Package Information and Contact Assignments  
Table 101. 21 x 21 mm Functional Contact Assignments (continued)  
Out of Reset Condition1  
Default  
Mode  
(Reset  
Mode)  
Ball Name  
Ball  
Power Group Ball Type  
Default Function  
(Signal Name)  
Input/Output  
Value2  
EIM_DA8  
EIM_DA9  
L24  
M21  
M22  
M20  
M24  
M23  
N23  
N24  
K21  
K23  
E22  
F23  
K22  
J24  
K20  
M25  
U21  
V20  
V23  
V22  
W23  
W21  
W22  
V21  
U20  
W20  
T5  
NVCC_EIM2  
NVCC_EIM2  
NVCC_EIM2  
NVCC_EIM2  
NVCC_EIM2  
NVCC_EIM2  
NVCC_EIM2  
NVCC_EIM2  
NVCC_EIM2  
NVCC_EIM2  
NVCC_EIM0  
NVCC_EIM0  
NVCC_EIM1  
NVCC_EIM1  
NVCC_EIM1  
NVCC_EIM2  
NVCC_ENET  
NVCC_ENET  
NVCC_ENET  
NVCC_ENET  
NVCC_ENET  
NVCC_ENET  
NVCC_ENET  
NVCC_ENET  
NVCC_ENET  
NVCC_ENET  
NVCC_GPIO  
NVCC_GPIO  
NVCC_GPIO  
NVCC_GPIO  
NVCC_GPIO  
NVCC_GPIO  
NVCC_GPIO  
NVCC_GPIO  
NVCC_GPIO  
NVCC_GPIO  
GPIO  
GPIO  
GPIO  
GPIO  
GPIO  
GPIO  
GPIO  
GPIO  
GPIO  
GPIO  
GPIO  
GPIO  
GPIO  
GPIO  
GPIO  
GPIO  
GPIO  
GPIO  
GPIO  
GPIO  
GPIO  
GPIO  
GPIO  
GPIO  
GPIO  
GPIO  
GPIO  
GPIO  
GPIO  
GPIO  
GPIO  
GPIO  
GPIO  
GPIO  
GPIO  
GPIO  
ALT0  
ALT0  
ALT0  
ALT0  
ALT0  
ALT0  
ALT0  
ALT0  
ALT0  
ALT0  
ALT5  
ALT5  
ALT0  
ALT0  
ALT0  
ALT0  
ALT5  
ALT5  
ALT5  
ALT5  
ALT5  
ALT5  
ALT5  
ALT5  
ALT5  
ALT5  
ALT5  
ALT5  
ALT5  
ALT5  
ALT5  
ALT5  
ALT5  
ALT5  
ALT5  
ALT5  
EIM_AD08  
EIM_AD09  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
Output  
Output  
Input  
Input  
Output  
Output  
Output  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
PU (100K)  
PU (100K)  
PU (100K)  
PU (100K)  
PU (100K)  
PU (100K)  
PU (100K)  
PU (100K)  
1
EIM_DA10  
EIM_DA11  
EIM_DA12  
EIM_DA13  
EIM_DA14  
EIM_DA15  
EIM_EB0  
EIM_AD10  
EIM_AD11  
EIM_AD12  
EIM_AD13  
EIM_AD14  
EIM_AD15  
EIM_EB0_B  
EIM_EB1_B  
EIM_EB2_B  
EIM_EB3_B  
EIM_LBA_B  
EIM_OE  
EIM_EB1  
1
EIM_EB2  
PU (100K)  
PU (100K)  
1
EIM_EB3  
EIM_LBA  
EIM_OE  
1
EIM_RW  
EIM_RW  
1
EIM_WAIT  
ENET_CRS_DV  
ENET_MDC  
ENET_MDIO  
ENET_REF_CLK3  
ENET_RX_ER  
ENET_RXD0  
ENET_RXD1  
ENET_TX_EN  
ENET_TXD0  
ENET_TXD1  
GPIO_0  
EIM_WAIT  
PU (100K)  
PU (100K)  
PU (100K)  
PU (100K)  
PU (100K)  
PU (100K)  
PU (100K)  
PU (100K)  
PU (100K)  
PU (100K)  
PU (100K)  
PD (100K)  
PU (100K)  
PU (100K)  
PU (100K)  
PU (100K)  
PU (100K)  
PU (100K)  
PU (100K)  
PU (100K)  
PU (100K)  
ENET_RX_EN  
ENET_MDC  
ENET_MDIO  
ENET_TX_CLK  
ENET_RX_ER  
ENET_RX_DATA0  
ENET_RX_DATA1  
ENET_TX_EN  
ENET_TX_DATA0  
ENET_TX_DATA1  
GPIO1_IO00  
GPIO1_IO01  
GPIO7_IO11  
GPIO7_IO12  
GPIO7_IO13  
GPIO4_IO05  
GPIO1_IO02  
GPIO1_IO03  
GPIO1_IO04  
GPIO1_IO05  
GPIO_1  
T4  
GPIO_16  
R2  
GPIO_17  
R1  
GPIO_18  
P6  
GPIO_19  
P5  
GPIO_2  
T1  
GPIO_3  
R7  
GPIO_4  
R6  
GPIO_5  
R4  
i.MX 6Dual/6Quad Automotive and Infotainment Applications Processors, Rev. 2.3  
Freescale Semiconductor  
154  
Package Information and Contact Assignments  
Table 101. 21 x 21 mm Functional Contact Assignments (continued)  
Out of Reset Condition1  
Default  
Mode  
(Reset  
Mode)  
Ball Name  
Ball  
Power Group Ball Type  
Default Function  
(Signal Name)  
Input/Output  
Value2  
GPIO_6  
GPIO_7  
T3  
R3  
R5  
T2  
J5  
NVCC_GPIO  
NVCC_GPIO  
NVCC_GPIO  
NVCC_GPIO  
HDMI_VPH  
HDMI_VPH  
HDMI_VPH  
HDMI_VPH  
HDMI_VPH  
HDMI_VPH  
HDMI_VPH  
HDMI_VPH  
HDMI_VPH  
NVCC_JTAG  
NVCC_JTAG  
NVCC_JTAG  
NVCC_JTAG  
NVCC_JTAG  
NVCC_JTAG  
NVCC_GPIO  
NVCC_GPIO  
NVCC_GPIO  
NVCC_GPIO  
NVCC_GPIO  
NVCC_GPIO  
NVCC_GPIO  
NVCC_GPIO  
NVCC_GPIO  
NVCC_GPIO  
GPIO  
GPIO  
GPIO  
GPIO  
ALT5  
ALT5  
ALT5  
ALT5  
GPIO1_IO06  
GPIO1_IO07  
Input  
Input  
Input  
Input  
PU (100K)  
PU (100K)  
PU (100K)  
PU (100K)  
GPIO_8  
GPIO1_IO08  
GPIO_9  
GPIO1_IO09  
HDMI_CLKM  
HDMI_CLKP  
HDMI_D0M  
HDMI_D0P  
HDMI_D1M  
HDMI_D1P  
HDMI_D2M  
HDMI_D2P  
HDMI_HPD  
JTAG_MOD  
JTAG_TCK  
JTAG_TDI  
HDMI_TX_CLK_N  
HDMI_TX_CLK_P  
HDMI_TX_DATA0_N  
HDMI_TX_DATA0_P  
HDMI_TX_DATA1_N  
HDMI_TX_DATA1_P  
HDMI_TX_DATA2_N  
HDMI_TX_DATA2_P  
HDMI_TX_HPD  
JTAG_MODE  
JTAG_TCK  
J6  
K5  
K6  
J3  
J4  
K3  
K4  
K1  
H6  
H5  
G5  
G6  
C3  
C2  
W5  
U7  
W6  
U5  
T6  
V6  
U6  
W4  
T7  
V5  
GPIO  
GPIO  
GPIO  
GPIO  
GPIO  
GPIO  
GPIO  
GPIO  
GPIO  
GPIO  
GPIO  
GPIO  
GPIO  
GPIO  
GPIO  
GPIO  
ALT0  
ALT0  
ALT0  
ALT0  
ALT0  
ALT0  
ALT5  
ALT5  
ALT5  
ALT5  
ALT5  
ALT5  
ALT5  
ALT5  
ALT5  
ALT5  
Input  
Input  
Input  
Output  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
PU (100K)  
PU (47K)  
PU (47K)  
Keeper  
PU (47K)  
PU (47K)  
PU (100K)  
PU (100K)  
PU (100K)  
PU (100K)  
PU (100K)  
PU (100K)  
PU (100K)  
PU (100K)  
PU (100K)  
PD (100K)  
JTAG_TDI  
JTAG_TDO  
JTAG_TMS  
JTAG_TRSTB  
KEY_COL0  
KEY_COL1  
KEY_COL2  
KEY_COL3  
KEY_COL4  
KEY_ROW0  
KEY_ROW1  
KEY_ROW2  
KEY_ROW3  
KEY_ROW4  
LVDS0_CLK_N  
LVDS0_CLK_P  
LVDS0_TX0_N  
LVDS0_TX0_P  
LVDS0_TX1_N  
LVDS0_TX1_P  
LVDS0_TX2_N  
JTAG_TDO  
JTAG_TMS  
JTAG_TRST_B  
KEY_COL0  
KEY_COL1  
KEY_COL2  
KEY_COL3  
KEY_COL4  
KEY_ROW0  
KEY_ROW1  
KEY_ROW2  
KEY_ROW3  
KEY_ROW4  
V4 NVCC_LVDS_2P5 LVDS  
V3 NVCC_LVDS_2P5 LVDS  
U2 NVCC_LVDS_2P5 LVDS  
U1 NVCC_LVDS_2P5 LVDS  
U4 NVCC_LVDS_2P5 LVDS  
U3 NVCC_LVDS_2P5 LVDS  
V2 NVCC_LVDS_2P5 LVDS  
LVDS0_CLK_N  
LVDS0_CLK_P  
LVDS0_TX0_N  
LVDS0_TX0_P  
LVDS0_TX1_N  
LVDS0_TX1_P  
LVDS0_TX2_N  
ALT0  
Input  
Keeper  
ALT0  
Input  
Keeper  
ALT0  
Input  
Keeper  
i.MX 6Dual/6Quad Automotive and Infotainment Applications Processors, Rev. 2.3  
Freescale Semiconductor  
155  
Package Information and Contact Assignments  
Table 101. 21 x 21 mm Functional Contact Assignments (continued)  
Out of Reset Condition1  
Default  
Mode  
(Reset  
Mode)  
Ball Name  
Ball  
Power Group Ball Type  
Default Function  
(Signal Name)  
Input/Output  
Value2  
LVDS0_TX2_P  
LVDS0_TX3_N  
LVDS0_TX3_P  
LVDS1_CLK_N  
LVDS1_CLK_P  
LVDS1_TX0_N  
LVDS1_TX0_P  
LVDS1_TX1_N  
LVDS1_TX1_P  
LVDS1_TX2_N  
LVDS1_TX2_P  
LVDS1_TX3_N  
LVDS1_TX3_P  
MLB_CN  
V1 NVCC_LVDS_2P5 LVDS  
W2 NVCC_LVDS_2P5 LVDS  
W1 NVCC_LVDS_2P5 LVDS  
Y3 NVCC_LVDS_2P5 LVDS  
Y4 NVCC_LVDS_2P5 LVDS  
Y1 NVCC_LVDS_2P5 LVDS  
Y2 NVCC_LVDS_2P5 LVDS  
AA2 NVCC_LVDS_2P5 LVDS  
AA1 NVCC_LVDS_2P5 LVDS  
AB1 NVCC_LVDS_2P5 LVDS  
AB2 NVCC_LVDS_2P5 LVDS  
AA3 NVCC_LVDS_2P5 LVDS  
AA4 NVCC_LVDS_2P5 LVDS  
ALT0  
LVDS0_TX2_P  
LVDS0_TX3_N  
LVDS0_TX3_P  
LVDS1_CLK_N  
LVDS1_CLK_P  
LVDS1_TX0_N  
LVDS1_TX0_P  
LVDS1_TX1_N  
LVDS1_TX1_P  
LVDS1_TX2_N  
LVDS1_TX2_P  
LVDS1_TX3_N  
LVDS1_TX3_P  
MLB_CLK_N  
Input  
Keeper  
ALT0  
Input  
Keeper  
ALT0  
Input  
Keeper  
ALT0  
Input  
Keeper  
ALT0  
Input  
Keeper  
ALT0  
Input  
Keeper  
ALT0  
Input  
Keeper  
A11 VDD_HIGH_CAP  
B11 VDD_HIGH_CAP  
B10 VDD_HIGH_CAP  
A10 VDD_HIGH_CAP  
A9 VDD_HIGH_CAP  
B9 VDD_HIGH_CAP  
LVDS  
LVDS  
LVDS  
LVDS  
LVDS  
LVDS  
GPIO  
GPIO  
GPIO  
GPIO  
GPIO  
GPIO  
GPIO  
GPIO  
GPIO  
GPIO  
GPIO  
GPIO  
GPIO  
GPIO  
GPIO  
GPIO  
GPIO  
MLB_CP  
MLB_CLK_P  
MLB_DN  
MLB_DATA_N  
MLB_DATA_P  
MLB_SIG_N  
MLB_DP  
MLB_SN  
MLB_SP  
MLB_SIG_P  
NANDF_ALE  
NANDF_CLE  
NANDF_CS0  
NANDF_CS1  
NANDF_CS2  
NANDF_CS3  
NANDF_D0  
NANDF_D1  
NANDF_D2  
NANDF_D3  
NANDF_D4  
NANDF_D5  
NANDF_D6  
NANDF_D7  
NANDF_RB0  
NANDF_WP_B  
ONOFF  
A16  
C15  
F15  
C16  
A17  
D16  
A18  
C17  
F16  
D17  
A19  
B18  
E17  
C18  
B16  
E15  
D12  
NVCC_NANDF  
NVCC_NANDF  
NVCC_NANDF  
NVCC_NANDF  
NVCC_NANDF  
NVCC_NANDF  
NVCC_NANDF  
NVCC_NANDF  
NVCC_NANDF  
NVCC_NANDF  
NVCC_NANDF  
NVCC_NANDF  
NVCC_NANDF  
NVCC_NANDF  
NVCC_NANDF  
NVCC_NANDF  
VDD_SNVS_IN  
ALT5  
ALT5  
ALT5  
ALT5  
ALT5  
ALT5  
ALT5  
ALT5  
ALT5  
ALT5  
ALT5  
ALT5  
ALT5  
ALT5  
ALT5  
ALT5  
NAND_ALE  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
PU (100K)  
PU (100K)  
PU (100K)  
PU (100K)  
PU (100K)  
PU (100K)  
PU (100K)  
PU (100K)  
PU (100K)  
PU (100K)  
PU (100K)  
PU (100K)  
PU (100K)  
PU (100K)  
PU (100K)  
PU (100K)  
PU (100K)  
NAND_CLE  
NAND_CE0_B  
NAND_CE1_B  
NAND_CE2_B  
NAND_CE3_B  
NAND_DATA00  
NAND_DATA01  
NAND_DATA02  
NAND_DATA03  
NAND_DATA04  
NAND_DATA05  
NAND_DATA06  
NAND_DATA07  
NAND_READY  
NAND_WP_B  
SRC_ONOFF  
i.MX 6Dual/6Quad Automotive and Infotainment Applications Processors, Rev. 2.3  
Freescale Semiconductor  
156  
Package Information and Contact Assignments  
Table 101. 21 x 21 mm Functional Contact Assignments (continued)  
Out of Reset Condition1  
Default  
Mode  
(Reset  
Mode)  
Ball Name  
Ball  
Power Group Ball Type  
Default Function  
(Signal Name)  
Input/Output  
Value2  
PCIE_RXM  
PCIE_RXP  
B1  
B2  
PCIE_VPH  
PCIE_VPH  
PCIE_RX_N  
PCIE_RX_P  
PCIE_TXM  
A3  
PCIE_VPH  
PCIE_TX_N  
PCIE_TXP  
B3  
PCIE_VPH  
PCIE_TX_P  
PMIC_ON_REQ  
D11  
VDD_SNVS_IN  
GPIO  
ALT0  
SNVS_PMIC_ON_REQ  
Output  
Open  
Drain with  
PU (100K)  
PMIC_STBY_REQ F11  
VDD_SNVS_IN  
VDD_SNVS_IN  
NVCC_RGMII  
NVCC_RGMII  
NVCC_RGMII  
NVCC_RGMII  
NVCC_RGMII  
NVCC_RGMII  
NVCC_RGMII  
NVCC_RGMII  
NVCC_RGMII  
NVCC_RGMII  
NVCC_RGMII  
NVCC_RGMII  
GPIO  
GPIO  
DDR  
DDR  
DDR  
DDR  
DDR  
DDR  
DDR  
DDR  
DDR  
DDR  
DDR  
DDR  
ALT0  
ALT0  
ALT5  
ALT5  
ALT5  
ALT5  
ALT5  
ALT5  
ALT5  
ALT5  
ALT5  
ALT5  
ALT5  
ALT5  
CCM_PMIC_STBY_REQ  
SRC_POR_B  
RGMII_RD0  
Output  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
0
POR_B  
RGMII_RD0  
RGMII_RD1  
RGMII_RD2  
RGMII_RD3  
RGMII_RX_CTL  
RGMII_RXC  
RGMII_TD0  
RGMII_TD1  
RGMII_TD2  
RGMII_TD3  
RGMII_TX_CTL  
RGMII_TXC  
RTC_XTALI  
RTC_XTALO  
SATA_RXM  
SATA_RXP  
SATA_TXM  
SATA_TXP  
SD1_CLK  
C11  
C24  
B23  
B24  
D23  
D22  
B25  
C22  
F20  
E21  
A24  
C23  
D21  
PU (100K)  
PU (100K)  
PU (100K)  
PU (100K)  
PU (100K)  
PD (100K)  
PD (100K)  
PU (100K)  
PU (100K)  
PU (100K)  
PU (100K)  
PD (100K)  
PD (100K)  
RGMII_RD1  
RGMII_RD2  
RGMII_RD3  
RGMII_RX_CTL  
RGMII_RXC  
RGMII_TD0  
RGMII_TD1  
RGMII_TD2  
RGMII_TD3  
RGMII_TX_CTL  
RGMII_TXC  
D9 VDD_SNVS_CAP  
C9 VDD_SNVS_CAP  
RTC_XTALI  
RTC_XTALO  
SATA_PHY_RX_N  
SATA_PHY_RX_P  
SATA_PHY_TX_N  
SATA_PHY_TX_P  
SD1_CLK  
A14  
B14  
B12  
A12  
D20  
B21  
A21  
C20  
E19  
F18  
C21  
F19  
A22  
E20  
SATA_VPH  
SATA_VPH  
SATA_VPH  
SATA_VPH  
NVCC_SD1  
NVCC_SD1  
NVCC_SD1  
NVCC_SD1  
NVCC_SD1  
NVCC_SD1  
NVCC_SD2  
NVCC_SD2  
NVCC_SD2  
NVCC_SD2  
GPIO  
GPIO  
GPIO  
GPIO  
GPIO  
GPIO  
GPIO  
GPIO  
GPIO  
GPIO  
ALT5  
ALT5  
ALT5  
ALT5  
ALT5  
ALT5  
ALT5  
ALT5  
ALT5  
ALT5  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
PU (100K)  
PU (100K)  
PU (100K)  
PU (100K)  
PU (100K)  
PU (100K)  
PU (100K)  
PU (100K)  
PU (100K)  
PU (100K)  
SD1_CMD  
SD1_CMD  
SD1_DAT0  
SD1_DAT1  
SD1_DAT2  
SD1_DAT3  
SD2_CLK  
SD1_DATA0  
SD1_DATA1  
SD1_DATA2  
SD1_DATA3  
SD2_CLK  
SD2_CMD  
SD2_CMD  
SD2_DAT0  
SD2_DAT1  
SD2_DATA0  
SD2_DATA1  
i.MX 6Dual/6Quad Automotive and Infotainment Applications Processors, Rev. 2.3  
Freescale Semiconductor  
157  
Package Information and Contact Assignments  
Table 101. 21 x 21 mm Functional Contact Assignments (continued)  
Out of Reset Condition1  
Default  
Mode  
(Reset  
Mode)  
Ball Name  
Ball  
Power Group Ball Type  
Default Function  
(Signal Name)  
Input/Output  
Value2  
SD2_DAT2  
SD2_DAT3  
SD3_CLK  
A23  
B22  
D14  
B13  
E14  
F14  
A15  
B15  
D13  
C13  
E13  
F13  
D15  
E16  
B17  
D18  
B19  
F17  
A20  
E18  
C19  
B20  
D19  
E11  
E12  
NVCC_SD2  
NVCC_SD2  
GPIO  
GPIO  
GPIO  
GPIO  
GPIO  
GPIO  
GPIO  
GPIO  
GPIO  
GPIO  
GPIO  
GPIO  
GPIO  
GPIO  
GPIO  
GPIO  
GPIO  
GPIO  
GPIO  
GPIO  
GPIO  
GPIO  
GPIO  
GPIO  
ALT5  
ALT5  
ALT5  
ALT5  
ALT5  
ALT5  
ALT5  
ALT5  
ALT5  
ALT5  
ALT5  
ALT5  
ALT5  
ALT5  
ALT5  
ALT5  
ALT5  
ALT5  
ALT5  
ALT5  
ALT5  
ALT5  
ALT5  
ALT0  
SD2_DATA2  
SD2_DATA3  
SD3_CLK  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
PU (100K)  
PU (100K)  
PU (100K)  
PU (100K)  
PU (100K)  
PU (100K)  
PU (100K)  
PU (100K)  
PU (100K)  
PU (100K)  
PU (100K)  
PU (100K)  
PU (100K)  
PU (100K)  
PU (100K)  
PU (100K)  
PU (100K)  
PU (100K)  
PU (100K)  
PU (100K)  
PU (100K)  
PU (100K)  
PU (100K)  
PD (100K)  
PD (100K)  
NVCC_SD3  
SD3_CMD  
SD3_DAT0  
SD3_DAT1  
SD3_DAT2  
SD3_DAT3  
SD3_DAT4  
SD3_DAT5  
SD3_DAT6  
SD3_DAT7  
SD3_RST  
NVCC_SD3  
SD3_CMD  
NVCC_SD3  
SD3_DATA0  
SD3_DATA1  
SD3_DATA2  
SD3_DATA3  
SD3_DATA4  
SD3_DATA5  
SD3_DATA6  
SD3_DATA7  
SD3_RESET  
SD4_CLK  
NVCC_SD3  
NVCC_SD3  
NVCC_SD3  
NVCC_SD3  
NVCC_SD3  
NVCC_SD3  
NVCC_SD3  
NVCC_SD3  
SD4_CLK  
NVCC_NANDF  
NVCC_NANDF  
NVCC_NANDF  
NVCC_NANDF  
NVCC_NANDF  
NVCC_NANDF  
NVCC_NANDF  
NVCC_NANDF  
NVCC_NANDF  
NVCC_NANDF  
VDD_SNVS_IN  
VDD_SNVS_IN  
SD4_CMD  
SD4_DAT0  
SD4_DAT1  
SD4_DAT2  
SD4_DAT3  
SD4_DAT4  
SD4_DAT5  
SD4_DAT6  
SD4_DAT7  
TAMPER  
SD4_CMD  
SD4_DATA0  
SD4_DATA1  
SD4_DATA2  
SD4_DATA3  
SD4_DATA4  
SD4_DATA5  
SD4_DATA6  
SD4_DATA7  
SNVS_TAMPER  
TCU_TEST_MODE  
USB_H1_DN  
USB_H1_DP  
USB_OTG_CHD_B  
USB_OTG_DN  
USB_OTG_DP  
XTALI  
TEST_MODE  
USB_H1_DN  
USB_H1_DP  
USB_OTG_CHD_B  
USB_OTG_DN  
USB_OTG_DP  
XTALI  
F10 VDD_USB_CAP  
E10 VDD_USB_CAP  
B8  
B6  
A6  
A7  
B7  
VDD_USB_CAP  
VDD_USB_CAP  
VDD_USB_CAP  
NVCC_PLL  
XTALO  
NVCC_PLL  
XTALO  
1
The state immediately after reset and before ROM firmware or software has executed.  
i.MX 6Dual/6Quad Automotive and Infotainment Applications Processors, Rev. 2.3  
Freescale Semiconductor  
158  
Package Information and Contact Assignments  
Variance of the pull-up and pull-down strengths are shown in the tables as follows:  
2
3
Table 23, "GPIO I/O DC Parameters," on page 37.  
Table 24, "LPDDR2 I/O DC Electrical Parameters," on page 38  
Table 25, "DDR3/DDR3L I/O DC Electrical Parameters," on page 39  
ENET_REF_CLK is used as a clock source for MII and RGMII modes only. RMII mode uses either GPIO_16 or RGMII_TX_CTL  
as a clock source. For more information on these clocks, see the device Reference Manual and the Hardware Development  
Guide for i.MX 6Quad, 6Dual, 6DualLite, 6Solo Families of Applications Processors (IMX6DQ6SDLHDG).  
For most of the signals, the state during reset is same as the state after reset, given in Out of Reset  
Condition column of Table 101. However, there are few signals for which the state during reset is different  
from the state after reset. These signals along with their state during reset are given in Table 102.  
Table 102. Signals with Differing Before Reset and After Reset States  
Before Reset State  
Ball Name  
Input/Output  
Value  
EIM_A16  
EIM_A17  
EIM_A18  
EIM_A19  
EIM_A20  
EIM_A21  
EIM_A22  
EIM_A23  
EIM_A24  
EIM_A25  
EIM_DA0  
EIM_DA1  
EIM_DA2  
EIM_DA3  
EIM_DA4  
EIM_DA5  
EIM_DA6  
EIM_DA7  
EIM_DA8  
EIM_DA9  
EIM_DA10  
EIM_DA11  
EIM_DA12  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
PD (100K)  
PD (100K)  
PD (100K)  
PD (100K)  
PD (100K)  
PD (100K)  
PD (100K)  
PD (100K)  
PD (100K)  
PD (100K)  
PD (100K)  
PD (100K)  
PD (100K)  
PD (100K)  
PD (100K)  
PD (100K)  
PD (100K)  
PD (100K)  
PD (100K)  
PD (100K)  
PD (100K)  
PD (100K)  
PD (100K)  
i.MX 6Dual/6Quad Automotive and Infotainment Applications Processors, Rev. 2.3  
Freescale Semiconductor  
159  
Package Information and Contact Assignments  
Table 102. Signals with Differing Before Reset and After Reset States (continued)  
Before Reset State  
Ball Name  
Input/Output  
Value  
EIM_DA13  
EIM_DA14  
EIM_DA15  
EIM_EB0  
EIM_EB1  
EIM_EB2  
EIM_EB3  
EIM_LBA  
EIM_RW  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
Input  
Output  
Output  
Output  
PD (100K)  
PD (100K)  
PD (100K)  
PD (100K)  
PD (100K)  
PD (100K)  
PD (100K)  
PD (100K)  
PD (100K)  
EIM_WAIT  
GPIO_17  
GPIO_19  
KEY_COL0  
PD (100K)  
Drive state unknown (x)  
Drive state unknown (x)  
Drive state unknown (x)  
6.2.3  
21 x 21 mm, 0.8 mm Pitch Ball Map  
Table 103 shows the FCPBGA 21 x 21 mm, 0.8 mm pitch ball map.  
Table 103. 21 x 21 mm, 0.8 mm Pitch Ball Map  
i.MX 6Dual/6Quad Automotive and Infotainment Applications Processors, Rev. 2.3  
160  
Freescale Semiconductor  
Package Information and Contact Assignments  
Table 103. 21 x 21 mm, 0.8 mm Pitch Ball Map (continued)  
i.MX 6Dual/6Quad Automotive and Infotainment Applications Processors, Rev. 2.3  
Freescale Semiconductor  
161  
Package Information and Contact Assignments  
Table 103. 21 x 21 mm, 0.8 mm Pitch Ball Map (continued)  
i.MX 6Dual/6Quad Automotive and Infotainment Applications Processors, Rev. 2.3  
Freescale Semiconductor  
162  
Package Information and Contact Assignments  
Table 103. 21 x 21 mm, 0.8 mm Pitch Ball Map (continued)  
i.MX 6Dual/6Quad Automotive and Infotainment Applications Processors, Rev. 2.3  
Freescale Semiconductor  
163  
Revision History  
7 Revision History  
Table 104 provides a revision history for this data sheet.  
Table 104. i.MX 6Dual/6Quad Data Sheet Document Revision History  
Substantive Change(s)  
Table 101, 21 x 21Functional Contact Assignments:  
Rev.  
Number  
Date  
Rev. 2.3 07/26  
/2013  
Restored NANDF_WP_B row and description.  
• System Timing Parameters Table 38, Reset timing parameter, CC1 description clarified, change from:  
"Duration of SRC_POR_B to be qualified as valid (input slope <= 5 ns)" to:  
"Duration of SRC_POR_B to be qualified as valid"  
and added a footnote to the parameter with the following text:  
"SRC_POR_B rise and fall times must be 5 ns or less."  
This change was made for clarity and does not represent a specification change.  
Rev. 2.2 07/2013 • Editor corrections to revision history links. No technical content changes.  
Rev. 2.1 07/2013 • Figure 1, Changed temperature references from Consumer to Commercial.  
Table 101, 21 x 21Functional Contact Assignments:  
—Removed rows: DRAM_VREF, HDMI_DDCCEC, and HDMI_REF.  
—Due to a typographical error in revision 2.0, the ball names for rows EIM_DA2 through EIM_DA15  
were ordered incorrectly. This has been corrected in revision 2.1. The ball map is correct in both revision  
2.0 and 2.1.  
Rev. 2 04/2013 Substantive changes throughout this document are as follows:  
• Incorporated standardized signal names. This change is extensive throughout.  
Added reference to EB792, i.MX Signal Name Mapping.  
• Figures updated to align to standardized signal names.  
• Aligned references to FCBGA to read FCPBGA throughout document.  
• Updated references to eMMC standard to include 4.41.  
Table 2, "i.MX 6Dual/6Quad Modules List,Changed reference to Global Power Controller to read  
General Power Controller.  
Table 4, "Absolute Maximum Ratings,Added VDD_ARM23_IN to Core supply voltages.  
Table 6 “Operating Ranges ": Run Mode - LDO Enabled, VDD_ARM_IN/VDD_ARM23_IN, 792 MHz,  
input voltage minimum changed to 1.275V and VDD_ARM CAP minimum changed to 1.150V.  
NVCC_NAND, changed to NVCC_NANDF.  
Table 6 “Operating Ranges ": Added reference for information on product lifetime : i.MX 6Dual/6Quad  
Product Usage Lifetime Estimates Application Note, AN4724.  
Table 9. “Maximum Supply Currents”: Added current for i.MX6Dual  
Table 10 “Stop Mode Current and Power Consumption”: Added SNVS Only mode.  
Table 22 “GPIO I/O DC Parameters”: Removed parameters Iskod and Isspp.  
Table 48, "ECSPI Master Mode Timing Parameters," Updated parameter CS6 ECSPIx_SSx Lag Time  
(CS hold time) Min from Half SCLK period to Half SCLK period-2.  
Table 77 "SD/eMMC4.3 Interface Timing Specification," eMMC parameter SD8 value Min updated from  
5.6 ns to 1.5 ns.  
Table 89 RGMII Signal Switching Specifications RGMII parameter TskewR units corrected.  
Table 134 "21 x 21 mm Functional Contact Assignments," Updated GPIO_1 Ball Name value to PU  
(100K).  
Table 134 "21 x 21 mm Functional Contact Assignments," Clarification of ENET_REF_CLK naming.  
• Removed section, EIM Signal Cross Reference. Signal names are now aligned with reference manual.  
Section 1.2, “Features added bulleted item regarding the SOC-level memory system.  
Section 4.2.1, “Power-Up Sequence” updated wording.  
Section 4.3.2, “Regulators for Analog Modules” section updates.  
• Added Section 4.6.1, “XTALI and RTC_XTALI (Clock Inputs) DC Parameters”.  
Section 4.10, “General-Purpose Media Interface (GPMI) Timing” figures replaced, tables revised.  
i.MX 6Dual/6Quad Automotive and Infotainment Applications Processors, Rev. 2.3  
164  
Freescale Semiconductor  
Information in this document is provided solely to enable system and software  
implementers to use Freescale products. There are no express or implied copyright  
licenses granted hereunder to design or fabricate any integrated circuits based on the  
information in this document.  
How to Reach Us:  
Home Page:  
freescale.com  
Web Support:  
freescale.com/support  
Freescale reserves the right to make changes without further notice to any products  
herein. Freescale makes no warranty, representation, or guarantee regarding the  
suitability of its products for any particular purpose, nor does Freescale assume any  
liability arising out of the application or use of any product or circuit, and specifically  
disclaims any and all liability, including without limitation consequential or incidental  
damages. “Typical” parameters that may be provided in Freescale data sheets and/or  
specifications can and do vary in different applications, and actual performance may  
vary over time. All operating parameters, including “typicals,must be validated for each  
customer application by customer’s technical experts. Freescale does not convey any  
license under its patent rights nor the rights of others. Freescale sells products pursuant  
to standard terms and conditions of sale, which can be found at the following address:  
freescale.com/SalesTermsandConditions.  
Freescale and the Freescale logo are trademarks of Freescale Semiconductor, Inc.,  
Reg. U.S. Pat. & Tm. Off. All other product or service names are the property of their  
respective owners. ARM and Cortex are registered trademarks of ARM Limited.  
© 2012-2013 Freescale Semiconductor, Inc. All rights reserved.  
Document Number: IMX6DQAEC  
Rev. 2.3  
07/2013  

相关型号:

MCIMX6X6DVT08AC

i.MX 6Dual/6Quad Automotive and Infotainment Applications Processors
FREESCALE

MCIMX6X6DYM08AC

i.MX 6Dual/6Quad Automotive and Infotainment Applications Processors
FREESCALE

MCIMX6X6DYM12CC

i.MX 6Dual/6Quad Automotive and Infotainment Applications Processors
FREESCALE

MCIMX6X6EYM08AC

i.MX 6Dual/6Quad Automotive and Infotainment Applications Processors
FREESCALE

MCIMX6X7CYM08AC

i.MX 6Dual/6Quad Automotive and Infotainment Applications Processors
FREESCALE

MCIMX6X7DVT08AC

i.MX 6Dual/6Quad Automotive and Infotainment Applications Processors
FREESCALE

MCIMX6X7DYM08AC

i.MX 6Dual/6Quad Automotive and Infotainment Applications Processors
FREESCALE

MCIMX6X7DYM12CC

i.MX 6Dual/6Quad Automotive and Infotainment Applications Processors
FREESCALE

MCIMX6X7EYM08AC

i.MX 6Dual/6Quad Automotive and Infotainment Applications Processors
FREESCALE

MCIMX6XXAXXXXXB

i.MX 6SoloX Automotive and Infotainment Applications Processors
NXP

MCIMX6XXAXXXXXC

i.MX 6SoloX Automotive and Infotainment Applications Processors
NXP

MCIMX6XXCXXXXXB

i.MX 6SoloX Applications Processors for Industrial Products
NXP