LXT301ZNH [LevelOne]

PCM Transceiver, 1-Func, CMOS, PDIP28, DIP-28;
LXT301ZNH
型号: LXT301ZNH
厂家: LEVEL ONE    LEVEL ONE
描述:

PCM Transceiver, 1-Func, CMOS, PDIP28, DIP-28

文件: 总20页 (文件大小:520K)
中文:  中文翻译
下载:  下载PDF数据表文档文件
$35,/ ìääç  
'$7$ 6+((7  
5HYLVLRQ íïí  
/;7êíí=î/;7êíì=  
$GYDQFHG 7ìî(ì 6KRUWð+DXO 7UDQVFHLYHUV  
ì
*HQHUDO 'HVFULSWLRQ  
)HDWXUHV  
7KH /;7êíí= DQG /;7êíì= DUH IXOO\ LQWHJUDWHG WUDQVð  
FHLYHUV IRU ERWK 1RUWK $PHULFDQ ìïèéé 0ESV õ7ìô DQG  
,QWHUQDWLRQDO ëïíéå 0ESV õ(ìô DSSOLFDWLRQVï 7KH\ DUH SLQ  
DQG IXQFWLRQDOO\ FRPSDWLEOH ZLWK VWDQGDUG /;7êííîêíì  
GHYLFHVñ ZLWK VRPH FLUFXLW HQKDQFHPHQWVï  
 'DWD UHFRYHU\ DQG FORFN UHFRYHU\ IXQFWLRQV  
 5HFHLYH MLWWHU DWWHQXDWLRQ VWDUWLQJ DW ê +] H[FHHGV  
$7÷7 3XE çëéììñ 3XE éêåíìñ 3XE éêåíëñ ,78 *ïæíêñ  
DQG ,78 *ïåëê õ/;7êíí= RQO\ô  
ê
é
7KH /;7êíí= SURYLGHV UHFHLYH MLWWHU DWWHQXDWLRQ VWDUWLQJ DW  
ê +]ñ DQG LV PLFURSURFHVVRU FRQWUROODEOH WKURXJK D VHULDO  
LQWHUIDFHï 7KH /;7êíì= LV SLQ FRPSDWLEOHñ EXW GRHV QRW  
SURYLGH MLWWHU DWWHQXDWLRQ RU D VHULDO LQWHUIDFHï $Q DGYDQFHG  
WUDQVPLW GULYHU DUFKLWHFWXUH SURYLGHV FRQVWDQW ORZ RXWSXW  
LPSHGDQFH IRU ERWK PDUNV DQG VSDFHVñ IRU LPSURYHG %LW  
(UURU 5DWH SHUIRUPDQFH RYHU YDULRXV FDEOH QHWZRUN FRQILJð  
XUDWLRQVï %RWK WUDQVFHLYHUV RIIHU D YDULHW\ RI GLDJQRVWLF  
IHDWXUHV LQFOXGLQJ WUDQVPLW DQG UHFHLYH PRQLWRULQJï &ORFN  
LQSXWV PD\ EH GHULYHG IURP DQ RQðFKLS FU\VWDO RVFLOODWRU RU  
IURP GLJLWDO LQSXWVï 7KH\ XVH DQ DGYDQFHG GRXEOHðSRO\ñ  
GRXEOHðPHWDO &026 SURFHVV DQG UHTXLUH RQO\ D VLQJOH èð  
YROW SRZHU VXSSO\ï  
 /LQH GULYHU ZLWK FRQVWDQW ORZ PDUN DQG VSDFH LPSHGð  
DQFH õê W\SLFDOô  
 0LQLPXP UHFHLYH VLJQDO RI èíí P9  
è
 $GDSWLYH DQG VHOHFWDEOH õ(ìî'6;ðìô VOLFHU OHYHOV IRU  
LPSURYHG 615  
ç
 3URJUDPPDEOH WUDQVPLW HTXDOL]HU VKDSHV SXOVHV WR PHHW  
'6;ðì SXOVH WHPSODWH IURP í WR çèè IW  
 /RFDO DQG UHPRWH ORRSEDFN IXQFWLRQV  
 'LJLWDO 7UDQVPLW 'ULYHU 0RQLWRU  
æ
$SSOLFDWLRQV  
 3&0î9RLFH &KDQQHO %DQNV  
 'DWD &KDQQHO %DQNî&RQFHQWUDWRU  
 'LJLWDO 5HFHLYH 0RQLWRU ZLWK /RVV RI 6LJQDO õ/26ô RXWð  
SXW DQG ILUVW PDUN UHVHW  
å
 7ìî(ì PXOWLSOH[HU  
 5HFHLYHU MLWWHU WROHUDQFH íïé 8, IURP éí N+] WR ìíí N+]  
 0LFURSURFHVVRU FRQWUROODEOH õ/;7êíí= RQO\ô  
 &RPSDWLEOH ZLWK PRVW SRSXODU 3&0 IUDPHUV  
 $YDLODEOH LQ ëåðSLQ ',3 RU 3/&&  
ä
 'LJLWDO $FFHVV DQG &URVVðFRQQHFW 6\VWHPV õ'$&6ô  
 &RPSXWHU WR 3%; LQWHUIDFH õ&3, ÷ '0,ô  
 +LJKðVSHHG GDWD WUDQVPLVVLRQ OLQHV  
 ,QWHUIDFLQJ &XVWRPHU 3UHPLVHV (TXLSPHQW WR D &68  
 'LJLWDO /RRS &DUULHU õ'/&ô WHUPLQDOV  
ìí  
ìì  
ìë  
ìê  
ìé  
ìè  
/;7êíí= %ORFN 'LDJUDP  
Constant Impedance  
MODE  
Control  
Line Driver  
Host Hardware  
TPOS  
TNEG  
TTIP  
Equalizer  
TRING  
EC1  
EC2  
INT  
SDI  
Synchronizer  
TCLK  
Data Slicers  
EC3  
SDO  
CS  
SCLK  
CLKE  
RLOOP  
LLOOP  
TAOS  
Internal Clock  
Generator  
Timing  
MCLK  
RTIP  
Recovery  
Peak  
Detector  
XTALIN  
XTALOUT  
RCLK  
RRING  
Jitter  
Attenuator  
RPOS  
RNEG  
Data Latch  
Elastic Store  
Transmit  
Driver  
Control  
MTIP  
Receive  
Monitor  
LOS  
MRING  
DPM  
L1  
ëðì  
/;7êíí= î /;7êíì= $GYDQFHG 7ìî(ì 6KRUWð+DXO 7UDQVFHLYHUV  
3,1 $66,*10(176 ÷ 6,*1$/ '(6&5,37,216  
)LJXUH ìã 3LQ $VVLJQPHQWV  
0&/.  
7&/.  
7326  
71(*  
*1'  
51(*  
5326  
5&/.  
57  
1î&  
'30  
/26  
77,3  
7*1'  
ì
ë
ê
é
è
ç
æ
å
ëå  
ëæ  
ëç  
ëè  
ëé  
ëê  
ëë  
ëì  
ëí  
ìä  
ìå  
ìæ  
ìç  
ìè  
7$26  
//223  
5/223  
(&ê  
(&ë  
(&ì  
5*1'  
59ò  
55,1*  
ì
ë
ê
é
è
ç
æ
å
ëå  
ëæ  
ëç  
ëè  
ëé  
ëê  
ëë  
ëì  
ëí  
ìä  
ìå  
ìæ  
ìç  
ìè  
0&/.  
7&/.  
&/.(î7$26  
6&/.î//223  
CSî5/223  
6'2î(&ê  
6',î(&ë  
INTî(&ì  
5*1'  
7326  
71(*  
02'(  
51(*  
5326  
5&/.  
02'(  
51(*  
5326  
5&/.  
è
ç
æ
å
ä
ìí  
ìì  
6'2î(&ê  
6',î(&ë  
INTî(&ì  
5*1'  
59ò  
ëè  
ëé  
ëê  
ëë  
ëì  
ëí  
ìä  
/;7êíí=3(  
59ò  
55,1*  
ä
ä
;7$/,1  
;7$/287  
'30  
57,3  
;7$/,1  
ìí  
ìì  
ìë  
ìê  
ìé  
ìí  
ìì  
ìë  
ìê  
ìé  
57,3  
05,1*  
07,3  
05,1*  
07,3  
75,1*  
79ò  
;7$/287  
'30  
55,1*  
57,3  
/26  
77,3  
7*1'  
75,1*  
79ò  
7DEOH ìã 3LQ 'HVFULSWLRQV  
3LQ ú  
6\P  
,î2ì  
'HVFULSWLRQ  
0DVWHU &ORFNï $ ìïèéé RU ëïíéå 0+] FORFN LQSXW XVHG WR JHQHUDWH LQWHUQDO FORFNVï  
8SRQ /RVV RI 6LJQDO õ/26ôñ 5&/. LV GHULYHG IURP 0&/.ï  
ì
0&/.  
',  
/;7êíí= 2QO\ã ,I 0&/. LV QRW DSSOLHGñ WKLV SLQ VKRXOG EH JURXQGHGï  
7UDQVPLW &ORFNï Transmit clock input. TPOS and TNEG are sampled on the falling  
edge of TCLK. If TCLK is grounded, the output drivers enter a high-Z state, except  
during Remote Loopback.  
ë
7&/.  
',  
7UDQVPLW 3RVLWLYH 'DWDï Input for positive pulse to be transmitted on the twisted-pair  
line.  
ê
é
è
7326  
71(*  
02'(  
',  
',  
',  
7UDQVPLW 1HJDWLYH 'DWDï Input for negative pulse to be transmitted on the twisted-pair  
line.  
0RGH 6HOHFW õ/;7êíí]ôï Setting MODE High puts the LXT300Z in the Host Mode.  
In the Host Mode, the serial interface is used to control the LXT300Z and determine its  
status. Setting MODE Low puts the LXT300Z in the Hardware (H/W) mode. In the  
Hardware Mode, the serial interface is disabled and hard-wired pins are used to control  
configuration and report status.  
*URXQG õ/;7êíì=ôï 7LH WR *URXQGï  
*1'  
6
ìï (QWULHV LQ ,î2 FROXPQ DUHã ',   'LJLWDO ,QSXWâ '2   'LJLWDO 2XWSXWâ $,   $QDORJ ,QSXWâ $2   $QDORJ 2XWSXWâ 6   6XSSO\ï  
ëðë  
L1  
3LQ $VVLJQPHQWV ÷ 6LJQDO 'HVFULSWLRQV  
7DEOH ìã 3LQ 'HVFULSWLRQV ¤ FRQWLQXHG  
3LQ ú  
6\P  
,î2ì  
'HVFULSWLRQ  
5HFHLYH 1HJDWLYH 'DWDâ 5HFHLYH 3RVLWLYH 'DWDï Received data outputs. A signal on  
RNEG corresponds to receipt of a negative pulse on RTIP and RRING. A signal on  
RPOS corresponds to receipt of a positive pulse on RTIP and RRING. RNEG and  
RPOS outputs are Non-Return-to-Zero (NRZ). Both outputs are stable and valid on the  
rising edge of RCLK.  
ç
æ
51(*  
5326  
'2  
'2  
LXT300Z only: In the Host Mode, CLKE determines the clock edge at which these out-  
puts are stable and valid. In the Hardware Mode both outputs are stable and valid on  
the rising edge of RCLK.  
5HFRYHUHG &ORFNï This is the clock recovered from the signal received at RTIP and  
RRING.  
å
5&/.  
'2  
&U\VWDO ,QSXWâ &U\VWDO 2XWSXW õ/;7êíí=ôï An external crystal operating at four  
times the bit rate (6.176 MHz for DSX-1, 8.192 MHz for E1 applications with an 18.7  
pF load) is required to enable the jitter attenuation function of the LXT300Z. These  
pins may also be used to disable the jitter attenuator by connecting the XTALIN pin to  
the positive supply through a resistor, and floating the XTALOUT pin.  
ä
;7$/,1  
$,  
ìí  
;7$/287  
$2  
5HFHLYH 7HUPLQDWLRQ õ/;7êíì=ôï &RQQHFW WR 59ò WKURXJK D ì N UHVLVWRUï  
1R &RQQHFWLRQ õ/;7êíì=ôï  
ä
57  
1î&  
$,  
¤
ìí  
ìì  
'ULYHU 3HUIRUPDQFH 0RQLWRUï DPM goes High when the transmit monitor loop  
(MTIP and MRING) does not detect a signal for 63 ±2 clock periods. DPM remains  
High until a signal is detected.  
'30  
'2  
ìë  
/26  
'2  
/RVV RI 6LJQDOï LOS goes High when 175 consecutive spaces have been detected.  
LOS returns Low when a mark is detected.  
7UDQVPLW 7LSâ 7UDQVPLW 5LQJï Differential Driver Outputs. These outputs are  
designed to drive a 25 load. The transmitter will drive 100 shielded twisted-pair  
cable through a 1:2 step-up transformer without additional components. To drive  
75 coaxial cable, two 2.2 resistors are required in series with the transformer.  
ìê  
ìç  
77,3  
$2  
$2  
75,1*  
7UDQVPLW *URXQGï *URXQG UHWXUQ IRU WKH WUDQVPLW GULYHUV SRZHU VXSSO\ 79òï  
ìé  
ìè  
7*1'  
79ò  
6
6
7UDQVPLW 3RZHU 6XSSO\ï òè 9'& SRZHU VXSSO\ LQSXW IRU WKH WUDQVPLW GULYHUVï 79ò  
PXVW QRW YDU\ IURP 59ò E\ PRUH WKDQ ‘íïê 9ï  
ìæ  
ìå  
07,3  
$,  
$,  
0RQLWRU 7LSâ 0RQLWRU 5LQJï 7KHVH SLQV DUH XVHG WR PRQLWRU WKH WLS DQG ULQJ WUDQVPLW  
RXWSXWVï 7KH WUDQVFHLYHU FDQ EH FRQQHFWHG WR PRQLWRU LWV RZQ RXWSXW RU WKH RXWSXW RI  
DQRWKHU /;7êíí= RU /;7êíì= RQ WKH ERDUGï  
05,1*  
5HFHLYH 7LSâ 5HFHLYH 5LQJï The AMI signal received from the line is applied at these  
pins. A center-tapped, center-grounded, 2:1 step-up transformer is required on these  
pins. Data and clock from the signal applied at these pins are recovered and output on  
the RPOS/RNEG and RCLK pins.  
ìä  
ëí  
57,3  
$,  
$,  
55,1*  
5HFHLYH 3RZHU 6XSSO\ï òè 9'& SRZHU VXSSO\ IRU DOO FLUFXLWV H[FHSW WKH WUDQVPLW GULYð  
HUVï õ7UDQVPLW GULYHUV DUH VXSSOLHG E\ 79òïô  
ëì  
ëë  
59ò  
6
6
5HFHLYH *URXQGï *URXQG UHWXUQ IRU SRZHU VXSSO\ 59òï  
5*1'  
ìï (QWULHV LQ ,î2 FROXPQ DUHã ',   'LJLWDO ,QSXWâ '2   'LJLWDO 2XWSXWâ $,   $QDORJ ,QSXWâ $2   $QDORJ 2XWSXWâ 6   6XSSO\ï  
ëðê  
L1  
/;7êíí= î /;7êíì= $GYDQFHG 7ìî(ì 6KRUWð+DXO 7UDQVFHLYHUV  
7DEOH ìã 3LQ 'HVFULSWLRQV ¤ FRQWLQXHG  
3LQ ú  
6\P  
,î2ì  
'HVFULSWLRQ  
,QWHUUXSW õ+RVW 0RGHôï This LXT300Z Host Mode output goes Low to flag the host  
processor when LOS or DPM go active. INT is an open-drain output and should be tied  
to power supply RV+ through a resistor. INT is reset by clearing the respective register  
bit (LOS and/or DPM).  
ëê  
INT  
'2  
(TXDOL]HU &RQWURO ì õ+î: 0RGHôï The signal applied at this pin in the LXT300Z Hard-  
ware Mode and LXT301Z is used in conjunction with EC2 and EC3 inputs to determine  
shape and amplitude of AMI output transmit pulses.  
(&ì  
',  
6HULDO 'DWD ,Q õ+RVW 0RGHôï The serial data input stream is applied to this pin when the  
LXT300Z operates in the Host Mode. SDI is sampled on the rising edge of SCLK.  
ëé  
ëè  
6',  
',  
',  
(TXDOL]HU &RQWURO ë õ+î: 0RGHôï The signal applied at this pin in the LXT300Z Hard-  
ware Mode and LXT301Z is used in conjunction with EC1 and EC3 inputs to determine  
shape and amplitude of AMI output transmit pulses.  
(&ë  
6HULDO 'DWD 2XW õ+RVW 0RGHôï The serial data from the on-chip register is output on  
this pin in the LXT300Z Host Mode. If CLKE is High, SDO is valid on the rising edge  
of SCLK. If CLKE is Low SDO is valid on the falling edge of SCLK. This pin goes to  
a high-impedance state when the serial port is being written to and when CS is High.  
6'2  
'2  
(TXDOL]HU &RQWURO ê õ+î: 0RGHôï The signal applied at this pin in the LXT300Z Hard-  
ware Mode and LXT301Z is used in conjunction with EC1 and EC2 inputs to determine  
shape and amplitude of AMI output transmit pulses.  
(&ê  
CS  
',  
',  
',  
&KLS 6HOHFW õ+RVW 0RGHôï This input is used to access the serial interface in the  
LXT300Z Host Mode. For each read or write operation, CS must transition from High  
to Low, and remain Low.  
ëç  
5HPRWH /RRSEDFN õ+î: 0RGHôï This input controls loopback functions in the  
LXT300Z Hardware Mode and LXT301Z. Setting RLOOP High enables the Remote  
Loopback mode. Setting both RLOOP and LLOOP High causes a Reset.  
5/223  
6HULDO &ORFN õ+RVW 0RGHôï This clock is used in the LXT300Z Host Mode to write data  
to or read data from the serial interface registers.  
ëæ  
ëå  
6&/.  
',  
',  
/RFDO /RRSEDFN õ+î: 0RGHôï This input controls loopback functions in the LXT300Z  
Hardware Mode and LXT301Z. Setting LLOOP High enables the Local Loopback  
Mode.  
//223  
&ORFN (GJH õ+RVW 0RGHôï Setting CLKE High causes RPOS and RNEG to be valid on  
the falling edge of RCLK, and SDO to be valid on the rising edge of SCLK. When  
CLKE is Low, RPOS and RNEG are valid on the rising edge of RCLK, and SDO is  
valid on the falling edge of SCLK.  
&/.(  
7$26  
',  
',  
7UDQVPLW $OO 2QHV õ+î: 0RGHôï When High, TAOS causes the LXT300Z (Hardware  
Mode) and LXT301Z to transmit a continuous stream of marks at the TCLK frequency.  
Activating TAOS causes TPOS and TNEG inputs to be ignored. TAOS is inhibited dur-  
ing Remote Loopback.  
ìï (QWULHV LQ ,î2 FROXPQ DUHã ',   'LJLWDO ,QSXWâ '2   'LJLWDO 2XWSXWâ $,   $QDORJ ,QSXWâ $2   $QDORJ 2XWSXWâ 6   6XSSO\ï  
ëðé  
L1  
)XQFWLRQDO 'HVFULSWLRQ  
decoupling circuitry. Isolation between the transmit and  
receive circuits is provided internally.  
)81&7,21$/ '(6&5,37,21  
5HVHW 2SHUDWLRQ  
õ/;7êíí= DQG /;7êíì=ô  
The LXT300Z and LXT301Z are fully integrated PCM  
transceivers for both 1.544 Mbps (DSX-1) and 2.048  
Mbps (E1) applications. Both transceivers allow full-  
duplex transmission of digital data over existing twisted-  
pair installations. The first page of this data sheet shows a  
simplified block diagram of the LXT300Z; Figure 2  
shows the LXT301Z. The LXT301Z is similar to the  
LXT300Z, but does not incorporate the Jitter Attenuator  
and associated Elastic Store, or the serial interface port.  
Upon power up, the transceiver is held static until the  
power supply reaches approximately 3 V. Upon crossing  
this threshold, the device begins a 32 ms reset cycle to cal-  
ibrate the transmit and receive delay lines and lock the  
Phase Lock Loop to the receive line. A reference clock is  
required to calibrate the delay lines. The transmitter refer-  
ence is provided by TCLK. MCLK provides the receiver  
reference for the LXT301Z. The crystal oscillator pro-  
vides the receiver reference in the LXT300Z. If the  
LXT300Z crystal oscillator is grounded, MCLK is used as  
the receiver reference clock.  
The LXT300Z and LXT301Z transceivers each interface  
with two twisted-pair lines (one twisted-pair for transmit,  
one twisted-pair for receive) through standard pulse trans-  
formers and appropriate resistors.  
The transceiver can also be reset from the Host or Hard-  
ware Mode. In Host Mode, reset is commanded by simul-  
taneously writing RLOOP and LLOOP to the register. In  
Hardware Mode, reset is commanded by holding RLOOP  
and LLOOP High simultaneously for 200 ns. Reset is ini-  
tiated on the falling edge of the reset request. In either  
mode, reset clears and sets all registers to 0 and then begins  
calibration.  
3RZHU 5HTXLUHPHQWV  
The LXT300Z and LXT301Z are low-power CMOS  
devices. Each operates from a single +5 V power supply  
which can be connected externally to both the transmitter  
and receiver. However, the two inputs must be within ± .3V  
of each other, and decoupled to their respective grounds  
separately. Refer to Application Information for typical  
)LJXUH ëã /;7êíì= %ORFN 'LDJUDP  
&RQVWDQW ,PSHGDQFH  
/LQH 'ULYHU  
(&ìñ (&ëñ (&ê  
&RQWURO  
(TXDOL]HU  
77,3  
7326  
71(*  
75,1*  
6\QFKURQL]HU  
7&/.  
'DWD 6OLFHUV  
7LPLQJ  
5HFRYHU\  
57,3  
,QWHUQDO &ORFN  
*HQHUDWRU  
3HDN  
'HWHFWRU  
0&/.  
55,1*  
5326  
51(*  
'DWD  
/DWFK  
7UDQVPLW  
'ULYHU  
0RQLWRU  
07,3  
05,1*  
5HFHLYH  
0RQLWRU  
/26  
'30  
ëðè  
L1  
 
/;7êíí= î /;7êíì= $GYDQFHG 7ìî(ì 6KRUWð+DXO 7UDQVFHLYHUV  
SLQ JRHV +LJKñ DQG WKH 5&/. RXWSXW LV UHSODFHG ZLWK WKH  
5HFHLYHU  
7KH /;7êíí= DQG /;7êíì= UHFHLYHUV DUH LGHQWLFDO H[FHSW  
IRU WKH -LWWHU $WWHQXDWRU DQG (ODVWLF 6WRUHï 7KH IROORZLQJ  
GLVFXVVLRQ DSSOLHV WR ERWK WUDQVFHLYHUV H[FHSW ZKHUH QRWHGï  
0&/.ï /26 LV UHVHW ZKHQ WKH ILUVW PDUN LV UHFHLYHGï  
(In the LXT300Z only, if MCLK is not supplied the RCLK  
output will be replaced with the centered crystal clock.)  
7KH VLJQDO LV UHFHLYHG IURP RQH WZLVWHGðSDLU OLQH RQ HDFK  
VLGH RI D FHQWHUðJURXQGHG WUDQVIRUPHUï 3RVLWLYH SXOVHV DUH  
UHFHLYHG DW 57,3 DQG QHJDWLYH SXOVHV DUH UHFHLYHG DW  
55,1*ï 5HFRYHUHG GDWD LV RXWSXW DW 5326 DQG 51(*ñ  
DQG WKH UHFRYHUHG FORFN LV RXWSXW DW 5&/.ï 5HIHU WR WKH  
7HVW 6SHFLILFDWLRQV VHFWLRQ IRU UHFHLYHU WLPLQJï  
-LWWHU $WWHQXDWLRQ õ/;7êíí= 2QO\ô  
,Q WKH /;7êíí= RQO\ñ UHFRYHUHG FORFN VLJQDOV DUH VXSSOLHG  
WR WKH MLWWHU DWWHQXDWRU DQG WKH GDWD ODWFKï 7KH UHFRYHUHG  
GDWD LV SDVVHG WR WKH HODVWLF VWRUH ZKHUH LW LV EXIIHUHG DQG  
V\QFKURQL]HG ZLWK WKH GHMLWWHUHG UHFRYHUHG FORFN õ5&/.ôï  
-LWWHU DWWHQXDWLRQ RI WKH /;7êíí= FORFN DQG GDWD RXWSXWV  
õVHH )LJXUH éô LV SURYLGHG E\ D -LWWHU $WWHQXDWLRQ /RRS  
õ-$/ô DQG DQ (ODVWLF 6WRUH õ(6ôï $Q H[WHUQDO FU\VWDO RVFLOð  
ODWLQJ DW é WLPHV WKH ELW UDWH SURYLGHV FORFN VWDELOL]DWLRQï  
5HIHU WR $SSOLFDWLRQ ,QIRUPDWLRQ IRU FU\VWDO VSHFLILFDWLRQVï  
7KH (6 LV D êë [ ëðELW UHJLVWHUï 5HFRYHUHG GDWD LV FORFNHG  
LQWR WKH (6 ZLWK WKH UHFRYHUHG FORFN VLJQDOñ DQG FORFNHG RXW  
RI WKH (6 ZLWK WKH GHMLWWHUHG FORFN IURP WKH -$/ï :KHQ WKH  
ELW FRXQW LQ WKH (6 LV ZLWKLQ WZR ELWV RI RYHUIORZLQJ RU  
XQGHUIORZLQJñ WKH (6 DGMXVWV WKH RXWSXW FORFN E\ ìîå RI D  
ELW SHULRGï 7KH (6 SURGXFHV DQ DYHUDJH GHOD\ RI ìç ELWV LQ  
WKH UHFHLYH SDWKï  
7KH VLJQDO UHFHLYHG DW 5326 DQG 51(* LV SURFHVVHG  
WKURXJK WKH SHDN GHWHFWRU DQG GDWD VOLFHUVï 7KH SHDN GHWHFð  
WRU VDPSOHV WKH LQSXWV DQG GHWHUPLQHV WKH PD[LPXP YDOXH  
RI WKH UHFHLYHG VLJQDOï $ SHUFHQWDJH RI WKH SHDN YDOXH LV  
SURYLGHG WR WKH GDWD VOLFHUV DV D WKUHVKROG OHYHO WR HQVXUH  
RSWLPXP VLJQDOðWRðQRLVH UDWLRï )RU '6;ðì DSSOLFDWLRQV  
õGHWHUPLQHG E\ (TXDOL]HU &RQWURO LQSXWV (&ìa(&ê íííô  
WKH WKUHVKROG LV VHW WR æíø RI WKH SHDN YDOXHï 7KLV WKUHVKð  
ROG LV PDLQWDLQHG DERYH çèø IRU XS WR ìè VXFFHVVLYH ]HURV  
RYHU WKH UDQJH RI VSHFLILHG RSHUDWLQJ FRQGLWLRQVï )RU   
DSSOLFDWLRQV õ(& LQSXWV   íííô WKH WKUHVKROG LV VHW WR èíøï  
7KH UHFHLYHU LV FDSDEOH RI DFFXUDWHO\ UHFRYHULQJ VLJQDOV  
ZLWK XS WR ðìêïç G% RI DWWHQXDWLRQ õIURP ëïé 9ôñ FRUUHð  
VSRQGLQJ WR D UHFHLYHG VLJQDO OHYHO RI DSSUR[LPDWHO\ èíí  
P9ï 0D[LPXP OLQH OHQJWK LV ìèíí IHHW RI $%$0 FDEOH  
õDSSUR[LPDWHO\ ç G%ôï 5HJDUGOHVV RI UHFHLYHG VLJQDO OHYHOñ  
WKH SHDN GHWHFWRUV DUH KHOG DERYH D PLQLPXP OHYHO RI êíí  
P9 WR SURYLGH LPPXQLW\ IURP LPSXOVLYH QRLVHï õ'XULQJ  
/26ñ 5326 DQG 51(* DUH VTXHOFKHG LI WKH UHFHLYHG LQSXW  
VLJQDO GURSV WR êíí P9ïô  
7UDQVPLWWHU  
7KH WUDQVPLWWHU FLUFXLWV LQ WKH /;7êíí= DQG /;7êíì= DUH  
LGHQWLFDOï 7KH IROORZLQJ GLVFXVVLRQ DSSOLHV WR ERWK PRGHOVï  
'DWD UHFHLYHG IRU WUDQVPLVVLRQ RQWR WKH OLQH LV FORFNHG VHULð  
DOO\ LQWR WKH GHYLFH DW 7326 DQG 71(*ï ,QSXW V\QFKURQLð  
]DWLRQ LV VXSSOLHG E\ WKH WUDQVPLW FORFN õ7&/.ôï 7KH  
WUDQVPLWWHG SXOVH VKDSH LV GHWHUPLQHG E\ (TXDOL]HU &RQWURO  
VLJQDOV (&ì WKURXJK (&ê DV VKRZQ LQ 7DEOH ëï 5HIHU WR WKH  
7HVW 6SHFLILFDWLRQV VHFWLRQ IRU PDVWHU DQG WUDQVPLW FORFN  
WLPLQJ FKDUDFWHULVWLFVï 6KDSHG SXOVHV DUH DSSOLHG WR WKH  
$0, OLQH GULYHU IRU WUDQVPLVVLRQ RQWR WKH OLQH DW 77,3 DQG  
75,1*ï (TXDOL]HU &RQWURO VLJQDOV DUH KDUGðZLUHG WR WKH  
/;7êíì=ï  
$IWHU SURFHVVLQJ WKURXJK WKH GDWD VOLFHUVñ WKH UHFHLYHG VLJð  
QDO LV URXWHG WR WKH GDWD DQG FORFN UHFRYHU\ VHFWLRQVñ DQG WR  
WKH UHFHLYH PRQLWRUï ,Q WKH /;7êíí= RQO\ñ UHFRYHUHG FORFN  
VLJQDOV DUH VXSSOLHG WR WKH MLWWHU DWWHQXDWRU DQG WKH GDWD  
ODWFKï 7KH UHFRYHUHG GDWD LV SDVVHG WR WKH HODVWLF VWRUH  
ZKHUH LW LV EXIIHUHG DQG V\QFKURQL]HG ZLWK WKH GHMLWWHUHG  
UHFRYHUHG FORFN õ5&/.ôï 7KH GDWD DQG FORFN UHFRYHU\ FLUð  
FXLWV KDYH DQ LQSXW MLWWHU WROHUDQFH VLJQLILFDQWO\ EHWWHU WKDQ  
UHTXLUHG E\ 3XE çëéììï  
/;7êíí= 2QO\ã (TXDOL]HU &RQWURO VLJQDOV PD\ EH KDUGð  
ZLUHG LQ WKH +DUGZDUH 0RGHñ RU LQSXW DV SDUW RI WKH VHULDO  
GDWD VWUHDP õ6',ô LQ WKH +RVW 0RGHï  
3XOVHV FDQ EH VKDSHG IRU HLWKHU ìïèéé RU ëïíéå 0ESV DSSOLð  
FDWLRQVï '6;ðì DSSOLFDWLRQV ZLWK ìïèéé 0ESV SXOVHV FDQ  
EH SURJUDPPHG WR PDWFK OLQH OHQJWKV IURP í WR çèè IHHW RI  
$%$0 FDEOHï 7KH /;7êíí= DQG /;7êíì= DOVR PDWFK  
)&& VSHFLILFDWLRQV IRU &68 DSSOLFDWLRQVï 3XOVHV DW ëïíéå  
0ESV FDQ GULYH FRD[LDO RU VKLHOGHG WZLVWHGðSDLU OLQHV XVLQJ  
DSSURSULDWH UHVLVWRUV LQ OLQH ZLWK WKH RXWSXW WUDQVIRUPHUï  
5HFHLYH õ/RVV RI 6LJQDOô 0RQLWRU  
7KH UHFHLYH PRQLWRU JHQHUDWHV D /RVV RI 6LJQDO õ/26ô RXWð  
SXW XSRQ UHFHLSW RI ìæè FRQVHFXWLYH ]HURV õVSDFHVôï 7KH  
UHFHLYHU PRQLWRU ORDGV D GLJLWDO FRXQWHU DW WKH 5&/. IUHð  
TXHQF\ï 7KH FRXQW LV LQFUHPHQWHG HDFK WLPH D ]HUR LV  
UHFHLYHGñ DQG UHVHW WR ]HUR HDFK WLPH D RQH õPDUNô LV  
UHFHLYHGï 8SRQ UHFHLSW RI ìæè FRQVHFXWLYH ]HURV WKH /26  
ëðç  
L1  
)XQFWLRQDO 'HVFULSWLRQ  
YDOLGñ UHODWLYH WR WKH 6HULDO &ORFN õ6&/.ô RU 5&/. DV  
OLVWHG LQ 7DEOH êï  
'ULYHU 3HUIRUPDQFH 0RQLWRU  
The transceiver incorporates an advanced Driver Perfor-  
mance Monitor (DPM) in parallel with the TTIP and  
TRING at the output transformer. The DPM circuitry uses  
four comparators and a 150 ns pulse discriminator to filter  
glitches. The DPM output level goes high upon detection of  
63 consecutive zeros, and is cleared when a one is detected  
on the transmit line, or when a reset command is received.  
The DPM output also goes High to indicate a ground on  
TTIP or TRING. A ground fault induced DPM flag is auto-  
matically cleared when the ground condition is corrected  
(chip reset is not required).  
7KH /;7êíí= VHULDO SRUW LV DGGUHVVHG E\ VHWWLQJ ELW  LQ  
WKH $GGUHVVî&RPPDQG E\WHñ FRUUHVSRQGLQJ WR DGGUHVV ìçï  
7KH /;7êíí= FRQWDLQV RQO\ D VLQJOH RXWSXW GDWD UHJLVWHU VR  
QR FRPSOH[ FKLS DGGUHVVLQJ VFKHPH LV UHTXLUHGï 7KH UHJð  
LVWHU LV DFFHVVHG E\ FDXVLQJ WKH &KLS 6HOHFW (CS) LQSXW WR  
WUDQVLWLRQ IURP +LJK WR /RZï %LW ì RI WKH VHULDO $GGUHVVî  
&RPPDQG E\WH SURYLGHV 5HDGî:ULWH FRQWURO ZKHQ WKH FKLS  
LV DFFHVVHGï $ ORJLF ì LQGLFDWHV D UHDG RSHUDWLRQñ DQG D  
ORJLF í LQGLFDWHV D ZULWH RSHUDWLRQï 7DEOH é OLVWV VHULDO GDWD  
RXWSXW ELW FRPELQDWLRQV IRU HDFK VWDWXVï 6HULDO GDWD ,î2 WLPð  
LQJ FKDUDFWHULVWLFV DUH VKRZQ LQ WKH 7HVW 6SHFLILFDWLRQV VHFð  
WLRQï  
/LQH &RGH  
+DUGZDUH 0RGH 2SHUDWLRQ  
õ/;7êíí= DQG /;7êíì=ô  
7KH /;7êíí= DQG /;7êíì= WUDQVPLW GDWD DV D èíø $0,  
OLQH FRGH DV VKRZQ LQ )LJXUH êï 3RZHU FRQVXPSWLRQ LV  
UHGXFHG E\ DFWLYDWLQJ WKH $0, OLQH GULYHU RQO\ WR WUDQVPLW  
D PDUNï 7KH RXWSXW GULYHU LV GLVDEOHG GXULQJ WUDQVPLVVLRQ  
RI D VSDFHï  
In Hardware Mode the transceiver is accessed and con-  
trolled through individual pins. With the exception of the  
INT and CLKE functions, Hardware Mode provides all the  
functions provided in the Host Mode. In the Hardware  
Mode RPOS and RNEG outputs are valid on the rising  
edge of RCLK. The LXT301Z operates in Hardware  
Mode at all times.  
2SHUDWLQJ 0RGHV  
The LXT300Z and LXT301Z transceivers can be con-  
trolled through hard-wired pins (Hardware Mode). Both  
transceivers can also be commanded to operate in one of  
several diagnostic modes.  
LXT300Z Only: To operate in Hardware Mode, MODE  
must be set Low. Equalizer Control signals (EC1 through  
EC3) are input on the Interrupt, Serial Data In and Serial  
Data Out pins. Diagnostic control for Remote Loopback  
(RLOOP), Local Loopback (LLOOP), and Transmit All  
Ones (TAOS) modes is provided through the individual pins  
used to control serial interface timing in the Host Mode.  
LXT300Z Only: The LXT300Z can be controlled by a  
microprocessor through a serial interface (Host Mode).  
The mode of operation is set by the MODE pin logic level.  
+RVW 0RGH 2SHUDWLRQ  
õ/;7êíí= 2QO\ô  
)LJXUH êã èíø $0, &RGLQJ  
%LW &HOO  
7R DOORZ D KRVW PLFURSURFHVVRU WR DFFHVV DQG FRQWURO WKH  
/;7êíí= WKURXJK WKH VHULDO LQWHUIDFHñ 02'( LV VHW WR ìï  
7KH VHULDO LQWHUIDFH õ6',î6'2ô XVHV D ìçðELW ZRUG FRQVLVWð  
LQJ RI DQ åðELW &RPPDQGî$GGUHVV E\WH DQG DQ åðELW 'DWD  
E\WHï )LJXUH é VKRZV WKH VHULDO LQWHUIDFH GDWD VWUXFWXUH DQG  
UHODWLYH WLPLQJï  
77,3  
ì
í
ì
75,1*  
7KH +RVW 0RGH SURYLGHV D ODWFKHG ,QWHUUXSW RXWSXW õINTô  
ZKLFK LV WULJJHUHG E\ D FKDQJH LQ WKH /RVV RI 6LJQDO õ/26ô  
DQGîRU 'ULYHU 3HUIRUPDQFH 0RQLWRU õ'30ô ELWVï 7KH ,QWHUð  
UXSW LV FOHDUHG ZKHQ WKH LQWHUUXSW FRQGLWLRQ QR ORQJHU  
H[LVWVñ DQG WKH KRVW SURFHVVRU HQDEOHV WKH UHVSHFWLYH ELW LQ  
WKH VHULDO LQSXW GDWD E\WHï +RVW 0RGH DOVR DOORZV FRQWURO RI  
WKH VHULDO GDWD DQG UHFHLYH GDWD RXWSXW WLPLQJï 7KH &ORFN  
(GJH õ&/.(ô VLJQDO GHWHUPLQHV ZKHQ WKHVH RXWSXWV DUH  
ëðæ  
L1  
 
/;7êíí= î /;7êíì= $GYDQFHG 7ìî(ì 6KRUWð+DXO 7UDQVFHLYHUV  
ï
7DEOH ëã /;7êíí= 6HULDO 'DWD 2XWSXW %LWV  
õ6HH )LJXUH éô  
7DEOH êã 9DOLG &/.( 6HWWLQJV  
&/.(  
2XWSXW  
&ORFN  
9DOLG (GJH  
%LW %LW %LW  
'è 'ç 'æ  
6WDWXV  
/RZ  
5326  
51(*  
6'2  
5&/.  
5&/.  
6&/.  
5LVLQJ  
5LVLQJ  
)DOOLQJ  
í
í
í
5HVHW KDV RFFXUUHGñ RU QR SURJUDP  
LQSXWï  
+LJK  
5326  
51(*  
6'2  
5&/.  
5&/.  
6&/.  
)DOOLQJ  
)DOOLQJ  
5LVLQJ  
í
í
í
í
ì
ì
ì
í
ì
7$26 LV DFWLYHï  
/RFDO /RRSEDFN LV DFWLYHï  
7$26 DQG /RFDO /RRSEDFN DUH  
DFWLYHï  
ì
ì
í
í
í
ì
5HPRWH /RRSEDFN LV DFWLYHï  
'30 KDV FKDQJHG VWDWH VLQFH ODVW  
&OHDU '30 RFFXUUHGï  
ì
ì
ì
ì
í
ì
/26 KDV FKDQJHG VWDWH VLQFH ODVW  
&OHDU /26 RFFXUUHGï  
/26 DQG '30 KDYH ERWK FKDQJHG  
VWDWH VLQFH ODVW &OHDU '30 DQG &OHDU  
/26 RFFXUUHGï  
7DEOH éã (TXDOL]HU &RQWURO ,QSXWV  
(&ê  
(&ë  
(&ì  
/LQH /HQJWKì  
&DEOH /RVVë  
$SSOLFDWLRQ  
%LW 5DWH  
í
ì
ì
ì
ì
ì
í
í
ì
ì
ì
í
ì
í
ì
í a ìêê IW $%$0  
ìêê a ëçç IW $%$0  
ëçç a êää IW $%$0  
êää a èêê IW $%$0  
èêê a çèè IW $%$0  
íïç G%  
ìïë G%  
ìïå G%  
ëïé G%  
êïí G%  
'6;ðì  
ìïèéé 0ESV  
í
í
í
ì
í
í
,78 5HFRPPHQGDWLRQ *ïæíê  
)&& 3DUW çåñ 2SWLRQ $  
 
&68  
ëïíéå 0ESV  
ìïèéé 0ESV  
ìï /LQH OHQJWK IURP WUDQVFHLYHU WR '6;ðì FURVVðFRQQHFW SRLQWï  
ëï 0D[LPXP FDEOH ORVV DW ææë N+]ï  
ëðå  
L1  
 
)XQFWLRQDO 'HVFULSWLRQ  
WR RXWSXW WKH 5326ñ 51(* DQG 5&/. VLJQDOV  
UHFHLYHG IURP WKH WZLVWHGðSDLU OLQHï  
'LDJQRVWLF 0RGH 2SHUDWLRQ  
7UDQVPLW $OO 2QHV  
/RFDO /RRSEDFN  
,Q 7UDQVPLW $OO 2QHV õ7$26ô PRGH WKH 7326 DQG  
71(* LQSXWV WR WKH WUDQVFHLYHU DUH LJQRUHGï 7KH WUDQVð  
FHLYHU WUDQVPLWV D FRQWLQXRXV VWUHDP RI RQHV ZKHQ WKH  
7$26 PRGH LV DFWLYDWHGï 7$26 FDQ EH FRPPDQGHG  
VLPXOWDQHRXVO\ ZLWK /RFDO /RRSEDFNñ EXW LV LQKLELWHG  
GXULQJ 5HPRWH /RRSEDFNï  
,Q /RFDO /RRSEDFN õ//223ô PRGHñ WKH UHFHLYHU FLUð  
FXLWV DUH LQKLELWHGï 7KH WUDQVPLW GDWD DQG FORFN LQSXWV  
õ7326ñ 71(* DQG 7&/.ô DUH ORRSHG EDFN RQWR WKH  
UHFHLYH GDWD DQG FORFN RXWSXWV õ5326ñ 51(* DQG  
5&/.ô WKURXJK WKH 5[ MLWWHU DWWHQXDWRUï 7KH WUDQVPLWð  
WHU FLUFXLWV DUH XQDIIHFWHG E\ WKH //223 FRPPDQGï  
7KH 7326 DQG 71(* LQSXWV õRU D VWUHDP RI RQHV LI WKH  
7$26 FRPPDQG LV DFWLYHô ZLOO EH WUDQVPLWWHG QRUð  
PDOO\ï  
5HPRWH /RRSEDFN  
,Q 5HPRWH /RRSEDFN õ5/223ô PRGHñ WKH WUDQVPLW  
GDWD DQG FORFN LQSXWV õ7326ñ 71(* DQG 7&/.ô DUH  
LJQRUHGï 7KH 5326 DQG 51(* RXWSXWV DUH ORRSHG  
EDFN WKURXJK WKH WUDQVPLW FLUFXLWV DQG RXWSXW RQ 77,3  
DQG 75,1* DW WKH 5&/. IUHTXHQF\ï 5HFHLYHU FLUFXLWV  
DUH XQDIIHFWHG E\ WKH 5/223 FRPPDQG DQG FRQWLQXH  
/;7êíí= 2QO\ã :KHQ XVHG LQ WKLV PRGH ZLWK D FU\Vð  
WDOñ WKH WUDQVFHLYHU FDQ EH XVHG DV D VWDQGðDORQH MLWWHU  
DWWHQXDWRUï  
)LJXUH éã /;7êíí= 6HULDO ,QWHUIDFH 'DWD 6WUXFWXUH  
CS  
SCLK  
ADDRESS / COMMAND BYTE  
DATA INPUT / OUTPUT BYTE  
R/W  
A0  
A1  
A2  
A3  
A4  
A5  
A6  
D0  
D1  
D2  
D3  
D4  
D5  
D6  
D7  
SDI/ SDO  
127(  
2XWSXW GDWD E\WH LV WKH  
VDPH DV WKH LQSXW GDWD  
E\WH H[FHSW IRU ELWV  
R/W- = 1: Read  
R/W- = 0: Write  
0
0
0
0
1
0
X
ADDRESS /  
COMMAND  
BYTE  
R/W  
A0  
A6  
A4  
'áèãæ! VKRZQ LQ 7DEOH ëï  
X=DON’T CARE  
SET LOOPBACKS OR RESET  
CLEAR INTERRUPTS  
INPUT  
DATA  
BYTE  
LOS  
D0 (LSB)  
DFM  
EC1  
EC2  
EC3  
REMOTE  
LOCAL  
TAOS  
D7(MSB)  
ëðä  
L1  
/;7êíí= î /;7êíì= $GYDQFHG 7ìî(ì 6KRUWð+DXO 7UDQVFHLYHUV  
LXT300Z is shown in the Host Mode with a typical T1/  
ESF framer providing the digital interface with the host  
controller. Both devices are controlled through the serial  
interface. An LXP600A Clock Adapter (CLAD) provides  
the 2.048 MHz system backplane clock, locked to the  
recovered 1.544 MHz clock signal. The power supply  
inputs are tied to a common bus with appropriate decou-  
pling capacitors installed (68 µF on the transmit side, 1.0  
µF and 0.1 µF on the receive side).  
$33/,&$7,21 ,1)250$7,21  
/;7êíí= +RVW 0RGH ìïèéé  
0ESV 7ì ,QWHUIDFH $SSOLFDWLRQ  
Figure 5 is a typical 1.544 Mbps T1 application. The  
)LJXUH èã 7\SLFDO /;7êíí= ìïèéé 0ESV 7ì $SSOLFDWLRQ õ+RVW 0RGHô  
72 +267 &21752//(5  
7ì (6)  
)5$0(5  
ìïèéé 0+]  
/;7êíí=  
&/2&.  
75$16&(,9(5  
706<1&  
&6  
7)6<1&  
7&/.  
7326  
71(*  
636  
&/.(  
6&/.  
&6  
0&/.  
7&/.  
7326  
71(*  
6'2  
6',  
ò9  
6'2  
6',  
9ò  
,17  
02'(  
51(*  
ëë .  
51(*  
5326  
5&/.  
,17  
6&/.  
í 9  
íïì  
5*1'  
59ò  
5326  
5&/.  
µ
)
ìïèéé 0+]  
127( ì  
ì
µ
)
55,1*  
57,3  
;7$/,1  
127( ë  
çïìæç 0+]  
ëëí  
ì ã ì ãì  
/;3çíí$îçíë  
&/$'  
;7$/287  
&/.,  
)6,  
7ì /,1(  
5(&(,9(  
ëëí  
&/.2  
ëïíéå 0+]  
05,1*  
'30  
/26  
07,3  
75,1*  
79ò  
121ð  
32/$5,=('  
íïéæ  
µ
)
7+( /;7êíí= ,6 &203$7,%/( :,7+ $ :,'(  
9$5,(7< 2) ',*,7$/ )5$0,1* $1'  
6,*1$/,1* '(9,&(6ñ ,1&/8',1* 7+(  
'6ëìåì$ñ '6ëìåí$ñ 07åäæçñ $1' 5åíæíï  
127( ì  
127( ë  
ìïèéé 0+]  
7ì /,1(  
75$160,7  
77,3  
7*1'  
ì ã ë  
:+(1 /;7êíí= ,6 &211(&7(' 72 7+(  
&5266ð&211(&7 )5$0( 7+528*+ $ /2:  
/(9(/ 021,725 -$&.ñ 5(&(,9(  
75$16)250(5 6+28/' %( ì ã ë ã ë 72  
%2267 7+( ,1387 6,*1$/ï  
ò9  
µ
)
çå  
ëðìí  
L1  
 
$SSOLFDWLRQ ,QIRUPDWLRQ  
OLQH UHVLVWRUV DUH QRW UHTXLUHG IRU WUDQVPLVVLRQ RQ ìëí Ω  
VKLHOGHG WZLVWHGðSDLU OLQHVï $V LQ WKH  DSSOLFDWLRQ )LJXUH  
èñ WKLV FRQILJXUDWLRQ LV LOOXVWUDWHG ZLWK D FU\VWDO LQ SODFH WR  
HQDEOH WKH /;7êíí= -LWWHU $WWHQXDWLRQ /RRSñ DQG D VLQJOH  
SRZHU VXSSO\ EXVï 7KH KDUGðZLUHG FRQWURO OLQHV IRU 7$26ñ  
//223 DQG 5/223 DUH LQGLYLGXDOO\ FRQWUROODEOHñ DQG WKH  
//223 DQG 5/223 OLQHV DUH DOVR WLHG WR D VLQJOH FRQWURO  
IRU WKH 5HVHW IXQFWLRQ  
/;7êíí= +DUGZDUH 0RGH (ì  
,QWHUIDFH $SSOLFDWLRQ  
)LJXUH ç LV D W\SLFDO ëïíéå 0ESV  DSSOLFDWLRQï 7KH  
/;7êíí= LV VKRZQ LQ +DUGZDUH 0RGH ZLWK D W\SLFDO (ìî  
&5&é IUDPHUï 5HVLVWRUV DUH LQVWDOOHG LQ OLQH ZLWK WKH WUDQVð  
PLW WUDQVIRUPHU IRU ORDGLQJ D æè FRD[LDO FDEOHï 7KH LQð  
)LJXUH çã 7\SLFDO /;7êíí= æè (ì $SSOLFDWLRQ õ+DUGZDUH 0RGHô  
(ìî&5&é  
)5$0(5  
/;7êíí=  
75$16&(,9(5  
ëïíéå 0+]  
&ORFN  
ìíí N  
9ò  
127( ë  
ëëí N  
0&/.  
7&/.  
7326  
71(*  
7$26  
ìí N  
7&/.  
7326  
71(*  
//223  
ìí N  
5/223  
(&ê  
(&ë  
02'(  
51(*  
51(*  
5326  
5&/.  
(&ì  
5*1'  
59ò  
í 9  
íïì  
5326  
5&/.  
µ
F
ò
µ
ì )  
;7$/,1  
55,1*  
57,3  
åïìäë 0+]  
ìèí  
ìãìãì  
;7$/287  
ëïíéå 0ESV  
5(&(,9(  
ìèí  
05,1*  
'30  
/26  
07,3  
75,1*  
79ò  
ëïë  
5(6,67256 5(48,5('  
&2$;,$/ &$%/(ï  
127( ì  
127( ë  
121ð32/$5,=('  
ìãë  
21/< )25 æè  
µ
íïéæ )  
127 5(48,5(' )25  
75$160,66,21 2172 ìëí  
&$%/(ï  
7+( /;7êíí= ,6 &203$7,%/(  
:,7+ $ :,'( 9$5,(7< 2)  
)5$0,1* $1' 6,*1$/,1*  
'(9,&(6ñ ,1&/8',1* 7+(  
'6ëìåì$ñ 07åäæäñ $1' 5åíæíï  
77,3  
7*1'  
ëïë  
ëïë  
ëïíéå 0ESV  
75$160,7  
127( ì  
ò
µ
çå )  
9ò  
7DEOH èã /;7êíí= &U\VWDO 6SHFLILFDWLRQV õ([WHUQDOô  
3DUDPHWHU 7ì  
)UHTXHQF\  
(ì  
çïìæç 0+]  
åïìäë 0+]  
)UHTXHQF\ VWDELOLW\  
 ëí SSP # ëè ƒ&  
 ëè SSP IURP ðéí ƒ& WR åè ƒ&  
õ5HI ëè ƒ& UHDGLQJô  
 ëí SSP # ëè ƒ&  
 ëè SSP IURP ðéí ƒ& WR òåè ƒ&  
õ5HI ëè ƒ& UHDGLQJô  
3XOODELOLW\  
&/   ìì S) WR ìåïæ S)ñ ò)   ìæè WR ìäè SSP &/   ìì S) WR ìåïæ S)ñ ò)   äè WR ììè SSP  
&/   ìåïæ S) WR êé S)ñ ð)   ìæè WR ìäè SSP &/   ìåïæ S) WR êé S)ñ ð)   äè WR ììè SSP  
(IIHFWLYH VHULHV UHVLVWDQFH éí 0D[LPXP  
êí 0D[LPXP  
$7  
&U\VWDO FXW  
$7  
5HVRQDQFH  
3DUDOOHO  
ëïí P:  
)XQGDPHQWDO  
+&éä õ5ê:ôñ &2   æ S) PD[LPXP  
&0   ìæ I) W\SLFDO  
3DUDOOHO  
ëïí P:  
0D[LPXP GULYH OHYHO  
0RGH RI RSHUDWLRQ  
&U\VWDO KROGHU  
)XQGDPHQWDO  
+&éä õ5ê:ôñ&2   æ S) PD[LPXP  
&0   ìæ I) W\SLFDO  
ëðìì  
L1  
 
/;7êíí= î /;7êíì= $GYDQFHG 7ìî(ì 6KRUWð+DXO 7UDQVFHLYHUV  
2.048 MHz system backplane clock, locked to the recov-  
/;7êíì= ìïèéé 0ESV 7ì ,QWHUIDFH  
$SSOLFDWLRQ  
ered 1.544 MHz clock signal. The power supply inputs are  
tied to a common bus with appropriate decoupling capaci-  
tors installed (68 µF on the transmit side, 1.0 µF and 0.1 µF  
on the receive side).  
Figure 7 is a typical 1.544 Mbps T1 application of the  
LXT301Z. The LXT301Z is shown with a typical T1/ESF  
framer. An LXP600A Clock Adapter (CLAD) provides the  
)LJXUH æã 7\SLFDO /;7êíì= ìïèéé 0ESV 7ì $SSOLFDWLRQ  
7ìî(6)  
)5$0(5  
/;7êíì=  
75$16&(,9(5  
ìïèéé 0+]  
&ORFN  
ìíí N  
9ò  
127( ì  
ëëí N  
0&/.  
7&/.  
7326  
71(*  
*1'  
7$26  
ìí N  
7&/.  
7326  
71(*  
//223  
ìí N  
5/223  
(&ê  
(&ë  
51(*  
5326  
5&/.  
51(*  
(&ì  
5*1'  
59ò  
í 9  
íïì  
5326  
5&/.  
57  
µ
)
ìïèéé 0+]  
ì )  
µ
9ò  
ì N  
55,1*  
57,3  
(ìïèL  
ëëí  
ìãìãì  
7ì /,1(  
1î&  
5(&(,9(  
ëëí  
05,1*  
'30  
/26  
77,3  
/;çíí$ î çíë  
&/$'  
ëïíéå 0+]  
&/.,  
)6,  
&/.2  
07,3  
75,1*  
79ò  
121ð32/$5,=('  
íïéæ µ)  
ìãë  
ëïë  
ìïèéé 0ESV  
75$160,7  
7*1'  
7+( /;7êíí= ,6 &203$7,%/(  
:,7+ $ :,'( 9$5,(7< 2)  
)5$0,1* $1' 6,*1$/,1*  
'(9,&(6ñ ,1&/8',1* 7+(  
127( ì  
ò
çå µ)  
9ò  
'6ëìåí$ñ 07åäæçñ $1' 5åíæíï  
ëðìë  
L1  
 
$SSOLFDWLRQ ,QIRUPDWLRQ  
shielded twisted-pair lines. As in the T1 application Figure  
7, this configuration is illustrated with a single power sup-  
ply bus. The hard-wired control lines for TAOS, LLOOP  
and RLOOP are individually controllable, and the LLOOP  
and RLOOP lines are also tied to a single control for the  
Reset function.  
/;7êíì= ëïíéå 0ESV (ì ,QWHUIDFH  
$SSOLFDWLRQ  
Figure 8 is a typical 2.048 Mbps E1 application of the  
LXT301Z. The LXT301Z is shown with a typical E1/  
CRC4 framer. Resistors are installed in line with the trans-  
mit transformer for loading a 75 coaxial cable. The in-  
line resistors are not required for transmission on 120 Ω  
)LJXUH åã 7\SLFDO /;7êíì= æè (ì $SSOLFDWLRQ  
(ìî&5&é  
)5$0(5  
/;7êíì=  
75$16&(,9(5  
ëïíéå 0+]  
&ORFN  
ìíí N  
9ò  
127( ì  
ëëí N  
0&/.  
7&/.  
7326  
71(*  
*1'  
7$26  
ìí N  
7&/.  
7326  
71(*  
//223  
ìí N  
5/223  
(&ê  
(&ë  
51(*  
5326  
5&/.  
51(*  
(&ì  
5*1'  
59ò  
í 9  
íïì  
5326  
5&/.  
57  
µ
F
ì
µ
)
Yò  
55,1*  
57,3  
ìèí  
ìãìãì  
ëïíéå 0ESV  
5(&(,9(  
1î&  
ìèí  
05,1*  
'30  
/26  
77,3  
07,3  
75,1*  
79ò  
7+( /;7êíì= ,6 &203$7,%/(  
127( ì  
121ð32/$5,=('  
µ
íïéæ )  
ìãë  
:,7+ $ :,'( 9$5,(7< 2)  
)5$0,1* $1' 6,*1$/,1*  
'(9,&(6ñ ,1&/8',1* 7+(  
'6ëìåì$ñ 07åäæäñ $1' 5åíæíï  
ëïë  
ëïë  
ëïíéå 0ESV  
75$160,7  
7*1'  
ò
çå )  
µ
9ò  
ëðìê  
L1  
 
/;7êíí= î /;7êíì= $GYDQFHG 7ìî(ì 6KRUWð+DXO 7UDQVFHLYHUV  
7(67 63(&,),&$7,216  
127(  
7KH PLQLPXP DQG PD[LPXP YDOXHV LQ 7DEOHV ç WKURXJK ìê DQG )LJXUHV ìì WKURXJK ìè UHSUHVHQW WKH SHUIRUPDQFH VSHFLILð  
FDWLRQV RI WKH /;7êíí=î/;7êíì= DQG DUH JXDUDQWHHG E\ WHVWñ H[FHSW ZKHUH QRWHG E\ GHVLJQï  
7DEOH çã $EVROXWH 0D[LPXP 5DWLQJV  
3DUDPHWHU  
'& VXSSO\ õUHIHUHQFHG WR *1'ô  
,QSXW YROWDJHñ DQ\ SLQì  
6\P  
0LQ  
0D[  
8QLWV  
9
59òñ 79ò  
9,1  
ðíïê  
çïí  
9
5*1' ð íïê  
59ò ò íïê  
P$  
ƒ&  
,QSXW FXUUHQWñ DQ\ SLQë  
6WRUDJH WHPSHUDWXUH  
,,1  
ðìí  
ðçè  
ìí  
767*  
ìèí  
&$87,21  
2SHUDWLRQV DW RU EH\RQG WKHVH OLPLWV PD\ UHVXOW LQ SHUPDQHQW GDPDJH WR WKH GHYLFHï  
1RUPDO RSHUDWLRQ LV QRW JXDUDQWHHG DW WKHVH H[WUHPHVï  
ìï ([FOXGLQJ 57,3 DQG 55,1* ZKLFK PXVW VWD\ EHWZHHQ ðç9 DQG õ59ò ò íïêô 9ï  
ëï 7UDQVLHQW FXUUHQWV RI XS WR ìíí P$ ZLOO QRW FDXVH 6&5 ODWFK XSï 77,3ñ 75,1*ñ 79ò DQG 7*1' FDQ ZLWKVWDQG D FRQWLQXRXV FXUUHQW RI ìíí P$ï  
7DEOH æã 5HFRPPHQGHG 2SHUDWLQJ &RQGLWLRQV  
3DUDPHWHU  
6\P  
0LQ  
7\S  
0D[  
8QLWV  
'& VXSSO\ì  
59òñ 79ò  
éïæè  
ðéí  
èïí  
ëè  
èïëè  
åè  
9
$PELHQW RSHUDWLQJ WHPSHUDWXUH  
7$  
ƒ &  
ìï 79ò PXVW QRW H[FHHG 59ò E\ PRUH WKDQ íïê 9ï  
7DEOH åã (OHFWULFDO &KDUDFWHULVWLFV õ8QGHU 5HFRPPHQGHG 2SHUDWLQJ &RQGLWLRQVô  
3DUDPHWHU  
6\P  
0LQ  
7\S  
0D[ 8QLWV 7HVW &RQGLWLRQV  
+LJK OHYHO LQSXW YROWDJHìñë õSLQV ìðèñ ìíñ ëêðëåô  
/RZ OHYHO LQSXW YROWDJHìñë õSLQV ìðèñ ìíñ ëêðëåô  
+LJK OHYHO RXWSXW YROWDJHìñëõSLQV çðåñ ììñ ìëñ ëêñ ëèô  
/RZ OHYHO RXWSXW YROWDJHìñë õSLQV çðåñ ììñ ìëñ ëêñ ëèô  
,QSXW OHDNDJH FXUUHQW õSLQV ìðèñ DQG ëêðëåô  
,QSXW OHDNDJH FXUUHQW õSLQV äñ ìæñ DQG ìåô  
9,+  
9,/  
92+  
92/  
,//  
ëïí  
¤
¤
¤
¤
¤
¤
¤
¤
¤
9
9
íïå  
¤
ëïé  
¤
9
,287   ðéíí µ$  
íïé  
òìí  
òèí  
òìí  
9
,287   ìïç P$  
ðìí  
ðèí  
ðìí  
µ$  
µ$  
µ$  
,//  
7KUHHðVWDWH OHDNDJH FXUUHQWì õSLQ ëèô  
,ê/  
ìííø RQHV GHQVLW\  
P: ÷ PD[LPXP OLQH  
OHQJWK # èïëè 9  
7RWDO SRZHU GLVVLSDWLRQê  
3'  
¤
¤
æíí  
ìï )XQFWLRQDOLW\ RI SLQV ëê DQG ëè GHSHQGV RQ PRGHï 6HH +RVWî+DUGZDUH 0RGH GHVFULSWLRQVï  
ëï 2XWSXW GULYHUV ZLOO RXWSXW &026 ORJLF OHYHOV LQWR &026 ORDGVï  
êï 3RZHU GLVVLSDWLRQ ZKLOH GULYLQJ D ëè ORDG RYHU RSHUDWLQJ WHPSHUDWXUH UDQJHï ,QFOXGHV GHYLFH DQG ORDGï 'LJLWDO LQSXW OHYHOV DUH ZLWKLQ ìíø RI  
WKH VXSSO\ UDLOV DQG GLJLWDO RXWSXWV DUH GULYLQJ D èí S) FDSDFLWLYH ORDGï  
ëðìé  
L1  
 
7HVW 6SHFLILFDWLRQV  
7DEOH äã $QDORJ &KDUDFWHULVWLFV õ8QGHU 5HFRPPHQGHG 2SHUDWLQJ &RQGLWLRQVô  
3DUDPHWHU  
0LQ  
7\Sì  
0D[  
8QLWV  
7HVW &RQGLWLRQV  
PHDVXUHG DW WKH '6;  
PHDVXUHG DW OLQH VLGH  
# ææë N+]  
$0, RXWSXW SXOVH DPSOLWXGHV  
'6;ðì  
ëïé  
ëïæ  
êïí  
êïí  
ëïêæ  
ì
êïç  
êïê  
9
9
 õìëí Ω)  
 õæè Ω)  
ëïìé  
ëïç  
9
7UDQVPLW DPSOLWXGH YDULDWLRQ ZLWK VXSSO\  
5HFRPPHQGHG RXWSXW ORDG DW 77,3 DQG 75,1*  
'ULYHU RXWSXW LPSHGDQFHë  
ëïè  
%
57,3 WR 55,1*  
# ìí N+]  
¤
¤
ëè  
ê
¤
ìí  
ìí +] ð å N+]ë  
¤
¤
íïíì  
íïíëè  
íïíëè  
íïíè  
ìæïä  
¤
8,  
8,  
8,  
8,  
G%P  
G%  
-LWWHU DGGHG E\ WKH WUDQVPLWWHUê  
å N+] ð éí N+]  
ìí +] ð éí N+]  
%URDG %DQG  
¤
¤
¤
¤
¤
¤
2XWSXW SRZHU OHYHOVë  
'6ì ë N+] %:  
# ææë N+]  
ìëïç  
ðëäïí  
¤
# ìèéé N+]è  
¤
3RVLWLYH WR QHJDWLYH SXOVH LPEDODQFH  
¤
ìêïç  
èíí  
¤
¤
¤
íïè  
¤
G%  
G%  
6HQVLWLYLW\ EHORZ '6;ç  
õí G%   ëïé   
¤
¤
P9  
kΩ  
9
5HFHLYHU LQSXW LPSHGDQFH  
/RVV RI 6LJQDO WKUHVKROG  
'DWD GHFLVLRQ WKUHVKROG  
éí  
íïê  
æí  
èí  
ìæè  
ìëíí  
¤
¤
¤
¤
'6;ðì  
 
çê  
éê  
ìçí  
¤
ææ  
èæ  
ìäí  
¤
ø SHDN  
ø SHDN  
¤
$OORZDEOH FRQVHFXWLYH ]HURV EHIRUH /26  
,QSXW MLWWHU WROHUDQFH  
ìí +]  
ææè +]  
8,  
ìé  
íïé  
¤
¤
8,  
ìí N+] ð ìíí N+]  
-LWWHU DWWHQXDWLRQ FXUYH FRUQHU IUHTXHQF\é  
¤
¤
8,  
ê
¤
+]  
-LWWHU DWWHQXDWLRQ  
¤
èí  
¤
¤
GE  
-LWWHU DWWHQXDWLRQ WROHUDQFH EHIRUH ),)2 2YHUIORZë  
ëå  
¤
8,  
ìï 7\SLFDO YDOXHV DUH PHDVXUHG DW ëè °& DQG DUH IRU GHVLJQ DLG RQO\â QRW JXDUDQWHHG DQG QRW VXEMHFW WR SURGXFWLRQ WHVWLQJï  
ëï 1RW SURGXFWLRQ WHVWHG EXW JXDUDQWHHG E\ GHVLJQ DQG RWKHU FRUUHODWLRQ PHWKRGVï  
êï ,QSXW VLJQDO WR 7&/. LV MLWWHUðIUHHï  
éï &LUFXLW DWWHQXDWHV MLWWHU DW ëí G%îGHFDGH DERYH WKH FRUQHU IUHTXHQF\ï  
èï 5HIHUHQFHG WR SRZHU LQ ë N+] EDQGï  
çï :LWK D PD[LPXP RI ç G% RI FDEOH DWWHQXDWLRQï  
ëðìè  
L1  
/;7êíí= î /;7êíì= $GYDQFHG 7ìî(ì 6KRUWð+DXO 7UDQVFHLYHUV  
)LJXUH äã /;7êíí= 5[ -LWWHU 7ROHUDQFH õ7\SLFDOô  
ìíííí 8,  
ìëíí 8,  
ìííí 8,  
ìêå 8,  
ìíí 8,  
/;7êíí= 3HUIRUPDQFH  
3XE çëéìì  
ëå 8,  
'HF ìääí  
ìí 8,  
ìïë 8,  
ìïè 8,  
ì 8,  
íïé 8,  
íïë 8,  
íïì 8,  
êí N+]  
ìí N+] ìíí N+]  
ìí +]  
ìíí +]  
ì N+]  
ì +]  
)UHTXHQF\  
)LJXUH ìíã /;7êíí= 5[ -LWWHU 7UDQVIHU 3HUIRUPDQFH õ7\SLFDOô  
ëí G%  
$7÷7 çëéìì 7HPSODWH 6ORSH  
HTXLYDOHQW WR ëí G% SHU GHFDGH  
íïè G% î ê +]  
íïè G% î éí +]  
&&,77 *ïæêè 7HPSODWH 6ORSH  
HTXLYDOHQW WR ëí G% SHU GHFDGH  
í G%  
ðìí G%  
ðëí G%  
ðêí G%  
ðéí G%  
ðçí G%  
ìäïè G% î  
éíí +]  
ìäïè G% î  
ìíí +]  
$7÷7 çëéìì 7HPSODWH 6ORSH  
HTXLYDOHQW WR éí G% SHU GHFDGH  
7\SLFDO /;7êíí= 3HUIRUPDQFH  
ëí +]  
ìéèí +]  
ìí +]  
ìí +]  
ìí +]  
ìí +]  
ìí +]  
ìí +]  
)UHTXHQF\  
ëðìç  
L1  
7HVW 6SHFLILFDWLRQV  
7DEOH ìíã /;7êíí= 5HFHLYHU 7LPLQJ &KDUDFWHULVWLFV õ6HH )LJXUH ììô  
7HVW  
&RQGLWLRQV  
3DUDPHWHU  
6\P  
0LQ  
7\Sì  
0D[  
8QLWV  
5HFHLYH FORFN GXW\ F\FOH  
5&/.G  
W3:  
éí  
¤
ð
çí  
¤
ø
QV  
QV  
QV  
QV  
QV  
QV  
'6;ðì  
 
êëé  
ëéé  
ëæé  
ìäé  
ëæé  
ìäé  
5HFHLYH FORFN SXOVH ZLGWKë  
W3:  
¤
¤
5326î51(* WR 5&/. ULVLQJ  
VHWXS WLPH  
'6;ðì  
 
W685  
W685  
W+5  
¤
¤
¤
¤
5&/. ULVLQJ WR 5326î51(*  
KROG WLPH  
'6;ðì  
 
¤
¤
W+5  
¤
¤
ìï 7\SLFDO YDOXHV DUH DW ëè ƒ& DQG DUH IRU GHVLJQ DLG RQO\â WKH\ DUH QRW JXDUDQWHHG DQG QRW VXEMHFW WR SURGXFWLRQ WHVWLQJï  
)LJXUH ììã /;7êíí= 5HFHLYH &ORFN 7LPLQJ 'LDJUDP  
3:  
W
3:+  
W
3:/  
W
5&/.  
685  
+5  
W
W
5326  
51(*  
+RVW 0RGH  
&/.(   ì  
685  
W
+5  
W
+RVW 0RGH  
&/.(   íñ ÷  
+î: 0RGH  
5326  
51(*  
ëðìæ  
L1  
 
/;7êíí= î /;7êíì= $GYDQFHG 7ìî(ì 6KRUWð+DXO 7UDQVFHLYHUV  
7DEOH ììã /;7êíì= 5HFHLYH 7LPLQJ &KDUDFWHULVWLFV õ6HH )LJXUH ìëô  
7HVW  
&RQGLWLRQV  
3DUDPHWHU  
6\P  
0LQ  
7\Sì  
0D[  
8QLWV  
'6;ðì  
 
5&/.G  
5&/.G  
éí  
éí  
èí  
çí  
çí  
æíë  
èëä  
¤
ø
ø
QV  
QV  
QV  
QV  
QV  
QV  
QV  
QV  
QV  
QV  
5HFHLYH FORFN GXW\ F\FOHë  
èí  
3:  
W
'6;ðì  
 
èäé  
ééæ  
¤
çéå  
éåå  
êëé  
ëéé  
êëé  
ëéé  
ëæí  
ëíê  
ëæí  
ëíê  
5HFHLYH FORFN SXOVH ZLGWKë  
3:  
W
3:+  
W
'6;ðì  
 
5HFHLYH FORFN SXOVH ZLGWK  
KLJK  
3:+  
W
¤
¤
3:/  
'6;ðì  
 
W
ëæí  
ëíê  
èí  
êæå  
ëåè  
¤
5HFHLYH FORFN SXOVH ZLGWK  
ORZ  
3:/  
W
5326î51(* WR 5&/. ULVLQJ  
VHWXS WLPH  
685  
'6;ðì  
 
W
685  
W
èí  
¤
5&/. ULVLQJ WR 5326î51(*  
KROG WLPH  
+5  
W
'6;ðì  
 
èí  
¤
+5  
W
èí  
¤
ìï 7\SLFDO YDOXHV DUH DW ëè ƒ& DQG DUH IRU GHVLJQ DLG RQO\â WKH\ DUH QRW JXDUDQWHHG DQG QRW VXEMHFW WR SURGXFWLRQ WHVWLQJï  
ëï 5&/. GXW\ F\FOH ZLGWKV ZLOO YDU\ GHSHQGLQJ RQ H[WHQW RI UHFHLYHG SXOVH MLWWHU GLVSODFHPHQWï 0D[ DQG 0LQ 5&/. GXW\ F\FOHV DUH IRU ZRUVW FDVH  
MLWWHU FRQGLWLRQV õíïé 8, FORFN GLVSODFHPHQW IRU ìïèéé 0+]ñ íïë 8, FORFN GLVSODFHPHQW IRU ëïíéå 0+]ôï  
)LJXUH ìëã /;7êíì= 5HFHLYH &ORFN 7LPLQJ 'LDJUDP  
3:  
W
3:/  
W
3:+  
W
5&/.  
685  
W
+5  
W
5326  
51(*  
ëðìå  
L1  
 
7HVW 6SHFLILFDWLRQV  
7DEOH ìëã /;7êíí=îêíì= 0DVWHU &ORFN DQG 7UDQVPLW 7LPLQJ &KDUDFWHULVWLFV õ6HH )LJXUH ìêô  
3DUDPHWHU  
6\P  
0LQ  
7\Sì  
0D[  
8QLWV  
'6;ðì  
 
0&/.  
0&/.  
0&/.W  
0&/.G  
IF  
¤
¤
ìïèéé  
ëïíéå  
‘ìíí  
¤
¤
¤
0+]  
0+]  
SSP  
ø
0DVWHU FORFN IUHTXHQF\  
0DVWHU FORFN WROHUDQFH  
0DVWHU FORFN GXW\ F\FOH  
¤
¤
éí  
¤
çí  
¤
&U\VWDO IUHTXHQF\  
õ/;7êíí= RQO\ô  
'6;ðì  
 
çïìæç  
åïìäë  
ìïèéé  
ëïíéå  
‘èí  
¤
0+]  
0+]  
0+]  
0+]  
SSP  
ø
IF  
¤
¤
'6;ðì  
 
7&/.  
7&/.  
7&/.W  
7&/.G  
W687  
¤
¤
7UDQVPLW FORFN IUHTXHQF\  
¤
¤
7UDQVPLW FORFN WROHUDQFH  
¤
¤
7UDQVPLW FORFN GXW\ F\FOH  
ìí  
ëè  
ëè  
äí  
¤
7326î71(* WR 7&/. VHWXS WLPH  
7&/. WR 7326î71(* KROG WLPH  
¤
QV  
W+7  
¤
¤
QV  
ìï 7\SLFDO YDOXHV DUH DW ëè ƒ& DQG DUH IRU GHVLJQ DLG RQO\â WKH\ DUH QRW JXDUDQWHHG DQG QRW VXEMHFW WR SURGXFWLRQ WHVWLQJï  
ëï 1RW SURGXFWLRQ WHVWHG EXW JXDUDQWHHG E\ GHVLJQ DQG RWKHU FRUUHODWLRQ PHWKRGVï  
)LJXUH ìêã /;7êíí=îêíì= 7UDQVPLW &ORFN 7LPLQJ 'LDJUDP  
7&/.  
687  
W
+7  
W
7326  
71(*  
ëðìä  
L1  
 
/;7êíí= î /;7êíì= $GYDQFHG 7ìî(ì 6KRUWð+DXO 7UDQVFHLYHUV  
7DEOH ìêã /;7êíí= 6HULDO ,î2 7LPLQJ &KDUDFWHULVWLFV õ6HH )LJXUHV ìé DQG ìèô  
3DUDPHWHU  
6\P  
0LQ  
7\Sì  
0D[  
8QLWV  
7HVW &RQGLWLRQV  
5LVHî)DOO WLPH ð DQ\ GLJLWDO RXWSXW  
6', WR 6&/. VHWXS WLPH  
6&/. WR 6', KROG WLPH  
6&/. ORZ WLPH  
W5)  
W'&  
¤
èí  
èí  
ëéí  
ëéí  
¤
¤
¤
ìíí  
¤
QV  
QV  
QV  
QV  
QV  
QV  
QV  
QV  
QV  
QV  
QV  
/RDG ìïç P$ñ èí S)  
W&'+  
W&/  
¤
¤
¤
¤
6&/. KLJK WLPH  
W&+  
¤
¤
6&/. ULVH DQG IDOO WLPH  
CS WR 6&/. VHWXS WLPH  
6&/. WR CS KROG WLPH  
CS LQDFWLYH WLPH  
W5ñ W)  
W&&  
¤
èí  
¤
èí  
èí  
ëèí  
¤
¤
W&&+  
W&:+  
W&'9  
W&'=  
¤
¤
¤
¤
6&/. WR 6'2 YDOLG  
¤
ëíí  
¤
6&/. IDOOLQJ HGJH RU CS ULVLQJ  
¤
ìíí  
HGJH WR 6'2 KLJK =  
ìï 7\SLFDO YDOXHV DUH DW ë胠& DQG DUH IRU GHVLJQ DLG RQO\â WKH\ DUH QRW JXDUDQWHHG DQG QRW VXEMHFW WR SURGXFWLRQ WHVWLQJï  
)LJXUH ìéã /;7êíí= 6HULDO 'DWD ,QSXW 7LPLQJ 'LDJUDP  
&6  
W&:+  
W&&+  
W&+  
W&&  
W'&  
W&/  
6&/.  
6',  
W&'+  
W&'+  
/6%  
06%  
/6%  
&21752/ %<7(  
'$7$ %<7(  
)LJXUH ìèã /;7êíí= 6HULDO 'DWD 2XWSXW 7LPLQJ 'LDJUDP  
&6  
W&'=  
6&/.  
W&'9  
W&'=  
+,*+ =  
6'2  
&/.( ì  
W&'9  
+,*+ =  
6'2  
&/.( í  
ëðëí  
L1  
 
 

相关型号:

LXT301ZNI

PCM Transceiver, 1-Func, CMOS, PDIP28, 0.600 INCH, PLASTIC, DIP-28
INTEL

LXT301ZPC

PCM Transceiver, 1-Func, CMOS, PQCC28, PLASTIC, LCC-28
LevelOne

LXT301ZPC

PCM Transceiver, 1-Func, CMOS, PQCC28, PLASTIC, LCC-28
INTEL

LXT301ZPE

PCM TRANSCEIVER|SINGLE|CEPT PCM-30/E-1|CMOS|LDCC|28PIN|PLASTIC
ETC

LXT301ZPH

PCM Transceiver, 1-Func, CMOS, PQCC28, PLASTIC, LCC-28
INTEL

LXT301ZPI

PCM Transceiver, 1-Func, CMOS, PQCC28, PLASTIC, LCC-28
LevelOne

LXT301ZPI

PCM Transceiver, 1-Func, CMOS, PQCC28, PLASTIC, LCC-28
INTEL

LXT304

T1/E1/CEPT/ISDN-PRI TRANSFORMERS
PULSE

LXT304A

Low-Power T1/E1 Short-Haul Transceiver with Receive JA
LevelOne

LXT304AJE

PCM Transceiver
ETC

LXT304ANC

PCM Transceiver, 1-Func, CMOS, PDIP28, DIP-28
LevelOne

LXT304ANE

PCM TRANSCEIVER|SINGLE|CEPT PCM-30/E-1|CMOS|DIP|28PIN|PLASTIC
ETC