MC68HC11A1MFU2R2 [NXP]

IC,MICROCONTROLLER,8-BIT,6800 CPU,HC-CMOS,QFP,64PIN,PLASTIC;
MC68HC11A1MFU2R2
型号: MC68HC11A1MFU2R2
厂家: NXP    NXP
描述:

IC,MICROCONTROLLER,8-BIT,6800 CPU,HC-CMOS,QFP,64PIN,PLASTIC

微控制器
文件: 总158页 (文件大小:3803K)
中文:  中文翻译
下载:  下载PDF数据表文档文件
Freescale Semiconductor, Inc.  
MC68HC11A8  
HCMOS Single-Chip Microcontroller  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
TABLE OF CONTENTS  
Paragraph  
Number  
Page  
Number  
Title  
1 INTRODUCTION  
1.1  
Features ....................................................................................................1-1  
Hardware Features ............................................................................1-1  
Software Features .............................................................................1-1  
General Description ...................................................................................1-1  
Programmer’s Model .................................................................................1-2  
Summary of M68HC11 Family ..................................................................1-3  
1.1.1  
1.1.2  
1.2  
1.3  
1.4  
2 SIGNAL DESCRIPTIONS AND OPERATING MODES  
2.1  
Signal Pin Descriptions .............................................................................2-1  
2.1.1  
Input Power (V ) and Ground (V ) ................................................2-1  
DD SS  
2.1.2  
2.1.3  
2.1.4  
2.1.5  
2.1.6  
2.1.7  
Reset (RESET) ..................................................................................2-1  
Crystal Driver and External Clock Input (XTAL, EXTAL) ...................2-1  
E Clock Output (E) ............................................................................2-3  
Interrupt Request (IRQ) .....................................................................2-3  
Non-Maskable Interrupt (XIRQ) .........................................................2-3  
Mode A/Load Instruction Register and Mode B/Standby Voltage (MODA/  
LIR, MODB/V  
2.1.8  
) 2-3  
STBY  
A/D Converter Reference Voltages (V , V ) .................................2-4  
RL  
RH  
2.1.9  
Strobe B and Read/Write (STRB/R/W) .............................................2-4  
Strobe A and Address Strobe (STRA/AS) .........................................2-4  
Port Signals .......................................................................................2-4  
Port A ........................................................................................2-5  
Port B ........................................................................................2-5  
Port C ........................................................................................2-5  
Port D ........................................................................................2-5  
Port E ........................................................................................2-6  
2.1.10  
2.1.11  
2.1.11.1  
2.1.11.2  
2.1.11.3  
2.1.11.4  
2.1.11.5  
2.2  
Operating Modes .......................................................................................2-6  
Single-Chip Operating Mode .............................................................2-6  
Expanded Multiplexed Operating Mode ............................................2-6  
Special Bootstrap Operating Mode ...................................................2-8  
Additional Boot Loader Program Options ........................................2-10  
Special Test Operating Mode ..........................................................2-10  
2.2.1  
2.2.2  
2.2.3  
2.2.4  
2.2.5  
3 ON-CHIP MEMORY  
3.1  
3.2  
3.3  
3.4  
3.5  
3.5.1  
Memory Maps ............................................................................................3-1  
RAM and I/O Mapping Register (INIT) ......................................................3-4  
ROM ..........................................................................................................3-5  
RAM ..........................................................................................................3-5  
EEPROM ...................................................................................................3-5  
EEPROM Programming Control Register (PPROG) .........................3-6  
iii  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
3.5.2  
Programming/Erasing Internal EEPROM ..........................................3-7  
Read ..........................................................................................3-7  
Programming .............................................................................3-7  
Bulk Erase .................................................................................3-8  
Row Erase .................................................................................3-8  
Byte Erase .................................................................................3-9  
System Configuration Register (CONFIG) ........................................3-9  
Programming and Erasure of the CONFIG Register ...............3-10  
Operation of the Configuration Mechanism .............................3-12  
3.5.2.1  
3.5.2.2  
3.5.2.3  
3.5.2.4  
3.5.2.5  
3.5.3  
3.5.3.1  
3.5.3.2  
4 PARALLEL I/O  
4.1  
4.2  
4.3  
4.3.1  
4.3.2  
4.4  
4.4.1  
4.4.2  
4.5  
General-Purpose I/O (Ports C and D) .......................................................4-1  
Fixed Direction I/O (Ports A, B, and E) ......................................................4-1  
Simple Strobed I/O ....................................................................................4-2  
Strobed Input Port C ..........................................................................4-2  
Strobed Output Port B .......................................................................4-2  
Full Handshake I/O ....................................................................................4-2  
Input Handshake Protocol .................................................................4-3  
Output Handshake Protocol ..............................................................4-3  
Parallel I/O Control Register (PIOC) .........................................................4-4  
5 SERIAL COMMUNICATIONS INTERFACE  
5.1  
Overview and Features .............................................................................5-1  
SCI Two-Wire System Features ........................................................5-1  
SCI Receiver Features ......................................................................5-1  
SCI Transmitter Features ..................................................................5-1  
Data Format ..............................................................................................5-1  
Wake-Up Feature ......................................................................................5-2  
Receive Data (RxD) ..................................................................................5-2  
Start Bit Detection .....................................................................................5-3  
Transmit Data (TxD) ..................................................................................5-5  
Functional Description ...............................................................................5-5  
SCI Registers ............................................................................................5-5  
Serial Communications Data Register (SCDR) .................................5-6  
Serial Communications Control Register 1 (SCCR1) ........................5-8  
Serial Communications Control Register 2 (SCCR2) ........................5-8  
Serial Communications Status Register (SCSR) ............................5-10  
Baud Rate Register (BAUD) ............................................................5-11  
5.1.1  
5.1.2  
5.1.3  
5.2  
5.3  
5.4  
5.5  
5.6  
5.7  
5.8  
5.8.1  
5.8.2  
5.8.3  
5.8.4  
5.8.5  
6 SERIAL PERIPHERAL INTERFACE  
6.1  
6.2  
6.2.1  
6.2.2  
6.2.3  
Overview and Features .............................................................................6-1  
SPI Signal Descriptions .............................................................................6-1  
Master In Slave Out (MISO) ..............................................................6-1  
Master Out Slave In (MOSI) ..............................................................6-1  
Serial Clock (SCK) ............................................................................6-2  
MC68HC11A8  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
6.2.4  
6.3  
6.4  
6.4.1  
6.4.2  
6.4.3  
Slave Select (SS) ..............................................................................6-2  
Functional Description ...............................................................................6-3  
SPI Registers ............................................................................................6-4  
Serial Peripheral Control Register (SPCR) .......................................6-4  
Serial Peripheral Status Register (SPSR) .........................................6-5  
Serial Peripheral Data l/O Register (SPDR) ......................................6-6  
7 ANALOG-TO-DIGITAL CONVERTER  
7.1  
7.2  
7.3  
7.4  
7.5  
7.6  
7.7  
7.8  
Conversion Process ..................................................................................7-1  
Channel Assignments ...............................................................................7-1  
Single-Channel Operation .........................................................................7-2  
Multiple-Channel Operation .......................................................................7-2  
Operation in STOP and WAIT Modes .......................................................7-3  
A/D Control/Status Register (ADCTL) .......................................................7-3  
A/D Result Registers 1, 2, 3, and 4 (ADR1, ADR2, ADR3, and ADR4) ....7-5  
A/D Power-Up and Clock Select ...............................................................7-5  
8 PROGRAMMABLE TIMER, RTI, AND PULSE ACCUMULATOR  
8.1  
Programmable Timer .................................................................................8-1  
Counter ..............................................................................................8-1  
Input Capture .....................................................................................8-1  
Output Compare ................................................................................8-2  
Output Compare 1 I/O Pin Control ....................................................8-2  
Timer Compare Force Register (CFORC) .........................................8-3  
Output Compare 1 Mask Register (OC1M) .......................................8-3  
Output Compare 1 Data Register (OC1D) ........................................8-4  
Timer Control Register 1 (TCTL1) .....................................................8-4  
Timer Control Register 2 (TCTL2) .....................................................8-5  
Timer Interrupt Mask Register 1 (TMSK1) ........................................8-5  
Timer Interrupt Flag Register 1 (TFLG1) ...........................................8-5  
Timer Interrupt Mask Register 2 (TMSK2) ........................................8-6  
Timer Interrupt Flag Register 2 (TFLG2) ...........................................8-7  
Real-Time Interrupt ...................................................................................8-8  
Pulse Accumulator ....................................................................................8-8  
Pulse Accumulator Control Register (PACTL) ..................................8-8  
8.1.1  
8.1.2  
8.1.3  
8.1.4  
8.1.5  
8.1.6  
8.1.7  
8.1.8  
8.1.9  
8.1.10  
8.1.11  
8.1.12  
8.1.13  
8.2  
8.3  
8.3.1  
9 RESETS, INTERRUPTS, AND LOW POWER MODES  
9.1  
9.1.1  
9.1.2  
9.1.2.1  
9.1.2.2  
9.1.2.3  
9.1.2.4  
9.1.2.5  
Resets .......................................................................................................9-1  
External RESET Pin ..........................................................................9-1  
Power-On Reset ................................................................................9-1  
CPU ...........................................................................................9-2  
Memory Map .............................................................................9-3  
Parallel l/O .................................................................................9-3  
Timer .........................................................................................9-3  
Real-Time Interrupt ...................................................................9-4  
v
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
9.1.2.6  
9.1.2.7  
9.1.2.8  
9.1.2.9  
9.1.2.10  
9.1.2.11  
9.1.3  
9.1.4  
9.1.5  
9.2  
9.2.1  
9.2.2  
9.2.3  
9.2.4  
9.2.5  
9.3  
9.3.1  
9.3.2  
Pulse Accumulator ....................................................................9-4  
COP ..........................................................................................9-4  
SCI Serial l/O ............................................................................9-4  
SPI Serial l/O .............................................................................9-4  
A/D Converter ...........................................................................9-4  
System ......................................................................................9-4  
Computer Operating Properly (COP) Reset ......................................9-5  
Clock Monitor Reset ..........................................................................9-6  
Configuration Options Register (OPTION) ........................................9-6  
Interrupts ...................................................................................................9-7  
Software Interrupt (SWI) ....................................................................9-9  
Illegal Opcode Trap ...........................................................................9-9  
Interrupt Mask Bits in Condition Code Register ................................9-9  
Priority Structure ..............................................................................9-10  
Highest Priority I Interrupt Register (HPRIO) ..................................9-10  
Low-Power Modes ...................................................................................9-17  
WAIT Instruction ..............................................................................9-17  
STOP Instruction .............................................................................9-17  
10 CPU, ADDRESSING MODES, AND INSTRUCTION SET  
10.1  
CPU Registers .........................................................................................10-1  
Accumulators A and B .....................................................................10-1  
Index Register X (IX) .......................................................................10-1  
Index Register Y (IY) .......................................................................10-2  
Stack Pointer (SP) ...........................................................................10-2  
Program Counter (PC) ....................................................................10-2  
Condition Code Register (CCR) ......................................................10-2  
Carry/Borrow (C) .....................................................................10-3  
Overflow (V) ............................................................................10-3  
Zero (Z) ...................................................................................10-3  
Negative (N) ............................................................................10-3  
Interrupt Mask (I) .....................................................................10-3  
Half Carry (H) ..........................................................................10-3  
X Interrupt Mask (X) ................................................................10-3  
Stop Disable (S) ......................................................................10-3  
Addressing Modes ...................................................................................10-3  
Immediate Addressing .....................................................................10-4  
Direct Addressing ............................................................................10-4  
Extended Addressing ......................................................................10-4  
Indexed Addressing .........................................................................10-4  
Inherent Addressing ........................................................................10-4  
Relative Addressing ........................................................................10-4  
Prebyte ............................................................................................10-5  
Instruction Set .........................................................................................10-5  
10.1.1  
10.1.2  
10.1.3  
10.1.4  
10.1.5  
10.1.6  
10.1.6.1  
10.1.6.2  
10.1.6.3  
10.1.6.4  
10.1.6.5  
10.1.6.6  
10.1.6.7  
10.1.6.8  
10.2  
10.2.1  
10.2.2  
10.2.3  
10.2.4  
10.2.5  
10.2.6  
10.2.7  
10.3  
A ELECTRICAL CHARACTERISTICS  
MC68HC11A8  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
B MECHANICAL DATA AND ORDERING INFORMATION  
B.1  
B.2  
Pin Assignments ....................................................................................... B-1  
Package Dimensions ................................................................................ B-3  
C DEVELOPMENT SUPPORT  
C.1  
C.1.1  
C.2  
C.2.1  
C.3  
C.3.1  
C.4  
M68HC11EVB — Evaluation Board ......................................................... C-1  
EVB Features ................................................................................... C-1  
M68HC11EVBU — Universal Evaluation Board ...................................... C-1  
EVBU Features ................................................................................ C-1  
M68HC11EVM — Evaluation Module ...................................................... C-2  
EVM Features .................................................................................. C-2  
MMDS11 — Modular Development System ............................................. C-2  
MMDS11Features ............................................................................ C-3  
C.4.1  
SUMMARY OF CHANGES  
vii  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
MC68HC11A8  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
LIST OF ILLUSTRATIONS  
Figure  
Page  
1-1  
1-2  
2-1  
2-2  
2-3  
2-4  
3-1  
5-1  
5-2  
5-3  
5-4  
5-5  
5-6  
5-7  
6-1  
6-2  
6-3  
7-1  
7-2  
9-1  
9-2  
9-3  
9-4  
9-4  
9-5  
9-5  
9-6  
10-1  
10-2  
A-1  
A-2  
A-3  
A-4  
A-5  
A-6  
A-7  
A-8  
A-9  
A-10  
A-11  
A-12  
A-13  
Block Diagram ................................................................................................ 1-2  
Programming Model ....................................................................................... 1-3  
Common Crystal Connections ........................................................................ 2-2  
External Oscillator Connections ..................................................................... 2-2  
One Crystal Driving Two MCUs ..................................................................... 2-2  
Address/Data Demultiplexing ......................................................................... 2-8  
Memory Maps ................................................................................................. 3-1  
Data Format ................................................................................................... 5-2  
Sampling Technique Used on All Bits ............................................................ 5-3  
Examples of Start Bit Sampling Techniques .................................................. 5-4  
SCI Artificial Start Following a Framing Error ................................................. 5-4  
SCI Start Bit Following a Break ...................................................................... 5-4  
Serial Communications Interface Block Diagram ........................................... 5-7  
Rate Generator Division ............................................................................... 5-12  
Data Clock Timing Diagram ........................................................................... 6-2  
Serial Peripheral Interface Block Diagram ..................................................... 6-3  
Serial Peripheral Interface Master-Slave Interconnection .............................. 6-4  
A/D Conversion Sequence ............................................................................. 7-2  
A/D Pin Model ................................................................................................ 7-2  
Reset Timing .................................................................................................. 9-2  
Simple LVI Reset Circuit ................................................................................ 9-3  
Interrupt Stacking Order ................................................................................. 9-9  
Processing Flow Out of Resets (Sheet 1 of 2) ............................................. 9-12  
Processing Flow Out of Resets (Sheet 2 of 2) ............................................. 9-13  
Interrupt Priority Resolution (Sheet 1 of 2) ................................................... 9-14  
Interrupt Priority Resolution (Sheet 2 of 2) ................................................... 9-15  
Interrupt Source Resolution Within SCI ........................................................ 9-16  
Programming Model ..................................................................................... 10-2  
Special Operations ..................................................................................... 10-12  
Test Methods ..................................................................................................A-4  
Timer Inputs ...................................................................................................A-7  
POR and External Reset Timing Diagram ......................................................A-8  
STOP Recovery Timing Diagram ...................................................................A-9  
WAIT Recovery Timing Diagram ..................................................................A-10  
Interrupt Timing Diagram ..............................................................................A-11  
Port Write Timing Diagram ...........................................................................A-14  
Port Read Timing Diagram ...........................................................................A-14  
Simple Output Strobe Timing Diagram .........................................................A-14  
Simple Input Strobe Timing Diagram ...........................................................A-15  
Port C Input Handshake Timing Diagram .....................................................A-15  
Port C Output Handshake Timing Diagram ..................................................A-15  
Three-State Variation of Output Handshake Timing Diagram  
(STRA Enables Output Buffer) A-16  
A-14  
A-8  
Multiplexed Expansion Bus Timing Diagram ................................................A-21  
a) SPI Master Timing (CPHA = 0) ................................................................A-24  
ix  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
A-8  
b) SPI Master Timing (CPHA = 1) ................................................................A-24  
SPI Timing Diagram (1 of 2) .........................................................................A-24  
c) SPI Slave Timing (CPHA = 0) ..................................................................A-25  
d) SPI Slave Timing (CPHA = 1) ..................................................................A-25  
SPI Timing Diagrams (2 of 2) .......................................................................A-25  
52-Pin PLCC ..................................................................................................B-1  
48-Pin DIP ......................................................................................................B-2  
64-Pin QFP .....................................................................................................B-3  
M68HC11 P/N Options ...................................................................................B-5  
A-15  
A-15  
A-15  
A-15  
B-1  
B-2  
B-3  
B-4  
MC68HC11A8  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
LIST OF TABLES  
Table  
Page  
1-1 M68HC11 Family Devices ..................................................................................... 1-4  
2-1 Operating Modes vs. MODA and MODB............................................................... 2-3  
2-2 Port Signal Summary............................................................................................. 2-7  
2-3 Bootstrap Mode Interrupt Vectors.......................................................................... 2-9  
3-1 Register and Control Bit Assignments................................................................... 3-2  
4-1 Handshake l/O Operations Summary.................................................................... 4-4  
5-1 First Prescaler Stage ........................................................................................... 5-11  
5-2 Second Prescaler Stage...................................................................................... 5-12  
5-3 Prescaler Highest Baud Rate Frequency Output................................................. 5-12  
5-4 Transmit Baud Rate Output for a Given Prescaler Output .................................. 5-13  
6-1 Serial Peripheral Rate Selection............................................................................ 6-5  
7-1 Analog-to-Digital Channel Assignments ................................................................ 7-4  
8-1 Real Time Interrupt Rate versus RTR1 and RTR0................................................ 8-9  
9-1 COP Timeout Period versus CR1 and CR0........................................................... 9-5  
9-2 IRQ Vector Interrupts............................................................................................. 9-8  
9-3 Interrupt Vector Assignments ................................................................................ 9-8  
9-4 SCI Serial System Interrupts ................................................................................. 9-9  
9-5 Mode Bits Relationship........................................................................................ 9-11  
9-6 Highest Priority I Interrupt versus PSEL[3:0] ....................................................... 9-17  
9-7 Pin State Summary for RESET, STOP, and WAIT.............................................. 9-18  
10-1 MC68HC11A8 Instructions, Addressing Modes, and Execution Times............. 10-6  
10-2 Cycle-by-Cycle Operation — Inherent Mode................................................... 10-13  
10-3 Cycle-by-Cycle Operation — Immediate Mode ............................................... 10-16  
10-4 Cycle-by-Cycle Operation — Direct Mode....................................................... 10-16  
10-5 Cycle-by-Cycle Operation — Extended Mode................................................. 10-18  
10-6 Cycle-by-Cycle Operation — Indexed X Mode................................................ 10-19  
10-7 Cycle-by-Cycle Operation — Indexed Y Mode................................................ 10-21  
10-8 Cycle-by-Cycle Operation — Relative Mode ................................................... 10-22  
A-1 Maximum Rating ...................................................................................................A-1  
A-2 Thermal Characteristics ........................................................................................A-1  
A-3 DC Electrical Characteristics.................................................................................A-2  
A-3 DC Electrical Characteristics (MC68L11A8) ........................................................A-3  
A-4 Control Timing.......................................................................................................A-5  
A-4 Control Timing (MC68L11A8) ...............................................................................A-6  
A-5 Peripheral Port Timing.........................................................................................A-12  
A-5 Peripheral Port Timing (MC68L11A8) ................................................................A-13  
A-6 Analog-To-Digital Converter Characteristics.......................................................A-17  
A-6 Analog-To-Digital Converter Characteristics (MC68L11A8) ...............................A-18  
A-7 Expansion Bus Timing.........................................................................................A-19  
A-7 Expansion Bus Timing (MC68L11A8) ................................................................A-20  
A-8 Serial Peripheral Interface (SPI) Timing..............................................................A-22  
A-8 Serial Peripheral Interface (SPI) Timing (MC68L11A8) .....................................A-23  
xi  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
A-9 EEPROM Characteristics....................................................................................A-26  
A-9 EEPROM Characteristics (MC68L11A8) ............................................................A-26  
B-1 Ordering Information .............................................................................................B-4  
MC68HC11A8  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
1 INTRODUCTION  
The HCMOS MC68HC11A8 is an advanced 8-bit microcontroller (MCU) with highly  
sophisticated on-chip peripheral capabilities. A fully static design and high-density  
complementary metal-oxide semiconductor (HCMOS) fabrication process allow E-se-  
ries devices to operate at frequencies from 3 MHz to dc, with very low power consump-  
tion.  
1.1 Features  
The following are some of the hardware and software highlights.  
1.1.1 Hardware Features  
• 8 Kbytes of ROM  
• 512 Bytes of EEPROM  
• 256 Bytes of RAM (All Saved During Standby) Relocatable to Any 4K Boundary  
• Enhanced 16-Bit Timer System:  
— Four Stage Programmable Prescaler  
— Three Input Capture Functions  
1
— Five Output Compare Functions  
• 8-Bit Pulse Accumulator Circuit  
• Enhanced NRZ Serial Communications Interface (SCI)  
• Serial Peripheral Interface (SPI)  
• Eight Channel, 8-Bit Analog-to-Digital Converter  
• Real Time Interrupt Circuit  
• Computer Operating Properly (COP) Watchdog System  
• Available in Dual-In-Line or Leaded Chip Carrier Packages  
1.1.2 Software Features  
• Enhanced M6800/M6801 Instruction Set  
• 16 x 16 Integer and Fractional Divide Features  
• Bit Manipulation  
• WAIT Mode  
• STOP Mode  
1.2 General Description  
The high-density CMOS technology (HCMOS) used on the MC68HC11A8 combines  
smaller size and higher speeds with the low power and high noise immunity of CMOS.  
On-chip memory systems include 8 Kbytes of ROM, 512 bytes of electrically erasable  
programmable ROM (EEPROM), and 256 bytes of static RAM.  
A block diagram of the MC68HC11A8 is shown in Figure 1-1. Major peripheral func-  
tions are provided on-chip. An eight channel analog-to-digital (A/D) converter is includ-  
ed with eight bits of resolution. An asynchronous serial communications interface  
INTRODUCTION  
MOTOROLA  
1-1  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
(SCI) and a separate synchronous serial peripheral interface (SPI) are included. The main  
16-bit free-running timer system has three input capture lines, five output compare lines, and  
a real-time interrupt function. An 8-bit pulse accumulator subsystem can count external  
events or measure external periods.  
Self monitoring circuitry is included on-chip to protect against system errors. A computer op-  
erating properly (COP) watchdog system protects against software failures. A clock monitor  
system generates a system reset in case the clock is lost or runs too slow. An illegal opcode  
detection circuit provides a non-maskable interrupt if an illegal opcode is detected.  
Two software controlled operating modes, WAIT and STOP, are available to conserve addi-  
tional power.  
MODB/  
STBY  
MODA/  
LIR  
IRQ  
V
XTAL EXTAL  
OSCILLATOR  
E
XIRQ  
RESET  
8 KBYTES ROM  
V
DD  
V
SS  
MODE  
INTERRUPT LOGIC  
CONTROL  
CLOCK LOGIC  
1
512 BYTES EEPROM  
256 BYTES RAM  
CPU  
V
V
RH  
RL  
BUS EXPANSION  
ADDRESS  
ADDRESS/DATA  
TIMER  
SYSTEM  
SPI  
SCI  
A/D CONVERTER  
STROBE AND HANDSHAKE  
PARALLEL I/O  
CONTROL  
PORT C  
CONTROL  
PORT D  
PORT A  
PORT B  
PORT E  
* NOT BONDED ON 48-PIN VERSION.  
A8 BLOCK  
Figure 1-1 Block Diagram  
1.3 Programmer’s Model  
In addition to being able to execute all M6800 and M6801 instructions, the MC68HC11A8 al-  
lows execution of 91 new opcodes. Figure 1-2 shows the seven CPU registers which are  
available to the programmer.  
INTRODUCTION  
MC68HC11A8  
1-2  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
8-BIT ACCUMULATORS A & B  
OR 16-BIT DOUBLE ACCUMULATOR D  
7
15  
A
0
7
B
0
0
D
IX  
INDEX REGISTER X  
INDEX REGISTER Y  
STACK POINTER  
IY  
SP  
PC  
PROGRAM COUNTER  
CONDITION CODES  
7
S
0
X
H
I
N
Z
V
C
CARRY/BORROW FROM MSB  
OVERFLOW  
ZERO  
NEGATIVE  
I-INTERRUPT MASK  
HALF CARRY (FROM BIT 3)  
X-INTERRUPT MASK  
1
STOP DISABLE  
Figure 1-2 Programming Model  
1.4 Summary of M68HC11 Family  
Table 1-1 and the following paragraphs summarize the current members of the  
M68HC11 family of MCUs. This technical data book describes the MC68HC11A8 ver-  
sion and can be used as a primary reference for several other versions of the  
M68HC11 family. However, with the exception of the CPU, some newer members dif-  
fer greatly from the MC68HC11A8 MCU and their respective technical literature  
should be referenced.  
Several of the device series within the M68HC11 family have x1 and x0 versions.  
These are identical to the main member of the series but have some of their on-chip  
resources disabled. For instance, an MC68HC11A1 is identical to the MC68HC11A8  
except that its ROM is disabled. An MC68HC11A0 has disabled EPROM and EE-  
PROM arrays. Refer to Table 1-1.  
Nearly all series within the M68HC11 family have both a ROM version and an EPROM  
version. Any device in the M68HC11 family that has a 7 preceding the 11 is a device  
containing EPROM instead of ROM (e.g., MC68HC711E9). These devices operate  
exactly as the custom ROM-based version (e.g., MC68HC11E9) but can be pro-  
grammed by the user. EPROM-based devices in a windowed package can be erased  
and reprogrammed indefinitely. EPROM-based devices in standard packages are  
one-time-programmable (OTP). Refer to Table 1-1.  
INTRODUCTION  
MOTOROLA  
1-3  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
Table 1-1 M68HC11 Family Devices  
Device  
RAM  
256  
256  
256  
256  
192  
192  
192  
512  
512  
512  
512  
512  
512  
256  
768  
768  
1024  
ROM  
8K  
8K  
0
EPROM EEPROM  
COMMENTS  
MC68HC11A8  
MC68HC11A7  
MC68HC11A1  
MC68HC11A0  
MC68HC11D3  
MC68HC711D3  
MC68HC11D0  
MC68HC11ED0  
MC68HC11E9  
MC68HC711E9  
MC68HC11E8  
MC68HC11E1  
MC68HC11E0  
MC68HC811E2  
MC68HC11E20  
MC68HC711E20  
MC68HC11F1  
0
0
512  
0
16-bit timer; 8 channel 8-bit A/D, SCI, SPI  
0
512  
0
0
0
4K  
0
0
0
16-bit timer; SCI, SPI  
4K  
0
0
0
0
0
0
0
16-bit timer; SCI, SPI  
12K  
0
0
512  
512  
0
16-bit timer; SCI, SPI, 8 channel 8-bit A/D  
12K  
0
12K  
0
0
512  
0
0
0
0
0
2048  
512  
512  
512  
16-bit timer; SCI, SPI, 8 channel 8-bit A/D, 2K EEPROM  
16-bit timer; SCl, SPI, 8 channel 8-bit A/D,  
20K ROM/EPROM  
20K  
0
0
20K  
0
0
nonmultiplexed bus, 8 channel 8-bit A/D,  
4 chip selects, SCI, SPI  
1
MC68HC11G7  
512  
24K  
0
0
nonmultiplexed bus, 8 channel 10-bit A/D, 4 channel  
PWM,  
MC68HC11G5  
MC68HC711G5  
MC68HC11G0  
MC68HC11K4  
MC68HC711K4  
MC68HC11K3  
MC68HC11K1  
MC68HC11K0  
MC68HC11KA4  
MC68HC711KA4  
MC68HC11KA2  
MC68HC711KA2  
MC68HC11L6  
512  
512  
512  
768  
768  
768  
768  
768  
768  
768  
1024  
1024  
512  
16K  
0
0
16K  
0
0
SCI, SPI, 66 I/O pins  
0
0
0
24K  
0
0
640  
640  
0
nonmultiplexed bus, memory expansion to 1MB,  
8 channel 8-bit A/D, 4 channel PWM, 4 chip selects  
24K  
0
24K  
0
0
640  
0
0
0
24K  
0
0
640  
640  
640  
640  
512  
nonmultiplexed bus, 8 channel 8-bit A/D, SCI, SPI,  
4 channel PWM  
24K  
0
32K  
0
32K  
0
16K  
multiplexed bus, 16-bit timer; 8 channel 8-bit A/D, SCI,  
SPI  
MC68HC711L6  
MC68HC11L5  
MC68HC11L1  
MC68HC11L0  
MC68HC11M2  
512  
512  
512  
512  
1280  
0
16K  
0
16K  
0
512  
0
0
512  
0
0
0
32K  
0
640  
nonmultiplexed bus, 8 channel 8-bit A/D, 4 channel  
PWM,  
MC68HC711M2  
MC68HC11N4  
1280  
768  
0
32K  
0
640  
640  
DMA, on-chip math coprocessor, SCI, 2 SPI  
24K  
nonmultiplexed bus, 12 channel 8-bit A/D, 2 channel 8-  
bit D/A,  
MC68HC711N4  
MC68HC11P2  
768  
0
24K  
0
640  
640  
6 channel PWM, on-chip math coprocessor, SCI, SPI  
1024  
32K  
nonmultiplexed bus, PLL, 8 channel 8-bit A/D, 4 channel  
PWM,  
MC68HC711P2  
1024  
0
32K  
640  
3 SCI (2 with Ml bus), SPI, 62 I/O pins  
INTRODUCTION  
MC68HC11A8  
1-4  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
2 SIGNAL DESCRIPTIONS AND OPERATING MODES  
The signal descriptions and operating modes are presented in this section. When the  
microcontroller is in an expanded multiplexed operating mode, 18 pins change func-  
tion to support a multiplexed address/data bus.  
2.1 Signal Pin Descriptions  
The following paragraphs provide a description of the input/output signals. Reference  
is made, where applicable, to other sections that contain more detail about the function  
being performed.  
2.1.1 Input Power (VDD) and Ground (VSS)  
Power is supplied to the microcontroller using these pins. VDD is the positive power in-  
put and VSS is ground. Although the MC68HC11A8 is a CMOS device, very fast signal  
transitions are present on many of its pins. Short rise and fall times are present even  
when the microcontroller is operating at slow clock rates. Special care must be taken  
to provide good power supply bypassing at the MCU. Recommended bypassing would  
include a 0.1 µF ceramic capacitor between the VDD and VSS pins and physically adja-  
cent to one of the two pins. A bulk capacitance, whose size depends on the other cir-  
cuitry in the system, should also be present on the circuit board.  
2
2.1.2 Reset (RESET)  
This active low bidirectional control signal is used as an input to initialize the  
MC68HC11A8 to a known start-up state, and as an open-drain output to indicate that  
an internal failure has been detected in either the clock monitor or computer operating  
properly (COP) watchdog circuit. This reset signal is significantly different from the re-  
set signal used on other Motorola MCUs. Please refer to 9 RESETS, INTERRUPTS,  
AND LOW POWER MODES before designing circuitry to generate or monitor this sig-  
nal.  
2.1.3 Crystal Driver and External Clock Input (XTAL, EXTAL)  
These two pins provide the interface for either a crystal or a CMOS compatible clock  
to control the internal clock generator circuitry. The frequency applied to these pins  
shall be four times higher than the desired E clock rate. The XTAL pin is normally left  
unterminated when using an external CMOS compatible clock input to the EXTAL pin.  
However, a 10K to 100K load resistor to ground may be used to reduce RFI noise  
emission. The XTAL output is normally intended to drive only a crystal.  
The XTAL output may be buffered with a high-input-impedance buffer such as the  
74HC04, or it may be used to drive the EXTAL input of another M68HC11.  
In all cases take extra care in the circuit board layout around the oscillator pins. Load  
capacitances shown in the oscillator circuits include all stray layout capacitances. Re-  
fer to Figure 2-1, Figure 2-2, and Figure 2-3 for diagrams of oscillator circuits.  
SIGNAL DESCRIPTIONS AND OPERATING MODES  
MOTOROLA  
2-1  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
25 pF *  
EXTAL  
10 MΩ  
4 x E  
CRYSTAL  
MCU  
25 pF *  
XTAL  
* THIS VALUE INCLUDES ALL STRAY CAPACITANCES.  
COMMON XTAL CONN  
Figure 2-1 Common Crystal Connections  
4 x E  
EXTAL  
CMOS-COMPATIBLE  
EXTERNAL OSCILLATOR  
2
MCU  
XTAL  
NC  
EXT EXTAL CONN  
Figure 2-2 External Oscillator Connections  
220 Ω  
25 pF *  
25 pF *  
EXTAL  
EXTAL  
SECOND  
MCU  
10 MΩ  
4 x E  
CRYSTAL  
FIRST  
MCU  
XTAL  
NC  
XTAL  
* THIS VALUE INCLUDES ALL STRAY CAPACITANCES.  
DUAL-MCU XTAL CONN  
Figure 2-3 One Crystal Driving Two MCUs  
SIGNAL DESCRIPTIONS AND OPERATING MODES  
MC68HC11A8  
2-2  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
2.1.4 E Clock Output (E)  
This is the output connection for the internally generated E clock which can be used  
as a timing reference. The frequency of the E clock output is actually one fourth that  
of the input frequency at the XTAL and EXTAL pins. When the E clock output is low  
an internal process is taking place and, when high, data is being accessed. The E  
clock signal is halted when the MCU is in STOP mode.  
2.1.5 Interrupt Request (IRQ)  
The IRQ input provides a means for requesting asynchronous interrupts to the  
MC68HC11A8. It is program selectable (OPTION register) with a choice of either neg-  
ative edge-sensitive or level-sensitive triggering, and is always configured to level-  
sensitive triggering by reset. The IRQ pin requires an external pull-up resistor to VDD  
(typically 4.7K ohm).  
2.1.6 Non-Maskable Interrupt (XIRQ)  
This input provides a means for requesting a non-maskable interrupt, after reset ini-  
tialization. During reset, the X bit in the condition code register is set and any interrupt  
is masked until MCU software enables it. The XIRQ input is level sensitive and re-  
2
quires an external pull-up resistor to VDD  
.
2.1.7 Mode A/Load Instruction Register and Mode B/Standby Voltage (MODA/LIR,  
MODB/VSTBY  
)
During reset, MODA and MODB are used to select one of the four operating modes.  
Refer to Table 2-1. Paragraph 2.2 Operating Modes provides additional information.  
Table 2-1 Operating Modes vs. MODA and MODB  
MODB  
MODA  
Mode Selected  
Single Chip  
1
1
0
0
0
1
0
1
Expanded Multiplexed  
Special Bootstrap  
Special Test  
After the operating mode has been selected, the LIR pin provides an open-drain output  
to indicate that an instruction is starting. All instructions are made up of a series of E  
clock cycles. The LIR signal goes low during the first E clock cycle of each instruction  
(opcode fetch). This output is provided as an aid in program debugging.  
The VSTBY signal is used as the input for RAM standby power. When the voltage on  
this pin is more than one MOS threshold (about 0.7 volts) above the VDD voltage, the  
internal 256-byte RAM and part of the reset logic are powered from this signal rather  
than the VDD input. This allows RAM contents to be retained without VDD power applied  
to the MCU. Reset must be driven low before VDD is removed and must remain low  
until VDD has been restored to a valid level.  
SIGNAL DESCRIPTIONS AND OPERATING MODES  
MOTOROLA  
2-3  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
 
Freescale Semiconductor, Inc.  
2.1.8 A/D Converter Reference Voltages (VRL, VRH)  
These two inputs provide the reference voltages for the analog-to-digital converter cir-  
cuitry.  
2.1.9 Strobe B and Read/Write (STRB/R/W)  
This signal acts as a strobe B output or as a data bus direction indicator depending on  
the operating mode.  
In single-chip operating mode, the STRB output acts as a programmable strobe for  
handshake with other parallel l/O devices. Refer to 4 PARALLEL I/O for additional in-  
formation.  
In expanded multiplexed operating mode, R/W is used to control the direction of trans-  
fers on the external data bus. A low on the R/W signal indicates data is being written  
to the external data bus. A high on this signal indicates that a read cycle is in progress.  
R/W will stay low during consecutive data bus write cycles, such as in a double-byte  
store. The NAND of inverted R/W with the E clock should be used as the write enable  
signal for an external static RAM.  
2
2.1.10 Strobe A and Address Strobe (STRA/AS)  
This signal acts as an edge detecting strobe A input or as an address strobe bus con-  
trol output depending on the operating mode.  
In single-chip operating mode, the STRA input acts as a programmable strobe for  
handshake with other parallel l/O devices. Refer to 4 PARALLEL I/O for additional in-  
formation.  
In expanded multiplexed operating mode, the AS output is used to demultiplex the ad-  
dress and data signals at port C. Refer to 2.2.2 Expanded Multiplexed Operating  
Mode for additional information.  
2.1.11 Port Signals  
Ports A, D, and E signals are independent of the operating mode. Port B provides eight  
general purpose output signals in single-chip operating modes and provides eight  
high-order address signals when the microcontroller is in expanded multiplexed oper-  
ating modes. Port C provides eight general purpose input/output signals when the mi-  
crocontroller is in singlechip operating modes. When the microcontroller is in  
expanded multiplexed operating modes, port C is used for a multiplexed address/data  
bus. Table 2-2 shows a summary of the 40 port signals as they relate to the operating  
modes. Unused inputs and l/O pins configured as inputs should be terminated high or  
low.  
SIGNAL DESCRIPTIONS AND OPERATING MODES  
MC68HC11A8  
2-4  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
2.1.11.1 Port A  
Port A may be configured for: three input capture functions (IC1, IC2, IC3), four output  
compare functions (OC2, OC3, OC4, OC5), and either a pulse accumulator input (PAI)  
or a fifth output compare function (OC1). Refer to 8.1 Programmable Timer for addi-  
tional information.  
Any port A pin that is not used for its alternate timer function may be used as a general-  
purpose input or output line.  
2.1.11.2 Port B  
While in single-chip operating modes, all of the port B pins are general-purpose output  
pins. During MCU reads of this port, the level sensed at the input side of the port B  
output drivers is read. Port B may also be used in a simple strobed output mode where  
an output pulse appears at the STRB signal each time data is written to port B.  
When in expanded multiplexed operating modes, all of the port B pins act as high order  
address output signals. During each MCU cycle, bits 8 through 15 of the address are  
output on the PB0-PB7 lines respectively.  
2
2.1.11.3 Port C  
While in single-chip operating modes, all port C pins are general-purpose input/output  
pins. Port C inputs can be latched by providing an input transition to the STRA signal.  
Port C may also be used in full handshake modes of parallel l/O where the STRA input  
and STRB output act as handshake control lines.  
When in expanded multiplexed operating modes, all port C pins are configured as mul-  
tiplexed address/data signals. During the address portion of each MCU cycle, bits 0  
through 7 of the address are output on the PC0-PC7 lines. During the data portion of  
each MCU cycle (E high), pins 0 through 7 are bidirectional data signals (D0-D7). The  
direction of data at the port C pins is indicated by the R/W signal.  
2.1.11.4 Port D  
Port D pins 0-5 may be used for general-purpose l/O signals. Port D pins alternately  
serve as the serial communications interface (SCI) and serial peripheral interface  
(SPI) signals when those subsystems are enabled.  
Pin PD0 is the receive data input (RxD) signal for the serial communication interface  
(SCI).  
Pin PD1 is the transmit data output (TxD) signal for the SCI.  
Pins PD2 through PD5 are dedicated to the SPI. PD2 is the master-in-slave-out (MI-  
SO) signal. PD3 is the master-out-slave-in (MOSI) signal. PD4 is the serial clock  
(SCK) signal and PD5 is the slave select (SS) input.  
SIGNAL DESCRIPTIONS AND OPERATING MODES  
MOTOROLA  
2-5  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
2.1.11.5 Port E  
Port E is used for general-purpose inputs and/or analog-to-digital (A/D) input chan-  
nels. Reading port E during the sampling portion of an A/D conversion could cause  
very small disturbances and affect the accuracy of that result. If very high accuracy is  
required, avoid reading port E during conversions.  
2.2 Operating Modes  
There are four operating modes for the MC68HC11A8: single-chip operating mode,  
expanded multiplexed operating mode, special bootstrap operating mode, and special  
test operating mode.  
Table 2-1 shows how the operating mode is selected. The following paragraphs de-  
scribe these operating modes.  
2.2.1 Single-Chip Operating Mode  
In single-chip operating mode, the MC68HC11A8 functions as a monolithic microcon-  
troller without external address or data buses. Port B, port C, strobe A, and strobe B  
function as general purpose l/O and handshake signals. Refer to 4 PARALLEL I/O for  
additional information.  
2
2.2.2 Expanded Multiplexed Operating Mode  
In expanded multiplexed operating mode, the MC68HC11A8 has the capability of ac-  
cessing a 64 Kbyte address space. This total address space includes the same on-  
chip memory addresses used for single-chip operating mode plus external peripheral  
and memory devices. The expansion bus is made up of port B and port C, and control  
signals AS and R/W. Figure 2-4 shows a recommended way of demultiplexing low or-  
der addresses from data at port C. The address, R/W, and AS signals are active and  
valid for all bus cycles including accesses to internal memory locations.  
SIGNAL DESCRIPTIONS AND OPERATING MODES  
MC68HC11A8  
2-6  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
Table 2-2 Port Signal Summary  
Port-Bit  
Single Chip and  
Bootstrap Mode  
Expanded Multiplexed  
and Special Test Mode  
A-0  
A-1  
A-2  
A-3  
A-4  
A-5  
A-6  
A-7  
PA0/IC3  
PA1/IC2  
PA2/IC1  
PA0/IC3  
PA1/IC2  
PA2/IC1  
PA3/OC5/OC1  
PA4/OC4/OC1  
PA5/OC3/OC1  
PA6/OC2/OC1  
PA7/PAI/OC1  
PA3/OC5/OC1  
PA4/OC4/OC1  
PA5/OC3/OC1  
PA6/OC2/OC1  
PA7/PAI/OC1  
B-0  
B-1  
B-2  
B-3  
B-4  
B-5  
B-6  
B-7  
PB0  
PB1  
PB2  
PB3  
PB4  
PB5  
PB6  
PB7  
A8  
A9  
A10  
A11  
A12  
A13  
A14  
A15  
C-0  
C-1  
C-2  
C-3  
C-4  
C-5  
C-6  
C-7  
PC0  
PC1  
PC2  
PC3  
PC4  
PC5  
PC6  
PC7  
A0/D0  
A1/D1  
A2/D2  
A3/D3  
A4/D4  
A5/D5  
A6/D6  
A7/D7  
2
D-0  
D-1  
D-2  
D-3  
D-4  
D-5  
PD0/RXD  
PD1/TXD  
PD2/MISO  
PD3/MOSI  
PD4/SCK  
PD5/SS  
PD0/RXD  
PD1/TXD  
PD2/MISO  
PD3/MOSI  
PD4/SCK  
PD5/SS  
AS  
STRA  
STRB  
R/W  
E-0  
E-1  
E-2  
E-3  
E-4  
E-5  
E-6  
E-7  
PE0/AN0  
PE1/AN1  
PE2/AN2  
PE0/AN0  
PE1/AN1  
PE2/AN2  
PE3/AN3  
PE3/AN3  
PE4/AN4##  
PE5/AN5##  
PE6/AN6##  
PE7/AN7##  
PE4/AN4##  
PE5/AN5##  
PE6/AN6##  
PE7/AN7##  
## Not bonded in 48-pin versions  
SIGNAL DESCRIPTIONS AND OPERATING MODES  
MOTOROLA  
2-7  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
PB7/A15  
A15  
A14  
A13  
A12  
A11  
A10  
A9  
PB6/A14  
PB5/A13  
PB4/A12  
PB3/A11  
PB2/A10  
PB1/A9  
PB0/A8  
A8  
HC373  
Q1  
PC7/A7/D7  
PC6/A6/D6  
PC5/A5/D5  
PC4/A4/D4  
PC3/A3/D3  
PC2/A2/D2  
PC1/A1/D1  
PC0/A0/D0  
D1  
D2  
D3  
D4  
D5  
D6  
D7  
D8  
A7  
A6  
A5  
A4  
A3  
A2  
A1  
A0  
Q2  
Q3  
Q4  
Q5  
Q6  
Q7  
Q8  
LE  
OE  
AS  
R/W  
E
WE  
D7  
D6  
D5  
D4  
D3  
D2  
D1  
D0  
2
MC68HC11A8  
ADDR/DATA DEMUX  
Figure 2-4 Address/Data Demultiplexing  
2.2.3 Special Bootstrap Operating Mode  
The bootstrap mode is considered a special operating mode as distinguished from the  
normal single-chip operating mode. This is a very versatile operating mode since there  
are essentially no limitations on the special purpose program that can be loaded into  
the internal RAM. The boot loader program is contained in the 192 byte bootstrap  
ROM. This ROM is enabled only if the MCU is reset in special bootstrap operating  
mode, and appears as internal memory space at locations $BF40-$BFFF. The boot  
loader program will use the SCI to read a 256 byte program into on-chip RAM at loca-  
tions $0000-$00FF. After the character for address $00FF is received, control is auto-  
matically passed to that program at location $0000.  
The MC68HC11A8 communicates through the SCI port. After reset in special boot-  
strap operating mode, the SCI is running at E clock/16 (7812 baud for E clock equal 2  
MHz). If the security feature was specified and the security bit is set, $FF is output by  
the SCI transmitter. The EEPROM is then erased. If erasure is unsuccessful, $FF is  
output again and erasure is attempted again. Upon successful erasure of the EE-  
PROM, all internal RAM is written over with $FF. The CONFIG register is then erased.  
The boot loader program now proceeds as though the part had not been in security  
mode.  
SIGNAL DESCRIPTIONS AND OPERATING MODES  
MC68HC11A8  
2-8  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
If the part is not in security mode (or has completed the above erase sequence), a  
break character is output by the SCI transmitter. For normal use of the boot loader pro-  
gram, the user sends $FF to the SCI receiver at either E clock/16 (7812 baud for E  
clock = 2 MHz) or E clock/104 (1200 baud for E clock = 2 MHz).  
NOTE  
This $FF is not echoed through the SCI transmitter.  
Now the user must download 256 bytes of program data to be put into RAM starting  
at location $0000. These characters are echoed through the transmitter. When loading  
is complete, the program jumps to location $0000 and begins executing that code.  
If the SCI transmitter pin is to be used, an external pull-up resistor is required because  
port D pins are configured for wire-OR operation.  
In special bootstrap operating mode the interrupt vectors are directed to RAM as  
shown in Table 2-3. This allows the user to use interrupts by way of a jump table. For  
example: to use the SWI interrupt, a jump instruction would be placed in RAM at loca-  
tions $00F4, $00F5, and $00F6. When an SWI is encountered, the vector (which is in  
the boot loader ROM program) will direct program control to location $00F4 in RAM  
which in turn contains a JUMP instruction to the interrupt service routine.  
2
Table 2-3 Bootstrap Mode Interrupt Vectors  
Address  
00C4  
00C7  
00CA  
00CD  
00D0  
00D3  
00D6  
00D9  
00DC  
00DF  
00E2  
00E5  
00E8  
00EB  
00EE  
00F1  
00F4  
00F7  
00FA  
00FD  
BF40  
(Boot)  
Vector  
SCI  
SPI  
Pulse Accumulator Input Edge  
Pulse Accumulator Overflow  
Timer Overflow  
Timer Output Compare 5  
Timer Output Compare 4  
Timer Output Compare 3  
Timer Output Compare 2  
Timer Output Compare 1  
Timer Input Capture 3  
Timer Input Capture 2  
Timer Input Capture 1  
Real Time Interrupt  
IRQ  
XIRQ  
SWI  
Illegal Opcode  
COP Fail  
Clock Monitor  
Reset  
SIGNAL DESCRIPTIONS AND OPERATING MODES  
MOTOROLA  
2-9  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
 
Freescale Semiconductor, Inc.  
2.2.4 Additional Boot Loader Program Options  
The user may transmit a $55 (only at E clock/16) as the first character rather than the  
normal $FF. This will cause the program to jump directly to location $0000, skipping  
the download.  
The user may tie the receiver to the transmitter (with an external pull-up resistor). This  
will cause the program to jump directly to the beginning of EEPROM ($B600). Another  
way to cause the program to jump directly to EEPROM is to transmit either a break or  
$00 as the first character rather than the normal $FF.  
Note that none of these options bypass the security check and so do not compromise  
those customers using security.  
Keep in mind that upon entry to the downloaded program at location $0000, some reg-  
isters have been changed from their reset states. The SCI transmitter and receiver are  
enabled which cause port D pins 0 and 1 to be dedicated to SCI use. Also port D is  
configured for wired-OR operation. It may be necessary for the user to write to the  
SCCR2 and SPCR registers to disable the SCI and/or port D wire-OR operation.  
2.2.5 Special Test Operating Mode  
2
The test mode is a special operating mode intended primarily for factory testing. This  
mode is very similar to the expanded multiplexed operating mode. In special test op-  
erating mode, the reset and interrupt vectors are fetched from external memory loca-  
tions $BFC0–$BFFF rather than $FFC0–$FFFF. There are no time limits for protection  
of the TMSK2, OPTION, and INIT registers, so these registers may be written repeat-  
edly. Also a special TEST1 register is enabled which allows several factory test func-  
tions to be invoked.  
The special test operating mode is not recommended for use by an end user because  
of the reduced system security; however, an end user may wish to come out of reset  
in special test operating mode. Then, after some initialization, the SMOD and MDA bits  
could be rewritten to select a normal operating mode to re-enable the protection fea-  
tures.  
SIGNAL DESCRIPTIONS AND OPERATING MODES  
MC68HC11A8  
2-10  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
3 ON-CHIP MEMORY  
This section describes the on-chip ROM, RAM, and EEPROM memories. The memory  
maps for each mode of operation are shown and the RAM and l/O mapping register  
(INIT) is described. The INIT register allows the on-chip RAM and the 64 control reg-  
isters to be moved to suit the needs of a particular application.  
3.1 Memory Maps  
Composite memory maps for each mode of operation are shown in Figure 3-1. Mem-  
ory locations are shown in the shaded areas and the contents of these shaded areas  
are shown to the right. These modes include single-chip, expanded multiplexed, spe-  
cial bootstrap, and special test.  
Single-chip operating modes do not generate external addresses. Refer to Table 3-1  
for a full list of the registers.  
3
$0000  
0000 256 BYTES RAM  
EXT  
EXT  
EXT  
EXT  
00FF  
$1000  
1000 64-BYTE REGISTER BLOCK  
103F  
B600 512 BYTES EEPROM  
B7FF  
$B600  
$E000  
$FFFF  
BFC0  
BOOT  
ROM  
SPECIAL MODES  
INTERRUPT  
VECTORS  
BF40  
BFFF  
EXT  
EXT  
BFFF  
E000 8 KBYTES ROM  
FFC0 NORMAL  
MODES  
INTERRUPT  
VECTORS  
FFFF  
FFFF  
SINGLE  
CHIP  
EXPANDED  
BOOTSTRAP  
SPECIAL  
TEST  
A8 MEM MAP  
Figure 3-1 Memory Maps  
ON-CHIP MEMORY  
MOTOROLA  
3-1  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
 
Freescale Semiconductor, Inc.  
Table 3-1 Register and Control Bit Assignments (Sheet 1 of 2)  
Bit 7  
Bit 7  
Bit 6  
Bit 5  
Bit 4  
Bit 3  
Bit 2  
Bit 1  
Bit 0  
Bit 0  
$1000  
$1001  
$1002  
$1003  
$1004  
$1005  
$1006  
$1007  
$1008  
$1009  
$100A  
$100B  
$100C  
$100D  
PORTA I/O Port A  
Reserved  
STAF  
Bit 7  
Bit 7  
Bit 7  
STAI  
CWOM  
HNDS  
OIN  
PLS  
EGA  
INVB  
Bit 0  
Bit 0  
Bit 0  
PIOC  
Parallel I/O Control Register  
PORTC I/O Port C  
PORTB Output Port B  
PORTCL Alternate Latched Port C  
Reserved  
Bit 7  
Bit 5  
Bit 0  
Bit 0  
Bit 0  
Bit 0  
DDRC  
Data Direction for Port C  
PORTD I/O Port D  
Bit 5  
DDRD  
Data Direction for Port D  
3
Bit 7  
PORTE Input Port E  
CFORC Compare Force Register  
FOC1  
FOC2  
OC1M6  
OC1D6  
FOC3  
OC1M5  
OC1D5  
FOC4  
OC1M4  
OC1D4  
FOC5  
OC1M3  
OC1D3  
OC1M7  
OC1D7  
OC1M  
OC1D  
TCNT  
OC1 Action Mask Register  
OC1 Action Data Register  
Timer Counter Register  
$100E  
$100F  
Bit 15  
Bit 7  
Bit 8  
Bit 0  
$1010  
$1011  
Bit 15  
Bit 7  
Bit 8  
Bit 0  
TIC1  
Input Capture 1 Register  
Input Capture 2 Register  
Input Capture 3 Register  
Output Compare 1 Register  
Output Compare 2 Register  
Output Compare 3 Register  
Output Compare 4 Register  
Output Compare 5 Register  
$1012  
$1013  
Bit 15  
Bit 7  
Bit 8  
Bit 0  
TIC2  
$1014  
$1015  
Bit 15  
Bit 7  
Bit 8  
Bit 0  
TIC3  
$1016  
$1017  
Bit 15  
Bit 7  
Bit 8  
Bit 0  
TOC1  
TOC2  
TOC3  
TOC4  
TCO5  
$1018  
$1019  
Bit 15  
Bit 7  
Bit 8  
Bit 0  
$101A  
$101B  
Bit 15  
Bit 7  
Bit 8  
Bit 0  
$101C  
$101D  
Bit 15  
Bit 7  
Bit 8  
Bit 0  
$101E  
$101F  
Bit 15  
Bit 7  
Bit 8  
Bit 0  
ON-CHIP MEMORY  
MC68HC11A8  
TECHNICAL DATA  
3-2  
For More Information On This Product,  
Go to: www.freescale.com  
 
Freescale Semiconductor, Inc.  
Table 3-1 Register and Control Bit Assignments (Sheet 2 of 2)  
Bit 7  
OM2  
Bit 6  
OL2  
Bit 5  
OM3  
Bit 4  
OL3  
Bit 3  
OM4  
Bit 2  
OL4  
Bit 1  
OM5  
Bit 0  
OL5  
$1020  
$1021  
$1022  
$1023  
$1024  
$1025  
$1026  
$1027  
$1028  
$1029  
$102A  
$102B  
$102C  
$102D  
$102E  
$102F  
$1030  
$1031  
$1032  
$1033  
$1034  
TCTL1  
Timer Control Register 1  
EDG1B  
OC3I  
EDG1A  
OC4I  
OC4F  
PAII  
PAIF  
PEDGE  
EDG2B  
OC5I  
EDG2A  
IC1I  
EDG3B  
IC2I  
EDG3A TCTL2  
Timer Control Register 2  
OC1I  
OC1F  
TOI  
OC2I  
OC2F  
RTII  
IC3I  
IC3F  
PR0  
TMSK1  
TFLG1  
TMSK2  
TFLG2  
PACTL  
Timer Interrupt Mask Register 1  
Timer Interrupt Flag Register 1  
Timer Interrupt Mask Register 2  
Timer Interrupt Flag Register 2  
Pulse Accumulator Control Register  
OC3F  
PAOVI  
PAOVF  
PAMOD  
OC5F  
IC1F  
IC2F  
PR1  
TOF  
DDRA7  
Bit 7  
SPIE  
SPIF  
Bit 7  
TCLR  
R8  
RTIF  
PAEN  
RTR1  
RTR0  
Bit 0  
PACNT Pulse Accumulator Count Register  
SPE  
WCOL  
DWOM  
MSTR  
MODF  
CPOL  
CPHA  
SPR1  
SPR0  
SPCR  
SPSR  
SPDR  
BAUD  
SPI Control Register  
SPI Status Register  
SPI Data Register  
RCKB  
WAKE  
TE  
Bit 0  
SCP1  
SCP0  
M
SCR2  
SCR1  
SCR0  
SCI Baud Rate Control  
3
T8  
TCIE  
TC  
SCCR1 SCI Control Register 1  
SCCR2 SCI Control Register 2  
TIE  
RIE  
RDRF  
ILIE  
IDLE  
RE  
NF  
RWU  
FE  
SBK  
TRDE  
Bit 7  
CCF  
Bit 7  
Bit 7  
Bit 7  
Bit 7  
OR  
SCSR  
SCDR  
ADCTL  
ADR1  
ADR2  
ADR3  
ADR4  
SCI Status Register  
Bit 0  
CA  
SCI Data (Read RDR, Write TDR)  
A/D Control Register  
SCAN  
MULT  
CD  
CC  
CB  
Bit 0  
Bit 0  
Bit 0  
Bit 0  
A/D Result Register 1  
A/D Result Register 2  
A/D Result Register 3  
A/D Result Register 4  
$1035  
thru  
Reserved  
$1038  
$1039  
$103A  
$103B  
$103C  
$103D  
$103E  
$103F  
ADPU  
Bit 7  
CSEL  
IRQE  
DLY  
CME  
CR1  
CR0  
Bit 0  
OPTION System Configuration Options  
COPRST Arm/Reset COP Timer Circuitry  
ODD  
EVEN  
SMOD  
RAM2  
BYTE  
IRV  
ROW  
PSEL3  
REG3  
DISR  
NOSEC  
ERASE  
PSEL2  
REG2  
FCM  
EELAT  
PSEL1  
REG1  
FCOP  
ROMON  
EEPGM PPROG EEPROM Program Control Register  
RBOOT  
RAM3  
TILOP  
MDA  
RAM1  
OCCR  
PSEL0 HPRIO  
Highest Priority I-Bit Int and Misc  
RAM and I/O Mapping Register  
Factory TEST Control Register  
RAM0  
CBYP  
REG0  
TCON  
EEON  
INIT  
TEST1  
NOCOP  
CONFIG COP, ROM, and EEPROM Enables  
ON-CHIP MEMORY  
MOTOROLA  
3-3  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
In expanded multiplexed operating modes, memory locations are basically the same  
as the single- chip operating modes; however, the locations between the shaded ar-  
eas (designated EXT) are for externally addressed memory and l/O. If an external  
memory or l/O device is located to overlap an enabled internal resource, the internal  
resource will take priority. For reads of such an address the data (if any) driving the  
port C data inputs is ignored and will not result in any harmful conflict with the internal  
read. For writes to such an address data is driven out of the port C data pins as well  
as to the internal location. No external devices should drive port C during write access-  
es to internal locations; however, there is normally no conflict since the external ad-  
dress decode and/ or data direction control should incorporate the R/W signal in their  
development. The R/W, AS, address, and write data signals are valid for all accesses  
including accesses to internal memory and registers.  
The special bootstrap operating mode memory locations are similar to the single-chip  
operating mode memory locations except that a bootstrap program at memory loca-  
tions $BF40 through $BFFF is enabled. The reset and interrupt vectors are addressed  
at $BFC0–$BFFF while in the special bootstrap operating mode. These vector ad-  
dresses are within the 192 byte memory used for the bootstrap program.  
The special test operating mode memory map is the same as the expanded multi-  
plexed operating mode memory map except that the reset and interrupt vectors are  
located at external memory locations $BFC0–$BFFF.  
3
3.2 RAM and I/O Mapping Register (INIT)  
There are 64 internal registers which are used to control the operation of the MCU.  
These registers can be relocated on 4K boundaries within the memory space, using  
the INIT register. Refer to Table 3-1 for a complete list of the registers. The registers  
and control bits are explained throughout this document.  
The INIT register is a special-purpose 8-bit register which may be used during initial-  
ization to change the default locations of RAM and control registers within the MCU  
memory map. It may be written to only once within the initial 64 E clock cycles after a  
reset and thereafter becomes a read-only register.  
7
RAM3  
0
6
RAM2  
0
5
RAM1  
0
4
RAM0  
0
3
REG3  
0
2
REG2  
0
1
REG1  
0
0
REG0  
1
$103D  
INIT  
RESET  
The default starting address for internal RAM is $0000 and the default starting address  
for the 64 control registers is $1000 (the INIT register is set to $01 at reset). The upper  
four bits of the INIT register specify the starting address for the 256 byte RAM and the  
lower four bits of INIT specify the starting address for the 64 control registers. These  
four bits are matched to the upper four bits of the 16-bit address.  
Throughout this document, the control register addresses will be displayed with the  
high-order digit shown as a bold “1” to indicate that the register block may be relocated  
to some 4K memory page other than its default position of $1000-$103F.  
ON-CHIP MEMORY  
MC68HC11A8  
3-4  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
Note that if the RAM is relocated to either $E000 or $F000, which is in conflict with the  
internal ROM, (no conflict if the ROMON bit in the configuration register is zero), RAM  
will take priority and the conflicting ROM will become inaccessible. Also, if the 64 con-  
trol registers are relocated so that they conflict with the RAM and/or ROM, then the 64  
control registers take priority and the RAM and/or ROM at those locations become in-  
accessible. No harmful conflicts result, the lower priority resources simply become in-  
accessible. Similarly, if an internal resource conflicts with an external device no  
harmful conflict results. Data from the external device will not be applied to the internal  
data bus and cannot interfere with the internal read.  
Note that there are unused register locations in the 64 byte control register block.  
Reads of these unused registers will return data from the undriven internal data bus  
and not from another resource that happens to be located at the same address.  
3.3 ROM  
The internal 8K ROM occupies the highest 8K of the memory map ($E000–$FFFF).  
This ROM is disabled when the ROMON bit in the CONFIG register is clear. The  
ROMON bit is implemented with an EEPROM cell and is programmed using the same  
procedures for programming the on-chip EEPROM. For further information refer to  
3.5.3 System Configuration Register (CONFIG).  
3
In the single-chip operating mode, internal ROM is enabled regardless of the state of  
the ROMON bit.  
There is also a 192 byte mask programmed boot ROM in the MC68HC11A8. This  
bootstrap program ROM controls the operation of the special bootstrap operating  
mode and is only enabled following reset in the special bootstrap operating mode. For  
more information refer to 2.2.3 Special Bootstrap Operating Mode.  
3.4 RAM  
The 256 byte internal RAM may be relocated during initialization by writing to the INIT  
register. The reset default position is $0000 through $00FF. This RAM is implemented  
with static cells and retains its contents during the WAIT and STOP modes.  
The contents of the 256-byte RAM can also be retained by supplying a low current  
backup power source to the MODB/VSTBY pin. When using a standby power source,  
VDD may be removed; however, RESET must go low before VDD is removed and re-  
main low until VDD has been restored.  
3.5 EEPROM  
The 512 bytes of EEPROM are located at $B600 through $B7FF and have the same  
read cycle time as the internal ROM. The write (or programming) mechanism for the  
EEPROM is controlled by the PPROG register. The EEPROM is disabled when the  
EEON bit in the CONFIG register is zero. The EEON bit is implemented with an EE-  
PROM cell.  
ON-CHIP MEMORY  
MOTOROLA  
3-5  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
The erased state of an EEPROM byte is $FF. Programming changes ones to zeros. If  
any bit in a location needs to be changed from a zero to a one, the byte must be erased  
in a separate operation before it is reprogrammed. If a new data byte has no ones in  
bit positions which were already programmed to zero, it is acceptable to program the  
new data without erasing the EEPROM byte first. For example, programming $50 to a  
location which was already $55 would change the location to $50.  
Programming and erasure of the EEPROM relies on an internal high-voltage charge  
pump. At E clock frequencies below 2 MHz the efficiency of this charge pump decreas-  
es which increases the time required to program or erase a location. The recommend-  
ed program and erase time is 10 milliseconds when the E clock is 2 MHz and should  
be increased to as much as 20 milliseconds when E is between 1 MHz and 2 MHz.  
When the E clock is below 1 MHz, the clock source for the charge pump should be  
switched from the system clock to an on-chip R-C oscillator clock. This is done by set-  
ting the CSEL bit in the OPTION register. A 10 millisecond period should be allowed  
after setting the CSEL bit to allow the charge pump to stabilize. Note that the CSEL bit  
also controls a clock to the analog-to-digital converter subsystem.  
3.5.1 EEPROM Programming Control Register (PPROG)  
3
This 8-bit register is used to control programming and erasure of the 512-byte EE-  
PROM. Reset clears this register so the EEPROM is configured for normal reads.  
7
ODD  
0
6
EVEN  
0
5
0
0
4
BYTE  
0
3
ROW  
0
2
ERASE  
0
1
EELAT  
0
0
EEPGM  
0
$103B  
PPROG  
RESET  
ODD — Program Odd Rows (TEST)  
EVEN — Program Even Rows (TEST)  
Bit 5 — Not implemented.  
This bit always reads zero.  
BYTE — Byte Erase Select  
This bit overrides the ROW bit.  
0 = Row or Bulk Erase  
1 = Erase Only One Byte  
ROW — Row Erase Select  
If the BYTE bit is 1, ROW has no meaning.  
0 = Bulk Erase  
1 = Row Erase  
ERASE — Erase Mode Select  
0 = Normal Read or Program  
1 = Erase Mode  
ON-CHIP MEMORY  
MC68HC11A8  
3-6  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
EELAT — EEPROM Latch Control  
0 = EEPROM Address and Data Configured for Read Mode  
1 = EEPROM Address and Data Configured for Programming/Erasing  
EEPGM — EEPROM Programming Voltage Enable  
0 = Programming Voltage Switched Off  
1 = Programming Voltage Turned On  
If an attempt is made to set both the EELAT and EEPGM bits in the same write cycle,  
neither will be set. If a write to an EEPROM address is performed while the EEPGM  
bit is set, the write is ignored and the programming operation currently in progress is  
not disturbed. These two safeguards were included to prevent accidental EEPROM  
changes in cases of program runaway. Mask sets A38P, A49N, and date codes before  
86xx did not have these safeguards.  
3.5.2 Programming/Erasing Internal EEPROM  
The EEPROM programming and erasure process is controlled by the PPROG regis-  
ter. The following paragraphs describe the various operations performed on the EE-  
PROM and include example program segments to demonstrate programming and  
erase operations.  
3
These program segments are intended to be simple straightforward examples of the  
sequences needed for basic program and erase operations. There are no special re-  
strictions on the address modes used and bit manipulation instructions may be used.  
Other MCU operations can continue to be performed during EEPROM programming  
and erasure provided these operations do not include reads of data from EEPROM  
(the EEPROM is disconnected from the read data bus during EEPROM program and  
erase operations). The subroutine DLY10 used in these program segments is not  
shown but can be any set of instructions which takes ten milliseconds.  
3.5.2.1 Read  
For the read operation the EELAT bit in the PPROG register must be clear. When this  
bit is cleared, the remaining bits in the PPROG register have no meaning or effect, and  
the EEPROM may be read as if it were a normal ROM.  
3.5.2.2 Programming  
During EEPROM programming, the ROW and BYTE bits are not used. If the E clock  
frequency is 1 MHz or less, the CSEL bit in the OPTION register must be set. Recall  
that in this EEPROM, zeros must be erased by a separate erase operation before pro-  
gramming. The following program segment demonstrates how to program an EE-  
PROM byte.  
ON-CHIP MEMORY  
MOTOROLA  
3-7  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
* On entry, A = data to be programmed and X = EEPROM address  
PROG  
LDAB #$02  
STAB $103B Set EELAT Bit (EEPGM = 0)  
STAA 0,X  
Store Data to EEPROM Address  
LDAB #$03  
STAB $103B Set EEPGM Bit (EELAT = 1)  
JSR  
CLR  
DLY10 Delay 10 ms  
$103B Turn Off High Voltage and Set to READ  
Mode  
3
3.5.2.3 Bulk Erase  
The following program segment demonstrates how to bulk erase the 512-byte EE-  
PROM. The CONFIG register is not affected in this example.  
BULKE LDAB #$06  
STAB $103B Set to Bulk Erase Mode  
STAB $B600 Write any Data to any EEPROM Address  
LDAB #$07  
STAB $103B Turn On Programming Voltage  
JSR  
CLR  
DLY10 Delay 10 ms  
$103B Turn Off High Voltage and Set to READ  
Mode  
3.5.2.4 Row Erase  
The following program segment demonstrates the row erase function. A ‘row’ is six-  
teen bytes ($B600-$B60F, $B610-$B61F... $B7F0-$B7FF). This type of erase opera-  
tion saves time compared to byte erase when large sections of EEPROM are to be  
erased.  
ON-CHIP MEMORY  
MC68HC11A8  
3-8  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
*On entry X = any address in the row to be erased  
ROWE  
LDAB #$0E  
STAB $103B Set to Row Erase Mode  
STAB 0,X  
Write any Data to any Address in Row  
LDAB #$0F  
STAB $103B Turn on High Voltage  
JSR  
CLR  
DLY10 Delay 10 ms  
$103B Turn Off High Voltage and Set to Read  
Mode  
3
3.5.2.5 Byte Erase  
The following program segment shows the byte erase function.  
*On entry, X = address of byte to be erased  
BYTEE LDAB #$16  
STAB $103B Set to Byte Erase Mode  
STAB 0,X  
Write any Data to the Address to Erase  
LDAB #$17  
STAB $103B Turn on High Voltage  
JSR  
CLR  
DLY10 Delay 10 ms  
$103B Turn off High Voltage and Set to Read  
Mode  
3.5.3 System Configuration Register (CONFIG)  
The MC68HC11A8 can be configured to specific system requirements through the use  
of hardwired options such as the mode select pins, semi-permanent EEPROM control  
bit specifications (CONFIG register), or by use of control registers. The configuration  
control register (CONFIG) is implemented in EEPROM cells and controls the presence  
ON-CHIP MEMORY  
MOTOROLA  
3-9  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
of ROM and EEPROM in the memory map, as well as enabling the COP watchdog  
system. A security feature to protect data in the EEPROM and RAM is also available  
on mask programmed MC68HC11A8s.  
7
0
6
0
5
0
4
0
3
2
1
0
$103F  
NOSEC  
NOCOP ROMON  
EEON  
CONFIG  
RESET  
(see 3.5.3.2 Operation of the Configuration Mechanism)  
Bits 7, 6, 5, and 4 — Not Implemented  
These bits are always read as zero.  
NOSEC — Security Mode Disable Bit  
This bit is only implemented if it is specifically requested at the time mask ROM infor-  
mation is submitted. When this bit is not implemented it always reads one.  
When RAM and EEPROM security are required, the NOSEC bit can be programmed  
to zero to enable the software anti-theft mechanism. When clear, the NOSEC bit pre-  
vents the selection of expanded multiplexed operating modes. If the MCU is reset in  
the special bootstrap operating mode while NOSEC is zero, EEPROM, RAM, and  
CONFIG are erased before the loading process continues.  
3
0 = Enable Security Mode  
1 = Disable Security Mode  
NOCOP — COP System Disable  
0 = COP Watchdog System Enabled  
1 = COP Watchdog System Disabled  
ROMON — Enable On-Chip ROM  
When this bit is clear, the 8K ROM is disabled, and that memory space becomes ex-  
ternally accessed space. In the single-chip operating mode, the internal 8K ROM is en-  
abled regardless of the state of the ROMON bit.  
EEON — Enable On-Chip EEPROM  
When this bit is clear, the 512-byte EEPROM is disabled, and that memory space be-  
comes externally accessed space.  
3.5.3.1 Programming and Erasure of the CONFIG Register  
Since the CONFIG register is implemented with EEPROM cells, special provisions  
must be made to erase and program this register. The normal EEPROM control bits in  
the PPROG register are used for this purpose. Programming follows the same proce-  
dure as programming a byte in the 512-byte EEPROM except the CONFIG register  
address is used. Erase also follows the same procedure as that used for the EEPROM  
except that only bulk erase can be used on the CONFIG register. When the CONFIG  
register is erased, the 512-byte EEPROM array is also erased. Be sure to check the  
Technical Summary for the particular MC68HC11 Family member you are using.  
ON-CHIP MEMORY  
MC68HC11A8  
3-10  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
On mask set B96D and newer, the CONFIG register may only be programmed or  
erased while the MCU is operating in the test mode or the bootstrap mode. This inter-  
lock was added to help prevent accidental changes to the CONFIG register.  
The following program segment demonstrates how to program the CONFIG register.  
This program assumes that the CONFIG register was previously erased.  
*On entry, A = data to be programmed onto CONFIG  
PROGC LDAB #$02  
STAB $103B Set EELAT Bit (EEPGM = 0)  
STAA $103F Store Data to CONFIG Address  
LDAB #$03  
STAB $103B Turn on Programming Voltage  
JSR  
CLR  
DLY10 Delay 10 ms  
$103B Turn Off High Voltage and Set to READ  
Mode  
3
The following program segment demonstrates the erase procedure for the CONFIG  
register.  
BULKC LDAB #$06  
STAB $103B Set Bulk Erase Mode  
STAB $103F Write any Data to CONFIG  
LDAB #$07  
STAB $103B Turn on Programming Voltage  
JSR  
CLR  
DLY10 Delay 10 ms  
$103B Turn Off High Voltage and Set to READ  
Mode  
ON-CHIP MEMORY  
MOTOROLA  
3-11  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
3.5.3.2 Operation of the Configuration Mechanism  
The CONFIG register consists of an EEPROM byte and static working latches. This  
register controls the start-up configuration of the MCU. The contents of the EEPROM  
CONFIG byte are transferred into static working latches during any reset sequence.  
The operation of the MCU is controlled directly by these latches and not the actual EE-  
PROM byte. Changes to the EEPROM byte do not affect operation of the MCU until  
after the next reset sequence. When programming the CONFIG register, the EE-  
PROM byte is being accessed. When the CONFIG register is being read, the static  
latches are being accessed.  
To change the value in the CONFIG register proceed as follows:  
1. Erase the CONFIG register.  
CAUTION  
Do not issue a reset at this time.  
2. Program the new value to the CONFIG register.  
3. Issue a reset so the new configuration will take effect.  
3
ON-CHIP MEMORY  
MC68HC11A8  
3-12  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
4 PARALLEL I/O  
The MC68HC11A8 has 40 I/O pins arranged as five 8-bit ports. All of these pins serve  
multiple functions depending on the operating mode and data in the control registers.  
This section explains the operation of these pins only when they are used for parallel  
l/O.  
Ports C and D are used as general purpose input and/or output pins under direct con-  
trol of their respective data direction registers. Ports A, B, and E, with the exception of  
port A pin 7, are fixed direction inputs or outputs and therefore do not have data direc-  
tion registers. Port B, port C, the STRA pin, and the STRB pin are used for strobed  
and/or handshake modes of parallel l/O, as well as general purpose l/O.  
4.1 General-Purpose I/O (Ports C and D)  
Each port l/O line has an associated bit in a specific port data register and port data  
direction register. The data direction register bits are used to specify the primary direc-  
tion of data for each l/O line. When an output line is read, the value at the input to the  
pin driver is returned. When a line is configured as an input, that pin becomes a high-  
impedance input. If a write is executed to an input line, the value does not affect the l/  
O pin, but is stored in an internal latch. When the line becomes an output, this value  
appears at the l/O pin. Data direction register bits are cleared by reset to configure l/  
O pins as inputs.  
4
The AS and R/W pins are dedicated to bus control while in the expanded multiplexed  
operating modes, or parallel l/O strobes (STRA and STRB) while in the single chip op-  
erating modes.  
4.2 Fixed Direction I/O (Ports A, B, and E)  
The lines for ports A, B, and E (except for port A bit 7) have fixed data directions. When  
port A is being used for general purpose l/O, bits 0, 1, and 2 are configured as input  
only and writes to these lines have no effect. Bits 3, 4, 5, and 6 of port A are configured  
as output only and reads of these lines return the levels sensed at the input to the line  
drivers. Port A bit 7 can be configured as either a general-purpose input or output us-  
ing the DDRA7 bit in the pulse accumulator control register. When port B is being used  
for general purpose output, it is configured as output only and reads of these lines will  
return the levels sensed at the input of the pin drivers. Port E contains the eight A/D  
channel inputs, but these lines may also be used as general purpose digital inputs.  
Writes to the port E address have no effect.  
PARALLEL I/O  
MOTOROLA  
4-1  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
4.3 Simple Strobed I/O  
The simple strobed mode of parallel l/O is invoked and controlled by the parallel l/O  
control register (PIOC). This mode is selected when the handshake bit (HNDS) in the  
PIOC register is clear. Port C becomes a strobed input port with the STRA line as the  
edge-detecting latch command input. Also, port B becomes a strobed output port with  
the STRB line as the output strobe. The logic sense of the STRB output is selected by  
the invert strobe B bit (INVB) in the PIOC register.  
4.3.1 Strobed Input Port C  
In this mode, there are two addresses where port C may be read, the PORTC data  
register and the alternate latched port C register (PORTCL). The data direction regis-  
ter still controls the data direction of all port C lines. Even when the strobed input mode  
is selected, any or all of the port C lines may still be used for general purpose l/O.  
The STRA line is used as an edge-detecting input, and the edge-select for strobe A  
(EGA) bit in the PIOC register defines either falling or rising edge as the significant  
edge. Whenever the selected edge is detected at the STRA pin, the current logic levels  
at port C lines are latched into the PORTCL register and the strobe A flag (STAF) in  
the PIOC register is set. If the strobe A interrupt enable (STAI) bit in PIOC is also set,  
an internal interrupt sequence is requested. The strobe A flag (STAF) is automatically  
cleared by reading the PIOC register (with STAF set) followed by a read of the  
PORTCL register. Data is latched in the PORTCL register whether or not the STAF  
flag was previously clear.  
4
4.3.2 Strobed Output Port B  
In this mode, the STRB pin is a strobe output which is pulsed for two E clock periods  
each time there is a write to port B. The INVB bit in the PIOC register controls the po-  
larity of the pulse on the STRB line.  
4.4 Full Handshake I/O  
The full handshake modes of parallel l/O involve port C and the STRA and STRB lines.  
There are two basic modes (input and output) and an additional variation on the output  
handshake mode that allows three-stated operation of port C. In all handshake modes,  
STRA is an edge-detecting input, and STRB is a handshake output line.  
When full input handshake protocol is specified, both general purpose input and/or  
general purpose output can coexist at port C. When full output handshake protocol is  
specified, general purpose output can coexist with the handshake outputs at port C,  
but the three-state feature of the output handshake mode interferes with general pur-  
pose input in two ways. First, in full output handshake, the port C lines are outputs  
whenever STRA is at its active level regardless of the data direction register bits. This  
potentially conflicts with any external device trying to drive port C unless that external  
device has an open-drain type output driver. Second, the value returned on reads of  
port C is the state of the outputs of an internal port C output latch regardless of the  
states of the data direction register bits, so that the data written for output handshake  
can be read even if the pins are in a three-state condition.  
PARALLEL I/O  
MC68HC11A8  
4-2  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
4.4.1 Input Handshake Protocol  
In the input handshake protocol, port C is a latching input port, STRA is an edge-sen-  
sitive latch command from the external system that is driving port C, and STRB is a  
“ready” output line controlled by logic in the MCU.  
When a “ready” condition is recognized, the external device places data on the port C  
lines, then pulses the STRA line. The active edge on the STRA line latches the port C  
data into the PORTCL register, sets the STAF flag (optionally causing an interrupt),  
and deasserts the STRB line. Deassertion of the STRB line automatically inhibits the  
external device from strobing new data into port C. Reading the PORTCL latch register  
(independent of clearing the STAF flag) asserts the STRB line, indicating that new  
data may now be applied to port C.  
The STRB line can be configured (with the PLS control bit) to be a pulse output (pulse  
mode) or a static output (interlocked mode).  
The port C data direction register bits should be cleared for each line that is to be used  
as a latched input line. However, some port C lines can be used as latched inputs with  
the input handshake protocol while, at the same time, using some port C lines as static  
inputs, and some port C lines as static outputs. The input handshake protocol has no  
effect on the use of port C lines as static inputs or as static outputs. Reads of the  
PORTC data register always return the static logic level at the port C lines (for lines  
configured as inputs). Writes to either the PORTC data register or the alternate latched  
port C register (PORTCL) send information to the same port C output register without  
affecting the input handshake strobes.  
4
4.4.2 Output Handshake Protocol  
In the output handshake protocol, port C is an output port, STRB is a “ready” output,  
and STRA is an edge-sensitive acknowledge input signal, used to indicate to the MCU  
that the output data has been accepted by the external device. In a variation of this  
output handshake protocol, STRA is also used as an output-enable input, as well as  
an edge-sensitive acknowledge input.  
The MCU places data on the port C output lines and then indicates stable data is avail-  
able by asserting the STRB line. The external device then processes the available  
data and pulses the STRA line to indicate that new data may be placed on the port C  
output lines. The active edge on the STRA line causes the STRB line to be deasserted  
and the STAF status flag to be set. In response to the STAF bit being set, the program  
transfers new data out of port C as required. Writing data to the PORTCL register  
causes the data to appear on port C lines and asserts the STRB line.  
There is a variation to the output handshake protocol that allows three-state operation  
on port C. It is possible to directly connect this 8-bit parallel port to other three-state  
devices with no additional parts.  
While the STRA input line is inactive, all port C lines obey the data direction specified  
by the data direction register so that lines which are configured as inputs are high im-  
pedance. When the STRA line is activated, all port C lines are forced to outputs re-  
gardless of the data in the data direction register. Note that in output handshake  
PARALLEL I/O  
MOTOROLA  
4-3  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
protocol, reads of port C always return the value sensed at the input to the output buff-  
er regardless of the state of the data direction register bits because the lines would not  
necessarily have meaningful data on them in the three-state variation of this protocol.  
This operation makes it impractical to use some port C lines as static inputs, while us-  
ing others as handshake outputs, but does not interfere with the use of some port C  
lines as static outputs. Port C lines intended as static outputs or normal handshake  
outputs should have their corresponding data direction register bits set, and lines in-  
tended as three-state handshake outputs should have their corresponding data direc-  
tion register bits clear.  
4.5 Parallel I/O Control Register (PIOC)  
The parallel handshake l/O functions are available only in the single-chip operating  
mode. The PIOC is a read/write register except for bit 7 which is read only. Table 4-1  
shows a summary of handshake l/O operations.  
.
Table 4-1 Handshake l/O Operations Summary  
STAI  
CWOM  
INVB  
0
1
STAF Interrupts  
Inhibited  
Port C Outputs  
Normal  
STRB Active  
Low  
4
STAF Interrupts  
Enabled  
Port C Outputs  
Open-Drain  
STRB Active  
High  
STAF  
Clearing  
Sequence  
HNDS OIN  
PLS  
EGA  
Port C  
Port B  
1
Simple  
Strobe  
Mode  
Read PIOC with  
STAF = 1 then  
Read PORTCL  
0
X
X
Inputs latched STRB pulses  
into PORTCL on writes to  
on any active port B.  
edge on  
0
1
STRA.  
Full  
Input  
Read PIOC with  
STAF = 1 then  
1
0
0 = STRB  
Active  
Level  
1 = STRB  
Active  
Inputs latched Normal out-  
into PORTCL put port. Unaf-  
on any active fected in  
0
1
Handshake Read PORTCL  
edge on  
STRA.  
handshake  
modes  
Pulse  
Full  
Output  
Handshake Write to  
PORTCL  
Read PIOC with  
STAF = 1 then  
1
1
0 = STRB  
Active  
Level  
1 = STRB  
Active  
Driven as out- Normal out-  
puts if STRA at put port. Unaf-  
0
1
Port C  
Driven  
active level.  
fected in  
FollowsDDRC handshake  
if STRA not at modes  
active level.  
STRA  
Active Edge  
Follow  
DDRC  
Follow  
DDRC  
Pulse  
NOTE:  
1. Set by active edge on STRA  
7
STAF  
0
6
STAI  
0
5
4
3
2
1
0
$1002  
CWOM  
0
HNDS  
0
OIN  
0
PLS  
EGA  
1
INVB  
1
PIOC  
RESET  
U
PARALLEL I/O  
MC68HC11A8  
4-4  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
 
Freescale Semiconductor, Inc.  
STAF — Strobe A Interrupt Status Flag  
This bit is set when a selected edge occurs on strobe A. Clearing it depends on the  
state of HNDS and OIN bits. In simple strobed mode or in full input handshake mode,  
STAF is cleared by reading the PIOC register with STAF set followed by reading the  
PORTCL register. In output handshake, STAF is cleared by reading the PIOC register  
with STAF set followed by writing to the PORTCL register.  
STAI — Strobe A Interrupt Enable Mask  
When the I bit in the condition code register is clear and STAI is set, STAF (when set)  
will request an interrupt.  
CWOM — Port C Wire-OR Mode  
CWOM affects all eight port C pins together  
0 = Port C outputs are normal CMOS outputs  
1 = Port C outputs act as open-drain outputs  
HNDS — Handshake Mode  
When clear, strobe A acts as a simple input strobe to latch data into PORTCL, and  
strobe B acts as a simple output strobe which pulses after a write to port B. When set,  
a handshake protocol involving port C, STRA, and STRB is selected (see the definition  
for the OIN bit).  
4
0 = Simple strobe mode  
1 = Full input or output handshake mode  
OIN — Output or Input Handshaking  
This bit has no meaning when HNDS = 0.  
0 = Input handshake  
1 = Output handshake  
PLS — Pulse/Interlocked Handshake Operation  
This bit has no meaning if HNDS = 0. When interlocked handshake operation is se-  
lected, strobe B, once activated, stays active until the selected edge of strobe A is de-  
tected. When pulsed handshake operation is selected, strobe B is pulsed for two E  
cycles.  
0 = Interlocked handshake select  
1 = Pulsed handshake selected  
EGA — Active Edge for Strobe A  
0 = Falling edge of STRA is selected. When output handshake is selected, port C  
lines obey the data direction register while STRA is low, but port C is forced to  
output when STRA is high.  
1 = Rising edge of STRA is selected. When output handshake is selected, port C  
lines obey the data direction register while STRA is high, but port C is forced to  
output when STRA is low.  
INVB — Invert Strobe B  
0 = Active level is logic zero  
1 = Active level is logic one  
PARALLEL I/O  
MOTOROLA  
4-5  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
4
PARALLEL I/O  
MC68HC11A8  
4-6  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
5 SERIAL COMMUNICATIONS INTERFACE  
This section contains a description of the serial communication interface (SCI).  
5.1 Overview and Features  
A full-duplex asynchronous Serial Communications Interface (SCI) is provided with a  
standard NRZ format (one start bit, eight or nine data bits, and one stop bit) and a va-  
riety of baud rates. The SCI transmitter and receiver are functionally independent, but  
use the same data format and bit rate. “Baud” and “bit rate” are used synonymously in  
the following description.  
5.1.1 SCI Two-Wire System Features  
• Standard NRZ (mark/space) format.  
• Advanced error detection method includes noise detection for noise duration of  
up to 1/16 bit time.  
• Full-duplex operation.  
• Software programmable for one of 32 different baud rates.  
• Software selectable word length (eight or nine bit words).  
• Separate transmitter and receiver enable bits.  
• Capable of being interrupt driven.  
5
• Four separate enable bits available for interrupt control.  
5.1.2 SCI Receiver Features  
• Receiver wake-up function (idle or address bit).  
• Idle line detect.  
• Framing error detect.  
• Noise detect.  
• Overrun detect.  
• Receiver data register full flag.  
5.1.3 SCI Transmitter Features  
• Transmit data register empty flag.  
• Transmit complete flag.  
• Send break.  
5.2 Data Format  
Receive data or transmit data is the serial data which is transferred to the internal data  
bus from the receive data input pin (RxD), or from the internal bus to the transmit data  
output pin (TxD).  
The non-return-to-zero (NRZ) data format shown in Figure 5-1 is used and must meet  
the following criteria:  
SERIAL COMMUNICATIONS INTERFACE  
MOTOROLA  
5-1  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
1. The idle line is brought to a logic one state prior to transmission/reception of a  
character.  
2. A start bit (logic zero) is used to indicate the start of a frame.  
3. The data is transmitted and received least-significant-bit first.  
4. A stop bit (logic one) is used to indicate the end of a frame. A frame consists of  
a start bit, a character of eight or nine data bits, and a stop bit.  
5. A break is defined as the transmission or reception of a low (logic zero) for at  
least one complete frame time.  
STOP  
0
1
2
3
4
5
6
7
8*  
0
1
IDLE LINE  
START  
START  
* CONTROL BIT M IN SCCR1 SELECTS EITHER 8-BIT OR 9-BIT DATA.  
SCI DATA FORMAT  
Figure 5-1 Data Format  
5.3 Wake-Up Feature  
5
The receiver wake-up feature reduces SCI service overhead in multiple receiver sys-  
tems. Software in each receiver evaluates the first character(s) of each message. If  
the message is intended for a different receiver, the SCI can be placed in a sleep mode  
so that the rest of the message will not generate requests for service. Whenever a new  
message is started, logic in the sleeping receivers causes them to wake up so they  
can evaluate the initial character(s) of the new message.  
A sleeping SCI receiver can be configured (using the WAKE control bit in serial com-  
munications control register 1 (SCCR1)) to wake up using either of two methods: idle  
line wake up or address mark wake up.  
In idle line wake up, a sleeping receiver wakes up as soon as the RxD line becomes  
idle. Idle is defined as a continuous logic high on the RxD line for ten (or eleven) full  
bit times. Systems using this type of wake up must provide at least one character time  
of idle between messages to wake up sleeping receivers but must not allow any idle  
time between characters within a message.  
In address mark wake up, the most significant bit (MSB) in a character is used to indi-  
cate that the character is an address (1) or a data (0) character. Sleeping receivers will  
wake up whenever an address character is received. Systems using this method for  
wake up would set the MSB of the first character in each message and leave it clear  
for all other characters in the message. Idle periods may be present within messages  
and no idle time is required between messages for this wake up method.  
5.4 Receive Data (RxD)  
Receive data is the serial data which is applied through the input line and the serial  
communications interface to the internal bus. The receiver circuitry clocks the input at  
a rate equal to 16 times the baud rate and this time is referred to as the RT clock.  
SERIAL COMMUNICATIONS INTERFACE  
MC68HC11A8  
5-2  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
Once a valid start bit is detected, the start bit, each data bit, and the stop bit are sam-  
pled three times at RT intervals 8 RT, 9 RT, and 10 RT (1 RT is the position where the  
bit is expected to start), as shown in Figure 5-2. The value of the bit is determined by  
voting logic which takes the value of the majority of samples.  
PRESENT BIT  
SAMPLES  
PREVIOUS BIT  
NEXT BIT  
RxD  
v
8
R
T
v
9
R
T
v
10  
R
16  
R
T
1
R
T
16  
R
T
1
R
T
T
SCI BIT SAMPLING  
Figure 5-2 Sampling Technique Used on All Bits  
5.5 Start Bit Detection  
When the RxD input is detected low, it is tested for three more sample times (referred  
to as the start edge verification samples in Figure 5-3). If at least two of these three  
verification samples detect a logic zero, a valid start bit has been detected, otherwise  
the line is assumed to be idle. A noise flag is set if all three verification samples do not  
detect a logic zero. A valid start bit could be assumed with a set noise flag present.  
5
If there has been a framing error without detection of a break (10 zeros for 8-bit format  
or 11 zeros for 9-bit format), the circuit continues to operate as if there actually was a  
stop bit and the start edge will be placed artificially. The last bit received in the data  
shift register is inverted to a logic one, and the three logic one start qualifiers (shown  
in Figure 5-3) are forced into the sample shift register during the interval when detec-  
tion of a start bit is anticipated (see Figure 5-4); therefore, the start bit will be accepted  
no sooner than it is anticipated.  
If the receiver detects that a break produced the framing error, the start bit will not be  
artificially induced and the receiver must actually detect a logic one before the start bit  
can be recognized. See Figure 5-5.  
SERIAL COMMUNICATIONS INTERFACE  
MOTOROLA  
5-3  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
 
Freescale Semiconductor, Inc.  
16X INTERNAL  
SAMPLING CLOCK  
1
R
T
2
R
T
3
R
T
4
R
T
5
R
T
6
R
T
7
R
T
8
R
T
IDLE  
RxD  
RxD  
1
1
1
1
1
1
1
1
1
1
1
1
1
1
1
1
1
1
0
0
0
0
0
0
PERCEIVED  
START BIT  
IDLE  
NOISE  
1
1
0
1
PERCEIVED  
START BIT  
IDLE  
NOISE  
RxD  
1
1
1
1
0
1
1
1
1
1
0
0
0
0
START EDGE  
VERIFICATION SAMPLES  
SCI SAMPLE EX  
Figure 5-3 Examples of Start Bit Sampling Techniques  
5
ARTIFICIAL  
EDGE  
EXPECTED  
STOP BIT  
DATA  
DATA  
RECEIVE  
DATA IN  
START  
BIT  
DATA  
SAMPLES  
(a) Case 1, Receive Line Low During Artificial Edge  
START  
EDGE  
EXPECTED  
STOP BIT  
DATA  
DATA  
RECEIVE  
DATA IN  
START  
BIT  
DATA  
SAMPLES  
(b) Case 2, Receive Line High During Expected Start Edge  
SCI FRM ERR EX  
Figure 5-4 SCI Artificial Start Following a Framing Error  
EXPECTED  
STOP BIT  
DETECTED AS VALID  
START EDGE  
BREAK  
RECEIVE  
DATA IN  
START  
BIT  
START  
QUALIFIERS  
START EDGE  
VERIFICATION  
SAMPLES  
DATA  
SAMPLES  
SCI FRM ERR EX  
Figure 5-5 SCI Start Bit Following a Break  
SERIAL COMMUNICATIONS INTERFACE  
MC68HC11A8  
5-4  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
5.6 Transmit Data (TxD)  
Transmit data is the serial data from the internal data bus which is applied through the  
serial communications interface to the output line. The transmitter generates a bit time  
by using a derivative of the RT clock, thus producing a transmission rate equal to 1/16  
that of the receiver sample clock.  
5.7 Functional Description  
A block diagram of the SCI is shown in Figure 5-6. The user has option bits in serial  
communications control register 1 (SCCR1) to determine the “wake-up” method  
(WAKE bit) and data word length (M bit) of the SCI. Serial communications control reg-  
ister 2 (SCCR2) provides control bits which individually enable/disable the transmitter  
or receiver (TE and RE, respectively), enable system interrupts (TIE, TCIE, ILIE) and  
provide the wake-up enable bit (RWU) and the send break code bit (SBK). The baud  
rate register (BAUD) bits allow the user to select different baud rates which may be  
used as the rate control for the transmitter and receiver.  
Data transmission is initiated by a write to the serial communications data register  
(SCDR). Provided the transmitter is enabled, data stored in the SCDR is transferred  
to the transmit data shift register. This transfer of data sets the TDRE bit of the SCI  
status register (SCSR) and may generate an interrupt if the transmit interrupt is en-  
abled. The transfer of data to the transmit data shift register is synchronized with the  
bit rate clock (Figure 5-7). All data is transmitted LSB first. Upon completion of data  
transmission, the transmission complete (TC) bit of the SCSR is set (provided no  
pending data, preamble, or break is to be sent), and an interrupt may be generated if  
the transmit complete interrupt is enabled. If the transmitter is disabled, and the data,  
preamble, or break (in the transmit shift register) has been sent, the TC bit will also be  
set. This will also generate an interrupt if the TCIE bit is set. If the transmitter is dis-  
abled in the middle of a transmission, that character will be completed before the trans-  
mitter gives up control of the TxD pin.  
5
When the SCDR is read, it contains the last data byte received, provided that the re-  
ceiver is enabled. The RDRF bit of the SCSR is set to indicate that a data byte has  
been transferred from the input serial shift register to the SCDR, which can cause an  
interrupt if the receiver interrupt is enabled. The data transfer from the input serial shift  
register to the SCDR is synchronized by the receiver bit rate clock. The OR (over-run),  
NF (noise), or FE (framing) error bits of the SCSR may be set if data reception errors  
occurred.  
An idle line interrupt is generated if the idle line interrupt is enabled and the IDLE bit  
(which detects idle line transmission) of SCSR is set. This allows a receiver that is not  
in the wake-up mode to detect the end of a message, the preamble of a new message,  
or to resynchronize with the transmitter. A valid character must be received before the  
idle line condition or the IDLE bit will not be set and an idle line interrupt will not be  
generated.  
5.8 SCI Registers  
There are five registers used in the serial communications interface and the operation  
of these registers is discussed in the following paragraphs. Reference should be made  
to the block diagram shown in Figure 5-6.  
SERIAL COMMUNICATIONS INTERFACE  
MOTOROLA  
5-5  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
5.8.1 Serial Communications Data Register (SCDR)  
The serial communications data register performs two functions; i.e., it acts as the re-  
ceive data register when it is read and as the transmit data register when it is written.  
Figure 5-6 shows this register as two separate registers, namely: the receive data reg-  
ister and the transmit data register.  
5
SERIAL COMMUNICATIONS INTERFACE  
MC68HC11A8  
5-6  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
INTERNAL BUS  
$102D  
SCCR2  
RE RWU SBK  
TIE TCIE RIE ILIE TE  
IDLE LINE  
SCI  
INTERRUPT  
Rx  
INTERRUPT  
Rx REG FULL  
OVERRUN  
Tx  
COMPLETE  
Tx  
REG  
EMPTY  
$102E  
BAUD  
TDRE TC RDRF IDLE OR  
NF  
FE  
5
TE  
SBK  
RE  
RWU  
WAKE UP  
TRANSMIT  
CONTROL  
FLAG  
CONTROL  
RECEIVE  
CONTROL  
UNIT  
M
M
SCI Tx CLOCK  
RATE GENERATOR  
SCI Rx CLOCK  
$102B  
$102C  
BAUD  
SCR2 SCR1 SCR0  
SCP1 SCP0  
SCCR1  
R8  
T8  
M
WAKE  
1
0
TxD/  
PD1  
7
7
6
6
8
$102F  
8
TxD DATA SHIFT REG  
SCDR  
5
4
3
2
1
1
0
0
RxD/  
PD0  
7
6
RxD DATA SHIFT REG  
INTERNAL BUS  
Figure 5-6 Serial Communications Interface Block Diagram  
SERIAL COMMUNICATIONS INTERFACE  
MOTOROLA  
5-7  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
5.8.2 Serial Communications Control Register 1 (SCCR1)  
The serial communications control register 1 (SCCR1) provides the control bits which:  
(1) determine the word length, and (2) select the method used for the wake-up feature.  
7
R8  
U
6
T8  
U
5
0
0
4
M
0
3
WAKE  
0
2
0
0
1
0
0
0
0
0
$102C  
SCCR1  
RESET  
R8 — Receive Data Bit 8  
If the M bit is set, this bit provides a storage location for the ninth bit in the receive data  
character.  
T8 —Transmit Data Bit 8  
If the M bit is set, this bit provides a storage location for the ninth bit in the transmit  
data character. It is not necessary to write to this bit for every character transmitted,  
only when the sense is to be different than that for the previous character.  
Bit 5 — Not Implemented  
This bit always reads zero.  
5
M — SCI Character Length  
0 = 1 start bit, 8 data bits, 1 stop bit  
1 = 1 start bit, 9 data bits, 1 stop bit  
WAKE — Wake Up Method Select  
0 = Idle Line  
1 = Address Mark  
Bits 2-0 — Not Implemented  
These bits always read zero.  
5.8.3 Serial Communications Control Register 2 (SCCR2)  
The serial communications control register 2 (SCCR2) provides the control bits which  
enable/disable individual SCI functions.  
7
TIE  
0
6
TCIE  
0
5
RIE  
0
4
ILIE  
0
3
TE  
0
2
RE  
0
1
RWU  
0
0
SBK  
0
$102D  
SCCR2  
RESET  
TIE — Transmit Interrupt Enable  
0 = TDRE interrupts disabled  
1 = SCI interrupt if TDRE = 1  
SERIAL COMMUNICATIONS INTERFACE  
MC68HC11A8  
5-8  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
TCIE — Transmit Complete Interrupt Enable  
0 = TC interrupts disabled  
1 = SCI Interrupt if TC = 1  
RIE — Receive Interrupt Enable  
0 = RDRF and OR interrupts disabled  
1 = SCI interrupt if RDRF or OR = 1  
ILIE — Idle Line Interrupt Enable  
0 = IDLE interrupts disabled  
1 = SCI interrupt if IDLE = 1  
TE — Transmit Enable  
When the transmit enable bit is set, the transmit shift register output is applied to the  
TxD line. Depending on the state of control bit M (SCCR1), a preamble of 10 (M = 0)  
or 11 (M = 1) consecutive ones is transmitted when software sets the TE bit from a  
cleared state. After loading the last byte in the serial communications data register and  
receiving the TDRE flag, the user can clear TE. Transmission of the last byte will then  
be completed before the transmitter gives up control of the TxD pin. While the trans-  
mitter is active, the data direction register control for port D bit 1 is overridden and the  
line is forced to be an output.  
5
RE — Receive Enable  
When the receive enable bit is set, the receiver is enabled. When RE is clear, the re-  
ceiver is disabled and all of the status bits associated with the receiver (RDRF, IDLE,  
OR, NF, and FE) are inhibited. While the receiver is enabled, the data direction register  
control for port D bit 0 is overridden and the line is forced to be an input.  
RWU — Receiver Wake Up  
When the receiver wake-up bit is set by the user’s software, it puts the receiver to  
sleep and enables the “wake up” function. If the WAKE bit is cleared, RWU is cleared  
by the SCI logic after receiving 10 (M = 0) or 11 (M = 1) consecutive ones. If the WAKE  
bit is set, RWU is cleared by the SCI logic after receiving a data word whose MSB is  
set.  
SBK — Send Break  
If the send break bit is toggled set and cleared, the transmitter sends 10 (M = 0) or 11  
(M = 1) zeros and then reverts to idle or sending data. If SBK remains set, the trans-  
mitter will continually send whole blocks of zeros (sets of 10 or 11) until cleared. At the  
completion of the break code, the transmitter sends at least one high bit to guarantee  
recognition of a valid start bit. If the transmitter is currently empty and idle, setting and  
clearing SBK is likely to queue two character times of break because the first break  
transfers almost immediately to the shift register and the second is then queued into  
the parallel transmit buffer.  
SERIAL COMMUNICATIONS INTERFACE  
MOTOROLA  
5-9  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
5.8.4 Serial Communications Status Register (SCSR)  
The serial communications status register (SCSR) provides inputs to the interrupt logic  
circuits for generation of the SCI system interrupt.  
7
TDRE  
1
6
TC  
1
5
RDRF  
0
4
IDLE  
0
3
OR  
0
2
NF  
0
1
FE  
0
0
0
0
$102E  
SCSR  
RESET  
TDRE — Transmit Data Register Empty  
The transmit data register empty bit is set to indicate that the content of the serial com-  
munications data register have been transferred to the transmit serial shift register.  
This bit is cleared by reading the SCSR (with TDRE = 1) followed by a write to the  
SCDR.  
TC — Transmit Complete  
The transmit complete bit is set at the end of a data frame, preamble, or break condi-  
tion if:  
5
1. TE = 1, TDRE = 1, and no pending data, preamble, or break is to be transmitted;  
or  
2. TE = 0, and the data, preamble, or break in the transmit shift register has been  
transmitted.  
The TC bit is a status flag which indicates that one of the above conditions have oc-  
curred.  
The TC bit is cleared by reading the SCSR (with TC set) followed by a write to the  
SCDR.  
RDRF — Receive Data Register Full  
Thereceivedataregisterfullbitissetwhenthereceiverserialshiftregisteristransferredto  
theSCDR.TheRDRFbitisclearedwhentheSCSRisread(withRDRFset)followedbya  
read of the SCDR.  
IDLE — Idle Line Detect  
Theidlelinedetectbit,whenset,indicatesthereceiverhasdetectedanidleline.TheIDLE  
bitisclearedbyreadingtheSCSRwithIDLEsetfollowedbyreadingSCDR.OncetheIDLE  
statusflagiscleared,itwillnotbesetagainuntilaftertheRxDlinehasbeenactiveandbe-  
comes idle again.  
OR — Overrun Error  
Theoverrunerrorbitissetwhenthenextbyteisreadytobetransferredfromthereceiveshift  
registertotheSCDRwhichisalreadyfull(RDRFbitisset).Whenanoverrunerroroccurs,  
thedatawhichcausedtheoverrunislostandthedatawhichwasalreadyinSCDRisnotdis-  
turbed. TheORisclearedwhentheSCSRisread(withORset), followedbyareadofthe  
SCDR.  
SERIAL COMMUNICATIONS INTERFACE  
MC68HC11A8  
5-10  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
NF — Noise Flag  
The noise flag bit is set if there is noise on any of the received bits, including the start  
and stop bits. The NF bit is not set until the RDRF flag is set. The NF bit is cleared  
when the SCSR is read (with NF set), followed by a read of the SCDR.  
FE — Framing Error  
The framing error bit is set when no stop bit was detected in the received data charac-  
ter. The FE bit is set at the same time as the RDRF is set. If the byte received causes  
both framing and overrun errors, the processor will only recognize the overrun error.  
The framing error flag inhibits further transfer of data into the SCDR until it is cleared.  
The FE bit is cleared when the SCSR is read (with FE equal to one) followed by a read  
of the SCDR.  
Bit 0 — Not Implemented  
This bit always reads zero.  
5.8.5 Baud Rate Register (BAUD)  
The baud rate register selects the different baud rates which may be used as the rate  
control for the transmitter and receiver. The SCP[0:1] bits function as a prescaler for  
the SCR[0:2] bits. Together, these five bits provide multiple baud rate combinations for  
a given crystal frequency.  
5
7
TCLR  
0
6
0
0
5
SCP1  
0
4
SCP0  
0
3
RCKB  
0
2
SCR2  
U
1
SCR1  
U
0
SCR0  
U
$102B  
BAUD  
RESET  
TCLR — Clear Baud Rate Counters (Test)  
This bit is used to clear the baud rate counter chain during factory testing. TCLR is  
zero and cannot be set while in normal operating modes.  
SCP1 and SCP0 — SCI Baud Rate Prescaler Selects  
The E clock is divided by the factors shown in Table 5-1. This prescaled output pro-  
vides an input to a divider which is controlled by the SCR2-SCR0 bits.  
Table 5-1 First Prescaler Stage  
SCP1  
SCP0  
Internal Processor Clock Divided By  
0
0
1
1
0
1
0
1
1
3
4
13  
SCR2, SCR1, and SCR0 — SCI Baud Rate Selects  
These three bits select the baud rates for both the transmitter and the receiver. The  
prescaler output described above is further divided by the factors shown in Table 5-2.  
SERIAL COMMUNICATIONS INTERFACE  
MOTOROLA  
5-11  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
 
Freescale Semiconductor, Inc.  
Table 5-2 Second Prescaler Stage  
SCR2  
SCR1  
SCR0  
Prescaler Output  
Divide By  
0
0
0
0
1
1
1
1
0
0
1
1
0
0
1
1
0
1
0
1
0
1
0
1
1
2
4
8
16  
32  
64  
128  
RCKB — SCI Baud Rate Clock Check (Test)  
This bit is used during factory testing to enable the exclusive-OR of the receiver clock  
and transmitter clock to be driven out the TxD pin. RCKB is zero and cannot be set  
while in normal operating modes.  
The diagram shown in Figure 5-7 and the data given in Table 5-3 and Table 5-4 illus-  
trate the divider chain used to obtain the baud rate clock. Note that there is a fixed rate  
divide-by-16 between the receive clock (RT) and the transmit clock (Tx). The actual  
divider chain is controlled by the combined SCP[1:0] and SCR[2:0] bits in the baud rate  
register as illustrated.  
5
INTERNAL BUS  
CLOCK (PH2)  
SCI RECEIVER  
CLOCK  
SCI  
PRESCALER  
SCP[1:0]  
SCI BAUD  
SELECT  
SCR[2:0]  
OSCILLATOR  
FREQUENCY  
SCI TRANSMITTER  
CLOCK  
÷ 4  
÷ 16  
SCI BAUD DIV  
Figure 5-7 Rate Generator Division  
Table 5-3 Prescaler Highest Baud Rate Frequency Output  
SCP Bit Clock*  
Crystal Frequency (MHz)  
Divided  
By  
1
0
12.0  
8.3886  
8.0  
4.9152  
4.0  
3.6864  
0
0
1
1
0
1
0
1
1
3
187.50 K Baud 131.072 K Baud 125.000 K Baud 76.80 K Baud 62.50 K Baud 57.60 K Baud  
62.50 K Baud 43.690 K Baud 41.666 K Baud 25.60 K Baud 20.833 K Baud 19.20 K Baud  
46.875 K Baud 32.768 K Baud 31.250 K Baud 19.20 K Baud 15.625 K Baud 14.40 K Baud  
14.423 K Baud 10.082 K Baud 9600 Baud 5.907 K Baud 4800 Baud 4430 Baud  
4
13  
*The clock in the “Clock Divided By” column is the internal processor clock  
SERIAL COMMUNICATIONS INTERFACE  
MC68HC11A8  
5-12  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
 
 
Freescale Semiconductor, Inc.  
NOTE  
The divided frequencies shown in Table 5-3 represent baud rates  
which are the highest transmit baud rate (Tx) that can be obtained by  
a specific crystal frequency and only using the prescaler division.  
Lower baud rates may be obtained by providing a further division us-  
ing the SCI rate select bits as shown below for some representative  
prescaler outputs.  
Table 5-4 Transmit Baud Rate Output for a Given Prescaler Output  
SCR Bit Divided  
Representative Highest Prescaler Baud Rate Output  
By  
2
0
0
0
0
1
1
1
1
1
0
0
1
1
0
0
1
1
0
0
1
0
1
0
1
0
1
131.072 K Baud  
131.072 K Baud  
65.536 K Baud  
32.768 K Baud  
16.384 K Baud  
8.192 K Baud  
4.096 K Baud  
2.048 K Baud  
1.024 K Baud  
32.768 K Baud  
32.768 K Baud  
16.384 K Baud  
8.192 K Baud  
4.096 K Baud  
2.048 K Baud  
1.024 K Baud  
512 Baud  
76.80 K Baud  
76.80 K Baud  
38.40 K Baud  
19.20 K Baud  
9600 Baud  
19.20 K Baud 9600 Baud 4800 Baud  
1
19.20 K Baud  
9600 Baud  
4800 Baud  
2400 Baud  
1200 Baud  
600 Baud  
9600 Baud  
4800 Baud  
2400 Baud  
1200 Baud  
600 Baud  
300 Baud  
150 Baud  
75 Baud  
4800 Baud  
2400 Baud  
1200 Baud  
600 Baud  
300 Baud  
150 Baud  
75 Baud  
2
4
8
16  
32  
64  
128  
4800 Baud  
2400 Baud  
1200 Baud  
300 Baud  
256 Baud  
600 Baud  
150 Baud  
5
NOTE  
Table 5-4 illustrates how the SCI select bits can be used to provide  
lower transmitter baud rates by further dividing the prescaler output  
frequency. The five examples are only representative samples. In all  
cases, the baud rates shown are transmit baud rates (transmit clock)  
and the receiver clock is 16 times higher in frequency than the actual  
baud rate.  
SERIAL COMMUNICATIONS INTERFACE  
MOTOROLA  
TECHNICAL DATA  
5-13  
For More Information On This Product,  
Go to: www.freescale.com  
 
Freescale Semiconductor, Inc.  
5
SERIAL COMMUNICATIONS INTERFACE  
MC68HC11A8  
5-14  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
6 SERIAL PERIPHERAL INTERFACE  
This section contains a description on the serial peripheral interface (SPI).  
6.1 Overview and Features  
The serial peripheral interface (SPI) is a synchronous interface which allows several  
SPI microcontrollers or SPI-type peripherals to be interconnected. In a serial periph-  
eral interface, separate wires (signals) are required for data and clock. In the SPI for-  
mat, the clock is not included in the data stream and must be furnished as a separate  
signal. The MC68HC11A8 SPI system may be configured either as a master or as a  
slave. The SPI contains the following features:  
• Full Duplex, Three-Wire Synchronous Transfers  
• Master or Slave Operation  
• 1.5 MHz (Maximum) Master Bit Frequency  
• 3 MHz (Maximum) Slave Bit Frequency  
• Four Programmable Master Bit Rates  
• Programmable Clock Polarity and Phase  
• End-of-Transmission Interrupt Flag  
• Write Collision Flag Protection  
6
• Master-Master Mode Fault Protection  
• Easily Interfaces to Simple Expansion Parts (PLLs, D/As, Latches, Display Driv-  
ers, etc.)  
6.2 SPI Signal Descriptions  
The four basic SPI signals (MISO, MOSI, SCK, and SS) are discussed in the following  
paragraphs. Each signal is described for both the master and slave modes.  
Any SPI output line has to have its corresponding data direction register bit set. If this  
bit is clear, the line is disconnected from the SPI logic and becomes a general-purpose  
input line. Any SPI input line is forced to act as an input regardless of what is in the  
corresponding data direction register bit.  
6.2.1 Master In Slave Out (MISO)  
The MISO line is configured as an input in a master device and as an output in a slave  
device. It is one of the two lines that transfer serial data in one direction, with the most  
significant bit sent first. The MISO line of a slave device is placed in the high-imped-  
ance state if the slave is not selected.  
6.2.2 Master Out Slave In (MOSI)  
The MOSI line is configured as an output in a master device and as an input in a slave  
device. It is one of the two lines that transfer serial data in one direction with the most  
significant bit sent first.  
SERIAL PERIPHERAL INTERFACE  
MOTOROLA  
6-1  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
6.2.3 Serial Clock (SCK)  
The serial clock is used to synchronize data movement both in and out of the device  
through its MOSI and MISO lines. The master and slave devices are capable of ex-  
changing a byte of information during a sequence of eight clock cycles. Since SCK is  
generated by the master device, this line becomes an input on a slave device.  
As shown in Figure 6-1, four possible timing relationships may be chosen by using  
control bits CPOL and CPHA in the serial peripheral control register (SPCR). Both  
master and slave devices must operate with the same timing. The master device al-  
ways places data on the MOSI line a half-cycle before the clock edge (SCK), in order  
for the slave device to latch the data.  
Two bits (SPR0 and SPR1) in the SPCR of the master device select the clock rate. In  
a slave device, SPR0 and SPR1 have no effect on the operation of the SPI.  
6.2.4 Slave Select (SS)  
The slave select (SS) input line is used to select a slave device. It has to be low prior  
to data transactions and must stay low for the duration of the transaction.  
6
The SS line on the master must be tied high. If it goes low, a mode fault error flag  
(MODF) is set in the serial peripheral status register (SPSR). The SS pin can be se-  
lected to be a general-purpose output by writing a one in bit 5 of the port D data direc-  
tion register, thus disabling the mode fault circuit. The other three SPI lines are  
dedicated to the SPI whenever the SPI is on.  
SCK CYCLE #  
1
2
3
4
5
6
7
8
(FOR REFERENCE)  
SCK (CPOL = 0)  
SCK (CPOL = 1)  
SAMPLE INPUT  
(CPHA = 0) DATA OUT  
MSB  
6
5
4
3
2
1
LSB  
SAMPLE INPUT  
(CPHA = 1) DATA OUT  
MSB  
6
5
4
3
2
1
LSB  
SS (TO SLAVE)  
SPI TRANSFER FORMAT  
Figure 6-1 Data Clock Timing Diagram  
When CPHA = 0, the shift clock is the OR of SS with SCK. In this clock phase mode,  
SS must go high between successive characters in an SPI message. When CPHA =  
1, SS may be left low for several SPI characters. In cases where there is only one SPI  
slave MCU, its SS line could be tied to VSS as long as CPHA = 1 clock modes are used.  
SERIAL PERIPHERAL INTERFACE  
MC68HC11A8  
6-2  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
 
Freescale Semiconductor, Inc.  
6.3 Functional Description  
Figure 6-2 shows a block diagram of the serial peripheral interface circuitry. When a  
master device transmits data to a slave device via the MOSI line, the slave device re-  
sponds by sending data to the master device via the master’s MISO line. This implies  
full duplex transmission with both data out and data in synchronized with the same  
clock signal. Thus, the byte transmitted is replaced by the byte received and eliminates  
the need for separate transmit-empty and receiver-full status bits. A single status bit  
(SPIF) is used to signify that the l/O operation has been completed.  
The SPI is double buffered on read, but not on write. If a write is performed during data  
transfer, the transfer occurs uninterrupted, and the write will be unsuccessful. This  
condition will cause the write collision (WCOL) status bit in the SPSR to be set. After  
a data byte is shifted, the SPIF flag of the SPSR is set.  
S
MISO  
PD2  
M
LSB  
8-BIT SHIFT REGISTER  
READ DATA BUFFER  
MSB  
INTERNAL  
MCU SYSTEM  
CLOCK  
M
S
MOSI  
PD3  
DIVIDER  
÷2  
÷4 ÷16 ÷32  
PIN  
6
CONTROL  
LOGIC  
CLOCK  
SPI CLOCK (MSTR = 1)  
SELECT  
S
M
CLOCK  
LOGIC  
SCK  
PD4  
SS  
PD5  
MSTR  
SPE  
SPI  
INTERRUPT  
SPI CONTROL  
SPIE  
8
SPI STATUS (SPSR)  
SPI CONTROL (SPCR)  
SPI BLOCK DIAGRAM  
Figure 6-2 Serial Peripheral Interface Block Diagram  
In the master mode, the SCK pin is an output. It idles high or low, depending on the  
CPOL bit in the SPCR, until data is written to the shift register, at which point eight  
clocks are generated to shift the eight bits of data and then SCK goes idle again.  
In a slave mode, the slave start logic receives a logic low at the SS pin and a clock  
input at the SCK pin. Thus, the slave is synchronized with the master. Data from the  
master is received serially at the slave MOSI line and loads the 8-bit shift register. After  
the 8-bit shift register is loaded, its data is parallel transferred to the read buffer. During  
a write cycle, data is written into the shift register, then the slave waits for a clock train  
from the master to shift the data out on the slave’s MISO line.  
SERIAL PERIPHERAL INTERFACE  
MOTOROLA  
6-3  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
 
Freescale Semiconductor, Inc.  
Figure 6-3 illustrates the MOSI, MISO, SCK, and SS master-slave interconnections.  
Due to data direction register control of SPI outputs and the port D wire-OR mode  
(DWOM) option, the SPI system can be configured in a variety of ways. Systems with  
a single bidirectional data path rather than separate MISO and MOSI paths can be ac-  
commodated. Since MC68HC11A8 SPI slaves can selectively disable their MISO out-  
put, a broadcast message protocol is also possible.  
MASTER  
SLAVE  
MISO  
MOSI  
MISO  
MOSI  
8-BIT SHIFT REGISTER  
8-BIT SHIFT REGISTER  
SPI CLOCK  
GENERATOR  
SCK  
SCK  
SS  
+5V  
SS  
DUAL-MCU SPI CONN  
6
Figure 6-3 Serial Peripheral Interface Master-Slave Interconnection  
6.4 SPI Registers  
There are three registers in the serial peripheral interface which provide control, sta-  
tus, and data storage functions. These registers are called the serial peripheral control  
register (SPCR), serial peripheral status register (SPSR), and serial peripheral data l/  
O register (SPDR) and are described in the following paragraphs.  
6.4.1 Serial Peripheral Control Register (SPCR)  
7
SPIE  
0
6
SPE  
0
5
DWOM  
0
4
MSTR  
0
3
CPOL  
0
2
CPHA  
1
1
SPR1  
U
0
SPR0  
U
$1028  
SPCR  
RESET  
SPIE — Serial Peripheral Interrupt Enable  
0 = SPIF interrupts disabled  
1 = SPI interrupt if SPIF = 1  
SPE — Serial Peripheral System Enable  
0 = SPI system off  
1 = SPI system on  
DWOM — Port D Wire-OR Mode Option  
DWOM affects all six port D pins together.  
0 = Port D outputs are normal CMOS outputs  
1 = Port D outputs act as open-drain outputs  
SERIAL PERIPHERAL INTERFACE  
MC68HC11A8  
6-4  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
 
Freescale Semiconductor, Inc.  
MSTR — Master Mode Select  
0 = Slave mode  
1 = Master mode  
CPOL — Clock Polarity  
When the clock polarity bit is cleared and data is not being transferred, a steady state  
low value is produced at the SCK pin of the master device. Conversely, if this bit is set,  
the SCK pin will idle high. This bit is also used in conjunction with the clock phase con-  
trol bit to produce the desired clock-data relationship between master and slave. See  
Figure 6-1.  
CPHA — Clock Phase  
The clock phase bit, in conjunction with the CPOL bit, controls the clock-data relation-  
ship between master and slave. The CPOL bit can be thought of as simply inserting  
an inverter in series with the SCK line. The CPHA bit selects one of two fundamentally  
different clocking protocols. When CPHA = 0, the shift clock is the OR of SCK with SS.  
As soon as SS goes low the transaction begins and the first edge on SCK invokes the  
first data sample. When CPHA = 1, the SS pin may be thought of as a simple output  
enable control. Refer to Figure 6-1.  
6
Table 6-1 Serial Peripheral Rate Selection  
SPR1 SPR0 Internal Processor,  
Clock Divide By  
0
0
1
1
0
1
0
1
2
4
16  
32  
SPR1 and SPR0—SPI Clock Rate Selects  
These two serial peripheral rate bits select one of four baud rates (Table 6-1) to be  
used as SCK if the device is a master; however, they have no effect in the slave mode.  
6.4.2 Serial Peripheral Status Register (SPSR)  
7
SPIF  
0
6
WCOL  
0
5
0
0
4
MODF  
0
3
0
0
2
0
0
1
0
0
0
0
0
$1029  
SPSR  
RESET  
SPIF — SPI Transfer Complete Flag  
The serial peripheral data transfer flag bit is set upon completion of data transfer be-  
tween the processor and external device. If SPIF goes high, and if SPIE is set, a serial  
peripheral interrupt is generated. Clearing the SPIF bit is accomplished by reading the  
SPSR (with SPIF set) followed by an access of the SPDR. Unless SPSR is read (with  
SPIF set) first, attempts to write to SPDR are inhibited.  
SERIAL PERIPHERAL INTERFACE  
MOTOROLA  
6-5  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
 
Freescale Semiconductor, Inc.  
WCOL — Write Collision  
The write collision bit is set when an attempt is made to write to the serial peripheral  
data register while data transfer is taking place. If CPHA is zero a transfer is said to  
begin when SS goes low and the transfer ends when SS goes high after eight clock  
cycles on SCK. When CPHA is one a transfer is said to begin the first time SCK be-  
comes active while SS is low and the transfer ends when the SPIF flag gets set. Clear-  
ing the WCOL bit is accomplished by reading the SPSR (with WCOL set) followed by  
an access to SPDR.  
Bit 5 — Not Implemented  
This bit always reads zero.  
MODF — Mode Fault  
The mode fault flag indicates that there may have been a multi-master conflict for sys-  
tem control and allows a proper exit from system operation to a reset or default system  
state. The MODF bit is normally clear, and is set only when the master device has its  
SS pin pulled low. Setting the MODF bit affects the internal serial peripheral interface  
system in the following ways:  
1. An SPI interrupt is generated if SPIE = 1.  
6
2. The SPE bit is cleared. This disables the SPI.  
3. The MSTR bit is cleared, thus forcing the device into the slave mode.  
4. DDRD bits for the four SPI pins are forced to zeros.  
Clearing the MODF bit is accomplished by reading the SPSR (with MODF set), fol-  
lowed by a write to the SPCR. Control bits SPE and MSTR may be restored by user  
software to their original state after the MODF bit has been cleared. It is also neces-  
sary to restore DDRD after a mode fault.  
Bits 3-0 — Not Implemented  
These bits always read zero.  
6.4.3 Serial Peripheral Data l/O Register (SPDR)  
The serial peripheral data l/O register is used to transmit and receive data on the serial  
bus. Only a write to this register will initiate transmission/reception of another byte, and  
this will only occur in the master device. At the completion of transmitting a byte of da-  
ta, the SPIF status bit is set in both the master and slave devices.  
When the user reads the serial peripheral data l/O register, a buffer is actually being  
read. The first SPIF must be cleared by the time a second transfer of data from the  
shift register to the read buffer is initiated or an overrun condition will exist. In cases of  
overrun the byte which causes the overrun is lost.  
A write to the serial peripheral data l/O register is not buffered and places data directly  
into the shift register for transmission.  
SERIAL PERIPHERAL INTERFACE  
MC68HC11A8  
6-6  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
7 ANALOG-TO-DIGITAL CONVERTER  
The MC68HC11A8 includes an 8-channel, multiplexed-input, successive approxima-  
tion, analog-to-digital (A/D) converter with sample and hold to minimize conversion er-  
rors caused by rapidly changing input signals. Two dedicated lines (VRL, VRH) are  
provided for the reference voltage inputs. These pins may be connected to a separate  
or isolated power supply to ensure full accuracy of the A/D conversion. The 8-bit A/D  
converter has a total error of ±1 LSB which includes ± 1/2 LSB of quantization error  
and accepts analog inputs which range from VRL to VRH. Smaller analog input ranges  
can also be obtained by adjusting VRH and VRL to the desired upper and lower limits.  
Conversion is specified and tested for VRL = 0 V and VRH = 5 V ± 10%; however, lab-  
oratory characterization over the full temperature range indicates little or no degrada-  
tion with VRH-VRL as low as 2.5 to 3 V. The A/D system can be operated with VRH below  
VDD and/or VRL above VSS as long as VRH is above VRL by enough to support the con-  
versions (2.5 to 5.0 V). Each conversion is accomplished in 32 MCU E clock cycles,  
provided the E clock rate is greater than 750 kHz. For systems which operate at clock  
rates less than 750 kHz, an internal R-C oscillator must be used to clock the A/D sys-  
tem. The internal R-C oscillator is selected by setting the CSEL bit in the OPTION reg-  
ister.  
7
NOTE  
Only four A/D input channels are available in the 48-pin version.  
7.1 Conversion Process  
The A/D converter is ratiometric. An input voltage equal to VRL converts to $00 and an  
input voltage equal to VRH converts to $FF (full scale), with no overflow indication. For  
ratiometric conversions, the source of each analog input should use VRH as the supply  
voltage and be referenced to VRL.  
Figure 7-1 shows the detailed sequence for a set of four conversions. This sequence  
begins one E clock cycle after a write to the A/D control/status register (ADCTL). Fig-  
ure 7-2 shows a model of the port E A/D channel inputs. This model is useful for un-  
derstanding the effects of external circuitry on the accuracy of A/D conversions.  
7.2 Channel Assignments  
A multiplexer allows the single A/D converter to select one of sixteen analog signals.  
Eight of these channels correspond to port E input lines to the MCU, four of the chan-  
nels are for internal reference points or test functions, and four channels are reserved  
for future use. Table 7-1 shows the signals selected by the four channel select control  
bits.  
ANALOG-TO-DIGITAL CONVERTER  
MOTOROLA  
7-1  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
7.3 Single-Channel Operation  
There are two variations of single-channel operation. In the first variation (SCAN = 0),  
the single selected channel is converted four consecutive times with the first result be-  
ing stored in A/D result register 1 (ADR1) and the fourth result being stored in register  
ADR4. After the fourth conversion is complete, all conversion activity is halted until a  
new conversion command is written to the ADCTL register. In the second variation  
(SCAN = 1), conversions continue to be performed on the selected channel with the  
fifth conversion being stored in register ADR1 (overwriting the first conversion result),  
the sixth conversion overwrites ADR2, and so on.  
E CLOCK  
MSB  
4
CYCLES  
BIT 6 BIT 5 BIT 4 BIT 3 BIT 2 BIT 1 LSB  
2
2
2
2
2
2
2
2
CYC  
12 E CYCLES  
CYC CYC CYC CYC CYC CYC CYC END  
SAMPLE ANALOG INPUT  
SUCCESSIVE APPROXIMATION SEQUENCE  
7
CONVERT FIRST  
CONVERT SECOND  
CHANNEL, UPDATE  
ADR2  
CONVERT THIRD  
CHANNEL, UPDATE  
ADR3  
CONVERT FOURTH  
CHANNEL, UPDATE  
ADR4  
CHANNEL, UPDATE  
ADR1  
0
32  
64  
96  
128 — E CYCLES  
Figure 7-1 A/D Conversion Sequence  
DIFFUSION/POLY  
COUPLER  
ANALOG  
INPUT  
PIN  
*
+ ~20V  
– ~0.7V  
+ ~12V  
– ~0.7V  
4 KΩ  
< 2 pF  
~ 20 pF  
400 nA  
JUNCTION  
LEAKAGE  
DAC  
CAPACITANCE  
DUMMY N-CHANNEL  
OUTPUT DEVICE  
INPUT  
PROTECTION  
DEVICE  
V
RL  
* THIS ANALOG SWITCH IS CLOSED ONLY DURING THE 12-CYCLE SAMPLE TIME.  
Figure 7-2 A/D Pin Model  
7.4 Multiple-Channel Operation  
There are two variations in multiple-channel operation. In the first variation (SCAN =  
0), the selected group of four channels are converted, one time each, with the first re-  
sult being stored in register ADR1 and the fourth result being stored in register ADR4.  
After the fourth conversion is complete, all conversion activity is halted until a new con-  
version command is written to the ADCTL register. In the second variation (SCAN =  
1), conversions continue to be performed on the selected group of channels with the  
fifth conversion being stored in register ADR1 (replacing the earlier conversion result  
for the first channel in the group), the sixth conversion overwrites ADR2, and so on.  
ANALOG-TO-DIGITAL CONVERTER  
MC68HC11A8  
7-2  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
 
Freescale Semiconductor, Inc.  
7.5 Operation in STOP and WAIT Modes  
If a conversion sequence is still in process when either the STOP or WAIT mode is  
entered, the conversion of the current channel is suspended. When the MCU resumes  
normal operation, that channel will be re-sampled and the conversion sequence re-  
sumed. As the MCU exits the WAIT mode, the A/D circuits are stable and valid results  
can be obtained on the first conversion. However, in STOP mode, all analog bias cur-  
rents are disabled and it becomes necessary to allow a stabilization period when leav-  
ing the STOP mode. If the STOP mode is exited with a delay, there will be enough time  
for these circuits to stabilize before the first conversion. If the STOP mode is exited  
with no delay (DLY bit in OPTION register equal to zero), sufficient time must be al-  
lowed for the A/D circuitry to stabilize to avoid invalid results (see 7.8 A/D Power-Up  
and Clock Select).  
7.6 A/D Control/Status Register (ADCTL)  
All bits in this register may be read or written, except bit 7 which is a read-only status  
indicator and bit 6 which always reads as a zero.  
7
CCF  
0
6
0
0
5
SCAN  
U
4
MULT  
U
3
CD  
U
2
CC  
U
1
CB  
U
0
CA  
U
7
$1030  
ADCTL  
RESET  
CCF — Conversions Complete Flag  
This read-only status indicator is set when all four A/D result registers contain valid  
conversion results. Each time the ADCTL register is written, this bit is automatically  
cleared to zero and a conversion sequence is started. In the continuous modes, con-  
versions continue in a round-robin fashion and the result registers continue to be up-  
dated with current data even though the CCF bit remains set.  
NOTE  
The user must write to register ADCTL to initiate conversion. To abort  
a conversion in progress, write to the ADCTL register and a new con-  
version sequence is initiated immediately.  
Bit 6 — Not Implemented  
This bit always reads zero.  
SCAN — Continuous Scan Control  
When this control bit is clear, the four requested conversions are performed once to  
fill the four result registers. When this control bit is set, conversions continue in a  
round-robin fashion with the result registers being updated as data becomes available.  
MULT — Multiple-Channel/Single Channel Control  
When this bit is clear, the A/D system is configured to perform four consecutive con-  
versions on the single channel specified by the four channel select bits CD through CA  
(bits [3:0] of the ADCTL register). When this bit is set, the A/D system is configured to  
perform a conversion on each of four channels where each result register corresponds  
to one channel.  
ANALOG-TO-DIGITAL CONVERTER  
MOTOROLA  
7-3  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
CAUTION  
When the multiple channel continuous scan mode is used, extra care  
is needed in the design of circuitry driving the A/D inputs. Refer to the  
A/D Pin Model and A/D Conversion Sequence figures in addition to  
the following discussion. The charge on the capacitive DAC array pri-  
or to the sample time is related to the voltage on the previously con-  
verted channel. A charge share situation exists between the internal  
DAC capacitance and the external circuit capacitance. Although the  
amount of charge involved is small the rate at which it is repeated is  
every 64 microseconds for an E clock of 2 MHz. The RC charging  
rate of the external circuit must be balanced against this charge shar-  
ing effect to avoid accuracy errors.  
CD — Channel Select D  
CC — Channel Select C  
CB — Channel Select B  
CA — Channel Select A  
These four bits are used to select one of 16 A/D channels (see Table 7-1). When a  
multiple channel mode is selected (MULT = 1), the two least-significant channel select  
bits (CB and CA) have no meaning and the CD and CC bits specify which group of four  
channels are to be converted. The channels selected by the four channel select control  
bits are shown in Table 7-1.  
7
Table 7-1 Analog-to-Digital Channel Assignments  
Channel  
Signal  
Result in ADRx  
if MULT=1  
CD  
0
CC  
0
CB  
0
CA  
0
AN0  
AN1  
ADR1  
ADR2  
ADR3  
ADR4  
ADR1  
ADR2  
ADR3  
ADR4  
ADR1  
ADR2  
ADR3  
ADR4  
ADR1  
0
0
0
1
0
0
1
0
AN2  
0
0
1
1
AN3  
0
1
0
0
AN4*  
0
1
0
1
AN5*  
0
1
1
0
AN6*  
0
1
1
1
AN7*  
1
0
0
0
Reserved  
Reserved  
Reserved  
Reserved  
1
0
0
1
1
0
1
0
1
0
1
1
1
1
0
0
V
Pin**  
Pin**  
RH  
1
1
1
1
1
1
0
1
1
1
0
1
V
ADR2  
ADR3  
ADR4  
RL  
(V )/2**  
RH  
Reserved**  
*Not available in 48-pin package versions.  
**This group of channels used during factory test.  
ANALOG-TO-DIGITAL CONVERTER  
MC68HC11A8  
TECHNICAL DATA  
7-4  
For More Information On This Product,  
Go to: www.freescale.com  
 
Freescale Semiconductor, Inc.  
7.7 A/D Result Registers 1, 2, 3, and 4 (ADR1, ADR2, ADR3, and ADR4)  
The A/D result registers are read-only registers used to hold an 8-bit conversion result.  
Writes to these registers have no effect. Data in the A/D result registers is valid when  
the CCF flag bit in the ADCTL register is set, indicating a conversion sequence is com-  
plete. If conversion results are needed sooner refer to Figure 7-1. For example the  
ADR1 result is valid 33 cycles after an ADCTL write. Refer to the A/D channel assign-  
ments in Table 7-1 for the relationship between the channels and the result registers.  
7.8 A/D Power-Up and Clock Select  
A/D power-up is controlled by bit 7 (ADPU) of the OPTION register. When ADPU is  
cleared, power to the A/D system is disabled. When ADPU is set, the A/D system is  
enabled. A delay of as much as 100 microseconds is required after turning on the A/  
D converter to allow the analog bias voltages to stabilize.  
Clock select is controlled by bit 6 (CSEL) of the OPTION register. When CSEL is  
cleared, the A/D system uses the system E clock. When CSEL is set, the A/D system  
uses an internal R-C clock source, which runs at about 1.5 MHz. The MCU E clock is  
not suitable to drive the A/D system if it is operating below 750 kHz, in which case the  
R-C internal clock should be selected. A delay of 10 ms is required after changing  
CSEL from zero to one to allow the R-C oscillator to start and internal bias voltages to  
settle. Refer to 9.1.5 Configuration Options Register (OPTION) for additional infor-  
mation. Note that the CSEL control bit also enables a separate R-C oscillator to drive  
the EEPROM charge pump.  
7
When the A/D system is operating with the MCU E clock, all switching and comparator  
operations are synchronized to the MCU clocks. This allows the comparator results to  
be sampled at quiet clock times to minimize noise errors. The internal R-C oscillator is  
asynchronous to the MCU clock so noise will affect A/D results more while CSEL = 1.  
ANALOG-TO-DIGITAL CONVERTER  
MOTOROLA  
7-5  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
7
ANALOG-TO-DIGITAL CONVERTER  
MC68HC11A8  
7-6  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
8 PROGRAMMABLE TIMER, RTI, AND PULSE ACCUMULATOR  
This section describes the 16-bit programmable timer, the real time interrupt, and the  
pulse accumulator system.  
8.1 Programmable Timer  
The timer has a single 16-bit free-running counter which is clocked by the output of a  
four-stage prescaler (divide by 1, 4, 8, or 16), which is in turn driven by the MCU E  
clock. Input functions are called input captures. These input captures record the count  
from the free-running counter in response to a detected edge on an input line. Output  
functions, called output compares, cause an output action when there is a match be-  
tween a 16-bit output-compare register and the free-running counter. This timer sys-  
tem has three input capture registers and five output compare registers.  
8.1.1 Counter  
8
The key element in the timer system is a 16-bit free-running counter, or timer counter  
register. After reset, the MCU is configured to use the E clock as the input to the free-  
running counter. Initialization software may optionally reconfigure the system to use  
one of the three prescaler values. The prescaler control bits can only be written once  
during the first 64 cycles after a reset. Software can read the counter at any time with-  
out affecting its value because it is clocked and read during opposite phases of the E  
clock.  
A counter read should first address the most significant byte. An MPU read of this ad-  
dress causes the least significant byte to be transferred to a buffer. This buffer is not  
affected by reset and is accessed when reading the least significant byte of the  
counter. For double byte read instructions, the two accesses occur on consecutive bus  
cycles.  
The counter is cleared to $0000 during reset and is a read-only register with one ex-  
ception. In test modes only, any MPU write to the most significant byte presets the  
counter to $FFF8 regardless of the value involved in the write.  
When the count changes from $FFFF to $0000, the timer overflow flag (TOF) bit is set  
in timer interrupt flag register 2 (TFLG2). An interrupt can be enabled by setting the  
interrupt enable bit (TOI) in timer interrupt mask register 2 (TMSK2).  
8.1.2 Input Capture  
The input capture registers are 16-bit read-only registers which are not affected by re-  
set and are used to latch the value of the counter when a defined transition is sensed  
by the corresponding input capture edge detector. The level transition which triggers  
counter transfer is defined by the corresponding input edge bits (EDGxB, EDGxA) in  
TCTL2.  
PROGRAMMABLE TIMER, RTI, AND PULSE ACCUMULATOR  
MOTOROLA  
8-1  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
The result obtained by an input capture corresponds to the value of the counter one E  
clock cycle after the transition which triggered the edge-detection logic. The selected  
edge transition sets the ICxF bit in timer interrupt flag register 1 (TFLG1) and can  
cause an interrupt if the corresponding ICxl bit(s) is (are) set in the timer interrupt mask  
register 1 (TMSK1). A read of the input capture register’s most significant byte inhibits  
captures for one E cycle to allow a double-byte read of the full 16-bit register.  
8.1.3 Output Compare  
All output compare registers are 16-bit read/write registers which are initialized to  
$FFFF by reset. They can be used as output waveform controls or as elapsed time  
indicators. If an output compare register is not used, it may be used as a storage loca-  
tion.  
All output compare registers have a separate dedicated comparator for comparing  
against the free-running counter. If a match is found, the corresponding output com-  
pare flag (OCxF) bit in TFLG1 is set and a specified action is automatically taken. For  
output compare functions two through five the automatic action is controlled by pairs  
of bits (OMx and OLx) in the timer control register 1 (TCTL1). Each pair of control bits  
are encoded to specify the output action to be taken as a result of a successful OCx  
compare. The output action is taken on each successful compare regardless of wheth-  
er or not the OCxF flag was previously clear.  
8
An interrupt can also accompany a successful output compare, provided that the cor-  
responding interrupt enable bit (OCxl) is set in TMSK1.  
After a write cycle to the most significant byte, output compares are inhibited for one  
E cycle in order to allow writing two consecutive bytes before making the next compar-  
ison. If both bytes of the register are to be changed, a double-byte write instruction  
should be used in order to take advantage of the compare inhibit feature.  
Writes can be made to either byte of the output compare register without affecting the  
other byte.  
A write-only register, timer compare force (CFORC), allows forced compares. Five of  
the bit positions in the CFORC register correspond to the five output compares. To  
force a compare, or compares, a write is done to CFORC register with the associated  
bits set for each output compare that is to be forced. The action taken as a result of a  
forced compare is the same as if there was a match between the OCx register and the  
free-running counter, except that the corresponding interrupt status flag bits are not  
set. Output actions are synchronized to the prescaled timer clock so there could be as  
much as 16 E clock cycles of delay between the write to CFORC and the output action.  
8.1.4 Output Compare 1 I/O Pin Control  
Unlike the other four output compares, output compare 1 can automatically affect any  
or all of the five output pins (bits 3-7) in port A as a result of a successful compare be-  
tween the OC1 register and the 16-bit free-running counter. The two 5-bit registers  
used in conjunction with this function are the output compare 1 mask register (OC1M)  
and the output compare 1 data register (OC1D).  
PROGRAMMABLE TIMER, RTI, AND PULSE ACCUMULATOR  
MC68HC11A8  
8-2  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
Register OC1M is used to specify the bits of port A (I/O and timer port) which are to be  
affected as a result of a successful OC1 compare. Register OC1D is used to specify  
the data which is to be stored to the affected bits of port A as the result of a successful  
OC1 compare. If an OC1 compare and another output compare occur during the same  
E cycle and both attempt to alter the same port A line, the OC1 compare prevails.  
This function allows control of multiple l/O pins automatically with a single output com-  
pare.  
Another intended use for the special l/O pin control on output compare 1 is to allow  
more than one output compare to control a single l/O pin. This allows pulses as short  
as one E clock cycle to be generated.  
8.1.5 Timer Compare Force Register (CFORC)  
The timer compare force register is used to force early output compare actions. The  
CFORC register is an 8-bit write-only register. Reads of this location have no meaning  
and always return logic zeros. Note that the compare force function is not generally  
recommended for use with the output toggle function because a normal compare oc-  
curring immediately before or after the force may result in undesirable operation.  
8
7
FOC1  
0
6
FOC2  
0
5
FOC3  
0
4
FOC4  
0
3
FOC5  
0
2
0
0
1
0
0
0
0
0
$100B  
CFORC  
RESET  
FOC1-FOC5 — Force Output Compare x Action  
0 = Has no meaning  
1 = Causes action programmed for output compare x, except the OCxF flag bit is  
not set.  
Bits 2-0 — Not Implemented  
These bits always read zero.  
8.1.6 Output Compare 1 Mask Register (OC1M)  
This register is used in conjunction with output compare 1 to specify the bits of port A  
which are affected as a result of a successful OC1 compare.  
7
OC1M7  
0
6
OC1M6  
0
5
OC1M5  
0
4
OC1M4  
0
3
OC1M3  
0
2
0
0
1
0
0
0
0
0
$100C  
OC1M  
RESET  
The bits of the OC1M register correspond bit-for-bit with the lines of port A (lines 7  
through 3 only). For each bit that is affected by the successful compare, the corre-  
sponding bit in OC1M should be set to one.  
PROGRAMMABLE TIMER, RTI, AND PULSE ACCUMULATOR  
MOTOROLA  
8-3  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
Note that the pulse accumulator function shares line 7 of port A. If the DDRA7 bit in  
the pulse accumulator control register (PACTL) is set, then port A line 7 is configured  
as an output and OC1 can obtain access by setting OC1M bit 7. In this condition if the  
PAEN bit in the PACTL register is set, enabling the pulse accumulator input, then OC1  
compares cause a write of OC1D bit 7 to an internal latch, and the output of that latch  
drives the pin and the pulse accumulator input. This action can then cause the pulse  
accumulator to take the appropriate action (pulse count or gate modes).  
8.1.7 Output Compare 1 Data Register (OC1D)  
This register is used in conjunction with output compare 1 to specify the data which is  
to be stored to the affected bits of port A as the result of a successful OC1 compare.  
7
OC1D7  
0
6
OC1D6  
0
5
OC1D5  
0
4
OC1D4  
0
3
OC1D3  
0
2
0
0
1
0
0
0
0
0
$100D  
OC1D  
RESET  
The bits of the OC1D register correspond bit-for-bit with the lines of port A (lines 7 thru  
3 only). When a successful OC1 compare occurs, for each bit that is set in OC1M, the  
corresponding data bit in OC1D is stored in the corresponding bit of port A. If there is  
a conflicting situation where an OC1 compare and another output compare function  
occur during the same E cycle with both attempting to alter the same port A line, the  
OC1 action prevails.  
8
8.1.8 Timer Control Register 1 (TCTL1)  
7
OM2  
0
6
OL2  
0
5
OM3  
0
4
OL3  
0
3
OM4  
0
2
OL4  
0
1
OM5  
0
0
OL5  
0
$1020  
TCTL1  
RESET  
OM2, OM3, OM4, and OM5 — Output Mode  
OL2, OL3, OL4, and OL5 — Output Level  
These two control bits (OMx and OLx) are encoded to specify the output action taken  
as a result of a successful OCx compare.  
OMx  
OLx  
Action Taken Upon Successful Compare  
Timer disconnected from output pin logic  
Toggle OCx output line  
0
0
1
1
0
1
0
1
Clear OCx output line to zero  
Set OCx output line to one  
PROGRAMMABLE TIMER, RTI, AND PULSE ACCUMULATOR  
MC68HC11A8  
8-4  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
8.1.9 Timer Control Register 2 (TCTL2)  
7
0
0
6
0
0
5
EDG1B  
0
4
EDG1A  
0
3
EDG2B  
0
2
EDG2A  
0
1
EDG3B  
0
0
EDG3A  
0
$1021  
TCTL2  
RESET  
Bits 7-6 — Not Implemented  
These bits always read zero.  
EDGxB and EDGxA — Input Capture x Edge Control.  
These two bits (EDGxB and EDGxA) are cleared to zero by reset and are encoded to  
configure the input sensing logic for input capture x as follows:  
EDGxB  
EDBxA  
Configuration  
Capture disabled  
0
0
1
1
0
1
0
1
Capture on rising edges only  
Capture on falling edges only  
Capture on any (rising or falling) edge  
8
TMSK1  
8.1.10 Timer Interrupt Mask Register 1 (TMSK1)  
7
OC1I  
0
6
OC2I  
0
5
OC3I  
0
4
OC4I  
0
3
OC5I  
0
2
IC1I  
0
1
IC2I  
0
0
IC3I  
0
$1022  
RESET  
OCxl — Output Compare x Interrupt  
If the OCxl enable bit is set when the OCxF flag bit is set, a hardware interrupt se-  
quence is requested.  
ICxl — Input Capture x Interrupt  
If the ICxl enable bit is set when the ICxF flag bit is set, a hardware interrupt sequence  
is requested.  
8.1.11 Timer Interrupt Flag Register 1 (TFLG1)  
Timer interrupt flag register 1 is used to indicate the occurrence of timer system  
events, and together with the TMSK1 register allows the timer subsystem to operate  
in a polled or interrupt driven system. For each bit in TFLG1, there is a corresponding  
bit in TMSK1 in the same bit position. If the mask bit is set, each time the conditions  
for the corresponding flag are met, a hardware interrupt sequence is requested as well  
as the flag bit being set.  
These timer system status flags are cleared by writing a one to the bit positions corre-  
sponding to the flag(s) which are to be cleared. Bit manipulation instructions would be  
inappropriate for flag clearing because they are read-modify-write instructions. Even  
though the instruction mask implies that the programmer is only interested in some of  
the bits in the manipulated location, the entire location is actually read and rewritten  
which may clear other bits in the register.  
PROGRAMMABLE TIMER, RTI, AND PULSE ACCUMULATOR  
MOTOROLA  
8-5  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
7
OC1F  
0
6
OC2F  
0
5
OC3F  
0
4
OC4F  
0
3
OC5F  
0
2
IC1F  
0
1
IC2F  
0
0
IC3F  
0
$1023  
TFLG1  
RESET  
OCxF — Output Compare x Flag  
This flag bit is set each time the timer counter matches the output compare register x  
value. A write of a zero does not affect this bit. A write of a one causes this bit to be  
cleared.  
ICxF — Input Capture x Flag  
This flag is set each time a selected active edge is detected on the ICx input line. A  
write of a zero does not affect this bit. A write of a one causes this bit to be cleared.  
8.1.12 Timer Interrupt Mask Register 2 (TMSK2)  
Timer interrupt mask register 2 is used to control whether or not a hardware interrupt  
sequence is requested as a result of a status bit being set in timer interrupt flag register  
2. In addition, two timer prescaler bits are included in this register. For each of the four  
most significant bits in timer flag register 2, (TFLG2), there is a corresponding bit in the  
timer mask register 2 (TMSK2) in the same bit position.  
8
7
TOI  
0
6
RTII  
0
5
PAOVI  
0
4
PAII  
0
3
0
0
2
0
0
1
PR1  
0
0
PR0  
0
$1024  
TMSK2  
RESET  
TOI — Timer Overflow Interrupt Enable  
0 = TOF interrupts disabled  
1 = Interrupt requested when TOF = 1  
RTII — RTI Interrupt Enable  
0 = RTIF interrupts disabled  
1 = Interrupt requested when RTIF = 1  
PAOVI — Pulse Accumulator Overflow Interrupt Enable  
0 = PAOVF interrupts disabled  
1 = Interrupt requested when PAOVF = 1  
PAII — Pulse Accumulator Input Interrupt Enable  
0 = PAIF interrupts disabled  
1 = Interrupt requested when PAIF = 1  
Bits 3 and 2 — Not Implemented  
These bits always read zero.  
PROGRAMMABLE TIMER, RTI, AND PULSE ACCUMULATOR  
MC68HC11A8  
8-6  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
PR1 and PR0 — Timer Prescaler Selects  
These two bits may be read at any time but may only be written during initialization.  
Writes are disabled after the first write or after 64 E cycles out of reset. If the MCU is  
in special test or special bootstrap mode, then these two bits may be written any time.  
These two bits specify the timer prescaler divide factor.  
PR1  
PR0  
Prescaler  
÷ 1  
0
0
0
1
1
1
0
1
÷ 4  
÷ 8  
÷ 16  
8.1.13 Timer Interrupt Flag Register 2 (TFLG2)  
Timer interrupt flag register 2 is used to indicate the occurrence of timer system events  
and, together with the TMSK2 register, allows the timer subsystems to operate in a  
polled or interrupt driven system. For each bit in timer flag register 2 (TFLG2), there is  
a corresponding bit in timer mask register 2 (TMSK2) in the same bit position. If the  
enable bit is set each time the conditions for the corresponding flag are met, a hard-  
ware interrupt sequence is requested as well as the flag bit being set.  
8
The timer system status flags are cleared by writing a one to the bit positions corre-  
sponding to the flag(s) which are to be cleared. Bit manipulation instructions would be  
inappropriate for flag clearing because they are read-modify-write instructions. Even  
though the instruction mask implies that the programmer is only interested in some of  
the bits in the manipulated location, the entire location is actually read and rewritten  
which may clear other bits in the register.  
7
TOF  
0
6
RTIF  
0
5
PAOVF  
0
4
PAIF  
0
3
0
0
2
0
0
1
0
0
0
0
0
$1025  
TFLG2  
RESET  
TOF — Timer Overflow  
This bit is cleared by reset. It is set to one each time the 16-bit free-running counter  
advances from a value of $FFFF to $0000. This bit is cleared by a write to the TFLG2  
register with bit 7 set.  
RTIF — Real Time Interrupt Flag  
This bit is set at each rising edge of the selected tap point. This bit is cleared by a write  
to the TFLG2 register with bit 6 set.  
PAOVF — Pulse Accumulator Overflow Interrupt Flag  
This bit is set when the count in the pulse accumulator rolls over from $FF to $00. This  
bit is cleared by a write to the TFLG2 register with bit 5 set.  
PROGRAMMABLE TIMER, RTI, AND PULSE ACCUMULATOR  
MOTOROLA  
8-7  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
PAIF — Pulse Accumulator Input Edge Interrupt Flag  
This bit is set when an active edge is detected on the PAI input pin. This bit is cleared  
by a write to the TFLG2 register with bit 4 set.  
Bits 3-0 — Not Implemented  
These bits always read zero.  
8.2 Real-Time Interrupt  
The real-time interrupt feature on the MCU is configured and controlled by using two  
bits (RTR1 and RTR0) in the PACTL register to select one of four interrupt rates. The  
RTII bit in the TMSK2 register enables the interrupt capability. Every timeout causes  
the RTIF bit in TFLG2 to be set, and if RTII is set, an interrupt request is generated.  
After reset, one entire real time interrupt period elapses before the RTIF flag is set for  
the first time.  
8.3 Pulse Accumulator  
The pulse accumulator is an 8-bit read/write counter which can operate in either of two  
modes (external event counting or gated time accumulation) depending on the state  
of the PAMOD control bit in the PACTL register. In the event counting mode, the 8-bit  
counter is clocked to increasing values by an external pin. The maximum clocking rate  
for the external event counting mode is E clock divided by two. In the gated time ac-  
cumulation mode, a free-running E clock/64 signal drives the 8-bit counter, but only  
while the external PAI input pin is enabled.  
8
The pulse accumulator uses port A bit 7 as its PAI input, but this pin also shares func-  
tion as a general purpose l/O pin and as a timer output compare pin. Normally port A  
bit 7 would be configured as an input when being used for the pulse accumulator. Note  
that even when port A bit 7 is configured for output, this pin still drives the input to the  
pulse accumulator.  
8.3.1 Pulse Accumulator Control Register (PACTL)  
Four bits in this register are used to control an 8-bit pulse accumulator system and two  
other bits are used to select the rate for the real time interrupt system.  
7
DDRA7  
0
6
PAEN  
0
5
PAMOD  
0
4
PEDGE  
0
3
0
0
2
0
0
1
RTR1  
0
0
RTR0  
0
$1026  
PACTL  
RESET  
DDRA7 — Data Direction for Port A Bit 7  
0 = Input only  
1 = Output  
PAEN — Pulse Accumulator System Enable  
0 = Pulse accumulator off  
1 = Pulse accumulator on  
PROGRAMMABLE TIMER, RTI, AND PULSE ACCUMULATOR  
MC68HC11A8  
8-8  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
PAMOD — Pulse Accumulator Mode  
0 = External event counting  
1 = Gated time accumulation  
PEDGE — Pulse Accumulator Edge Control  
This bit has different meanings depending on the state of the PAMOD bit.  
PAMOD  
PEDGE  
Action on Clock  
0
0
1
1
0
1
0
1
PAI Falling Edge Increments the Counter  
PAI Rising Edge Increments the Counter  
A zero on PAI Inhibits Counting  
A one on PAI Inhibits Counting  
Bits 3-2 — Not Implemented  
These bits always read zero.  
RTR1 and RTR0 — RTI Interrupt Rate Selects  
These two bits select one of four rates for the real time periodic interrupt circuit (see  
Table 8-1). Reset clears these two bits and after reset, a full RTI period elapses before  
the first RTI interrupt.  
8
Table 8-1 Real Time Interrupt Rate versus RTR1 and RTR0  
XTAL =  
12.0 MHz  
XTAL =  
23  
2
XTAL =  
8.0 MHz  
XTAL =  
4.9152 MHz  
XTAL =  
4.0 MHz  
XTAL =  
3.6864 MHz  
RTR1 RTR0  
Rate  
13 ÷ E  
0
0
1
1
0
1
0
1
8.192 ms  
16.384 ms  
32.768 ms  
3.91 ms  
7.81 ms  
15.62 ms  
4.10 ms  
8.19 ms  
16.38 ms  
6.67 ms  
13.33 ms  
26.67 ms  
8.19 ms  
16.38 ms  
32.77 ms  
8.89 ms  
17.78 ms  
35.56 ms  
2
2
2
2
14 ÷ E  
15 ÷ E  
16 ÷ E  
65.536 ms  
31.25 ms  
32.77 ms  
53.33 ms  
65.54 ms  
71.11 ms  
E =  
3.0 MHz  
2.1 MHz  
2.0 MHz  
1.2288 MHz  
1.0 MHz  
921.6 kHz  
PROGRAMMABLE TIMER, RTI, AND PULSE ACCUMULATOR  
MOTOROLA  
8-9  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
 
Freescale Semiconductor, Inc.  
8
PROGRAMMABLE TIMER, RTI, AND PULSE ACCUMULATOR  
MC68HC11A8  
8-10  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
9 RESETS, INTERRUPTS, AND LOW POWER MODES  
This section provides a description of the resets, interrupts, and low power modes. The  
computer operating properly (COP) watchdog system and clock monitor are described  
as part of the reset system. The interrupt description includes a flowchart to illustrate  
how interrupts are executed.  
9.1 Resets  
The MCU has four possible types of reset: an active low external reset pin (RESET),  
a power-on reset, a computer operating properly (COP) watchdog timer reset, and a  
clock monitor reset.  
9.1.1 External RESET Pin  
The RESET pin is used to reset the MCU and allow an orderly software start-up pro-  
cedure. When a reset condition is sensed, this pin is driven low by an internal device  
for four E clock cycles, then released, and two E clock cycles later it is sampled. If the  
pin is still low, it means that an external reset has occurred. If the pin is high, it implies  
that the reset was initiated internally by either the watchdog timer (COP) or the clock  
monitor (refer to Figure 9-1). This method of differentiation between internal and ex-  
ternal reset conditions assumes that the reset pin will rise to a logic one in less than  
two E clock cycles once it is released and that an externally generated reset should  
stay active for at least eight E clock cycles.  
9
Since there is EEPROM on chip, it is very important to control reset during power tran-  
sitions. If the reset line is not held low while VDD is below its minimum operating level,  
the EEPROM contents could be corrupted. Corruption occurs due to improper instruc-  
tion execution when there is not sufficient voltage to execute instructions correctly.  
Both EEPROM memories and the EEPROM based CONFIG register are subject to  
this potential problem.  
A low voltage inhibit (LVI) circuit which holds reset low whenever VDD is below its min-  
imum operating level is required to protect against EEPROM corruption. Figure 9-2  
shows an example of reset circuits with LVI capabilities. The best circuit for a particular  
application may be different from the suggested circuit.  
9.1.2 Power-On Reset  
The power-on reset occurs when a positive transition is detected on VDD. The power-  
on reset is used strictly for power turn-on conditions and should not be used to detect  
any drops in power  
RESETS, INTERRUPTS, AND LOW POWER MODES  
MOTOROLA  
9-1  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
EXTERNAL RESET CASE  
VECTOR  
FETCH  
FFFE FFFE FFFE FFFE FFFE FFFE FFFE  
FFFE FFFE FFFE FFFF  
E
RESET  
PIN  
1
ENABLE RESET PIN  
PULL-DOWN  
(INTERNAL SIGNAL)  
COP WATCHDOG RESET CASE  
VECTOR  
FETCH  
FFFE FFFE FFFE FFFE FFFE FFFE FFFE FFFE FFFA FFFB  
E
1
RESET  
PIN  
9
CLOCK MONITOR RESET CASE  
VECTOR  
FETCH  
FFFE FFFE FFFE FFFE FFFE FFFE FFFE FFFE FFFC FFFD  
E
1
RESET  
PIN  
1
RESET PIN SAMPLED AT THIS TIME. LOW LEVEL INDICATES EXTERNAL RESET. HIGH LEVEL INDICATES CLOCK MONITOR OR COP SYSTEM RESET.  
Figure 9-1 Reset Timing  
supply voltage. The power-on circuitry provides a 4064 cycle time delay from the time  
of the first oscillator operation. In a system where E = 2 MHz, power on reset lasts  
about 2 milliseconds. If the external RESET pin is low at the end of the power-on delay  
time, the MCU remains in the reset condition until the RESET pin goes high.  
9.1.2.1 CPU  
After reset the CPU fetches the restart vector from locations $FFFE and $FFFF  
($BFFE and $BFFF if in special bootstrap or special test operating mode) during the  
first three cycles, and begins executing instructions. The stack pointer and other CPU  
registers are indeterminate immediately after reset; however, the X and I interrupt  
mask bits in the condition code register are set to mask any interrupt requests. Also,  
the S bit in the condition code register is set to disable the STOP mode.  
RESETS, INTERRUPTS, AND LOW POWER MODES  
MC68HC11A8  
9-2  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
V
V
DD  
DD  
4.7K  
TO RESET OF 68HC11  
(AND OTHER SYSTEM DEVICES)  
MOTOROLA  
MC34064  
Figure 9-2 Simple LVI Reset Circuit  
9.1.2.2 Memory Map  
After reset, the INIT register is initialized to $01, putting the 256 bytes of RAM at loca-  
tions $0000 through $00FF and the control registers at locations $1000 through  
$103F. The 8K-byte ROM and/or the 512-byte EEPROM may or may not be present  
in the memory map because the two bits that enable them in the CONFIG register are  
EEPROM cells and are not affected by reset or power down.  
9
9.1.2.3 Parallel l/O  
When a reset occurs in expanded multiplexed operating mode, the 18 pins used for  
parallel l/O are dedicated to the expansion bus. If a reset occurs in the single-chip op-  
erating mode, the STAF, STAI, and HNDS bits in the parallel input/output control reg-  
ister (PIOC) are cleared so that no interrupt is pending or enabled, and the simple  
strobed mode (rather than full handshake mode) of parallel l/O is selected. The CWOM  
bit in PIOC is cleared so port C is not in wired-OR mode. Port C is initialized as an input  
port (DDRC = $00), port B is a general purpose output port with all bits cleared. STRA  
is the edge-sensitive strobe A input and the active edge is initially configured to detect  
rising edges (EGA bit in the PIOC set), and STRB is the strobe B output and is initially  
a logic zero (the INVB bit in the PIOC is set). Port C, port D bits 0 through 5, port A bits  
0, 1, 2, and 7, and port E are configured as general purpose high-impedance inputs.  
Port B and bits 3 through 6 of port A have their directions fixed as outputs and their  
reset state is a logic zero.  
9.1.2.4 Timer  
During reset, the timer system is initialized to a count of $0000. The prescaler bits are  
cleared, and all output compare registers are initialized to $FFFF. All input capture reg-  
isters are indeterminate after reset. The output compare 1 mask (OC1M) register is  
cleared so that successful OC1 compares do not affect any l/O pins. The other four  
output compares are configured to not affect any l/O pins on successful compares. All  
three input capture edge-detector circuits are configured for “capture disabled” opera-  
tion. The timer overflow interrupt flag and all eight timer function interrupt flags are  
cleared. All nine timer interrupts are disabled since their mask bits are cleared.  
RESETS, INTERRUPTS, AND LOW POWER MODES  
MOTOROLA  
9-3  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
9.1.2.5 Real-Time Interrupt  
The real time interrupt flag is cleared and automatic hardware interrupts are masked.  
The rate control bits are cleared after reset and may be initialized by software before  
the real time interrupt system is used.  
9.1.2.6 Pulse Accumulator  
The pulse accumulator system is disabled at reset so that the PAI input pin defaults to  
being a general purpose input pin.  
9.1.2.7 COP  
The COP watchdog system is enabled if the NOCOP control bit in the system config-  
uration control register (EEPROM cell) is clear, and disabled if NOCOP is set. The  
COP rate is set for the shortest duration timeout.  
9.1.2.8 SCI Serial l/O  
The reset condition of the SCI system is independent of the operating mode. At reset,  
the SCI baud rate is indeterminate and must be established by a software write to the  
BAUD register. All transmit and receive interrupts are masked and both the transmitter  
and receiver are disabled so the port pins default to being general purpose l/O lines.  
The SCI frame format is initialized to an 8-bit character size. The send break and re-  
ceiver wake up functions are disabled. The TDRE and TC status bits in the SCI status  
register are both set, indicating that there is no transmit data in either the transmit data  
register or the transmit serial shift register. The RDRF, IDLE, OR, NF, and FE receive-  
related status bits are all cleared.  
9
Note that upon reset in special bootstrap mode execution begins in the 192 byte boot  
ROM. This firmware sets port D to wire-OR mode, establishes a baud rate, and en-  
ables the SCI receiver and transmitter.  
9.1.2.9 SPI Serial l/O  
The SPI system is disabled by reset. The port pins associated with this function default  
to being general purpose l/O lines.  
9.1.2.10 A/D Converter  
The A/D converter system configuration is indeterminate after reset. The conversion  
complete flag is cleared by reset. The ADPU bit is cleared by reset thus disabling the  
A/D system.  
9.1.2.11 System  
The EEPROM programming controls are all disabled so the memory system is config-  
ured for normal read operation. The highest priority I interrupt defaults to being the ex-  
ternal IRQ pin by PSEL[3:0] equal to 0:1:0:1. The IRQ interrupt pin is configured for  
level sensitive operation (for wire-OR systems). The RBOOT, SMOD, and MDA bits in  
the HPRIO register reflect the status of the MODB and MODA inputs at the rising edge  
RESETS, INTERRUPTS, AND LOW POWER MODES  
MC68HC11A8  
9-4  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
of reset. The DLY control bit is set to specify that an oscillator start-up delay is imposed  
upon recovery from STOP mode. The clock monitor system is disabled by CME equal  
zero.  
9.1.3 Computer Operating Properly (COP) Reset  
The MCU includes a computer operating properly watchdog system to help protect  
against software failures. To use a COP watchdog timer, a watchdog timer reset se-  
quence must be executed on a regular periodic basis so that the watchdog timer is  
never allowed to time out.  
The internal COP function includes special control bits which permit specification of  
one of four time out periods and even allows the function to be disabled completely.  
The COP system has a separate reset vector.  
The NOCOP control bit, which determines whether or not a watchdog timeout causes  
a system reset, is implemented in an EEPROM cell in the CONFIG register. Once pro-  
grammed, this bit remains set (or cleared) even when no power is applied, and the  
COP function is enabled or disabled independent of resident software. The NOCOP  
control bit may be preempted while in special modes to prevent the COP system from  
causing a hardware reset.  
9
Two other control bits in the OPTION register select one of four timeout durations for  
the COP timer. The actual timeout period is dependent on the system E clock frequen-  
cy, but for reference purposes, Table 9-1 shows the relationship between the CR1 and  
CR0 control bits and the COP timeout period for various system clock frequencies.  
Table 9-1 COP Timeout Period versus CR1 and CR0  
23  
CR1 CR0 Rate  
XTAL = 12.0  
MHz Timeout  
–0/+10.9 ms  
XTAL = 2  
Timeout  
XTAL = 8.0 MHz XTAL = 4.9152 XTAL = 4.0 MHz XTAL = 3.6864  
MHz Timeout  
MHz Timeout  
Timeout  
Timeout  
– 0/+26.7 ms  
– 0/+35.6 ms  
– 0/+15.6 ms  
– 0/+16.4 ms  
– 0/+32.8 ms  
15  
0
0
1
1
0
1
0
1
10.923 ms  
43.691 ms  
174.76 ms  
699.05 ms  
3.0 MHz  
15.625 ms  
62.5 ms  
250 ms  
1 s  
16.384 ms  
65.536 ms  
262.14 ms  
1.049 s  
26.667 ms  
106.67 ms  
426.67 ms  
1.707 s  
32.768 ms  
131.07 ms  
524.29 ms  
2.1 s  
35.556 ms  
142.22 ms  
568.89 ms  
2.276 s  
2
2
2
2
÷ E  
÷ E  
÷ E  
÷ E  
17  
19  
21  
E =  
2.1 MHz  
2.0 MHz  
1.2288 MHz  
1.0 MHz  
921.6 kHz  
The default reset condition of the CR1 and CR0 bits is cleared which corresponds to  
the shortest timeout period.  
The sequence required to reset the watchdog timer is:  
1. Write $55 to the COP reset register (COPRST) at $103A, followed by  
2. Write $AA to the same address.  
Both writes must occur in correct order prior to timeout but, any number of instructions  
may be executed between the writes. The elapsed time between adjacent software re-  
set sequences must never be greater than the COP time out period. Reading the CO-  
PRST register does not return meaningful data and does not affect the watchdog  
timer.  
RESETS, INTERRUPTS, AND LOW POWER MODES  
MOTOROLA  
9-5  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
 
Freescale Semiconductor, Inc.  
9.1.4 Clock Monitor Reset  
The clock monitor function is enabled by the CME control bit in the OPTION register.  
When CME is clear, the monitor function is disabled. When the CME bit is set, the  
clock monitor function detects the absence of an E clock for more than a certain period  
of time. The timeout period is dependent on processing parameters and will be be-  
tween 5 and 100 microseconds. This means that an E-clock rate of 200 kHz or more  
will never cause a clock monitor failure and an E-clock rate of 10 kHz or less will def-  
initely cause a clock monitor failure. This implies that systems operating near or below  
an E-clock rate of 200 kHz should not use the clock monitor function.  
Upon detection of a slow or absent clock, the clock monitor circuit will cause a system  
reset. This reset is issued to the external system via the bidirectional RESET pin. The  
clock monitor system has a separate reset vector.  
Special considerations are needed when using a STOP function and clock monitor in  
the same system. Since the STOP function causes the clocks to be halted, the clock  
monitor function will generate a reset sequence if it is enabled at the time the STOP  
mode is entered.  
The clock monitor is useful as a backup for the COP watchdog timer. Since the watch-  
dog timer requires a clock to function, it will not indicate any failure if the system clocks  
fail. The clock monitor would detect such a failure and force the MCU to its reset state.  
Note that clocks are not required for the MCU to reach its reset configuration, although  
clocks are required to sequence through reset back to the run condition.  
9
9.1.5 Configuration Options Register (OPTION)  
This is a special purpose 8-bit register that is used (optionally) during initialization to  
configure internal system configuration options. With the exception of bits 7, 6, and 3  
(ADPU, CSEL, and CME) which may be read or written at any time, this register may  
be written to only once after a reset and thereafter is a read-only register. If no write is  
performed to this location within 64 E-clock cycles after reset, then bits 5, 4, 1, and 0  
(IRQE, DLY, CR1, and CR0) will become read-only to minimize the possibility of any  
accidental changes to the system configuration (writes will be ignored). While in spe-  
cial test modes, the protection mechanism on this register is preempted and all bits in  
the OPTION register may be written repeatedly.  
7
ADPU  
0
6
CSEL  
0
5
IRQE  
0
4
DLY  
1
3
CME  
0
2
0
0
1
CR1  
0
0
CR0  
0
$1039  
OPTION  
RESET  
ADPU — A/D Power-up  
This bit controls operations of the on-chip analog-to-digital converter. When ADPU is  
clear, the A/D system is powered down and conversion requests will not return mean-  
ingful information. To use the A/D system, this bit should be set. A 100 microsecond  
delay is required after ADPU is turned on to allow the A/D system to stabilize.  
RESETS, INTERRUPTS, AND LOW POWER MODES  
MC68HC11A8  
9-6  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
CSEL — A/D/EE Charge Pump Clock Source Select  
This bit determines the clocking source for the on-chip A/D and EEPROM charge  
pump. When this bit is zero, the MCU E clock drives the A/D system and the EEPROM  
charge pump. When CSEL is one, on-chip separate R-C oscillators are enabled and  
clock the systems at about 2 MHz. When running with an E clock below 1 MHz, CSEL  
must be high to program or erase EEPROM. When operating below 750 kHz E clock  
rate, CSEL should be high for A/D conversions. A delay of 10 milliseconds is required  
after CSEL is turned on to allow the A/D system to stabilize.  
IRQE — IRQ Edge/Level Sensitive  
This bit may only be written under special circumstances as described above. When  
this bit is clear, the IRQ pin is configured for level sensitive wired-OR operation (low  
level) and when it is set, the IRQ pin is configured for edge-only sensitivity (falling edg-  
es).  
DLY — STOP Exit Turn-On Delay  
This bit may only be written under special circumstances as described above. This bit  
is set during reset and controls whether or not a relatively long turn-on delay will be  
imposed before processing can resume after a STOP period. If an external clock  
source is supplied this delay can be inhibited so that processing can resume within a  
few cycles of a wake up from STOP mode. When DLY is set, a 4064 E clock cycle de-  
lay is imposed to allow oscillator stabilization and when DLY is clear, this delay is by-  
passed.  
9
CME — Clock Monitor Enable  
This control bit may be read or written at any time and controls whether or not the in-  
ternal clock monitor circuit will trigger a reset sequence when a slow or absent system  
clock is detected. When it is clear, the clock monitor circuit is disabled and when it is  
set, the clock monitor circuit is enabled. Systems operating at or below 200 kHz should  
not use the clock monitor function. Reset clears the CME bit.  
Bit 2 — Not Implemented  
This bit always reads zero.  
CR1 and CR0 — COP Timer Rate Selects  
These bits may only be written under special circumstances as described above. Re-  
fer to Table 9-1 for the relationship between CR1:CR0 and the COP timeout period.  
9.2 Interrupts  
When an external or internal (hardware) interrupt occurs, the interrupt is not serviced  
until the current instruction being executed is completed. Until the current instruction  
is complete, the interrupt is considered pending. After completion of current instruction  
execution, unmasked interrupts may be serviced in accordance with an established  
fixed hardware priority circuit; however, one l-bit related interrupt source may be dy-  
namically elevated to the highest I bit priority position in the hierarchy (see 9.2.5 High-  
est Priority I Interrupt Register (HPRIO)).  
Seventeen hardware interrupts and one software interrupt (excluding reset type inter-  
rupts) can be generated from all of the possible sources. The interrupts can be divided  
RESETS, INTERRUPTS, AND LOW POWER MODES  
MOTOROLA  
9-7  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
into two basic categories, maskable and non-maskable. In the MC68HC11A8 fifteen  
of the interrupts can be masked using the condition code register I bit. In addition to  
being maskable by the I bit in the condition code register, all of the on-chip interrupt  
sources are individually maskable by local control bits.  
Table 9-2 IRQ Vector Interrupts  
Interrupt Cause  
External Pin  
Local Mask  
None  
Parallel l/O Handshake  
STAI  
The software interrupt (SWI instruction) is a non-maskable instruction rather than a  
maskable interrupt source. The illegal opcode interrupt is a non-maskable interrupt.  
The last interrupt source, external input to the XIRQ pin, is considered a non-maskable  
interrupt because once enabled, it cannot be masked by software; however, it is  
masked during reset and upon receipt of an interrupt at the XIRQ pin. Table 9-2, Table  
9-3, and Table 9-4 provide a list of each interrupt, its vector location in memory, and  
the actual condition code and control bits that mask it. A discussion of the various in-  
terrupts is provided below. Figure 9-3 shows the interrupt stacking order.  
9
Table 9-3 Interrupt Vector Assignments  
Vector  
Address  
FFC0, C1  
Interrupt Source  
CC  
Register Mask  
Local Mask  
Reserved  
FFD4, D5  
FFD6, D7  
FFD8, D9  
FFDA, DB  
FFDC, DD  
FFDE, DF  
FFE0, E1  
FFE2, E3  
FFE4, E5  
FFE6, E7  
FFE8, E9  
FFEA, EB  
FFEC, ED  
FFEE, EF  
FFF0, F1  
FFF2, F3  
FFF4, F5  
FFF6, F7  
FFF8, F9  
FFFA, FB  
FFFC, FD  
FFFE, FF  
Reserved  
See Table 9-3  
SPIE  
SCI Serial System  
I Bit  
I Bit  
I Bit  
I Bit  
I Bit  
I Bit  
I Bit  
I Bit  
I Bit  
I Bit  
I Bit  
I Bit  
I Bit  
I Bit  
I Bit  
X Bit  
None  
None  
None  
None  
None  
SPI Serial Transfer Complete  
Pulse Accumulator Input Edge  
Pulse Accumulator Overflow  
Timer Overflow  
PAII  
PAOVI  
TOI  
Timer Output Compare 5  
Timer Output Compare 4  
Timer Output Compare 3  
Timer Output Compare 2  
Timer Output Compare 1  
Timer Input Capture 3  
Timer Input Capture 2  
Timer Input Capture 1  
Real Time Interrupt  
OC5I  
OC4I  
OC3I  
OC2I  
OC1I  
OC3I  
OC2I  
OC1I  
RTII  
IRQ (External Pin or Parallel l/O)  
XIRQ Pin (Pseudo Non-Maskable Interrupt)  
SWI  
See Table 9-4  
None  
None  
Illegal Opcode Trap  
None  
COP Failure (Reset)  
NOCOP  
CME  
COP Clock Monitor Fail (Reset)  
RESET  
None  
RESETS, INTERRUPTS, AND LOW POWER MODES  
MC68HC11A8  
TECHNICAL DATA  
9-8  
For More Information On This Product,  
Go to: www.freescale.com  
 
 
Freescale Semiconductor, Inc.  
Table 9-4 SCI Serial System Interrupts  
Interrupt Cause  
Receive Data Register Full  
Receiver Overrun  
Local Mask  
RIE  
RIE  
Idle Line Detect  
ILIE  
Transmit Data Register Empty  
Transmit Complete  
TIE  
TCIE  
9.2.1 Software Interrupt (SWI)  
The software interrupt is executed in the same manner as any other instruction and  
will take precedence over interrupts only if the other interrupts are masked (I and X bits  
in the condition code register set). The SWI instruction is executed in a manner similar  
to other maskable interrupts in that it sets the I bit, CPU registers are stacked, etc.  
NOTE  
The SWI instruction will not be fetched if an interrupt is pending.  
However, once an SWI instruction has begun, no interrupt can be  
honored until the SWI vector has been fetched.  
9
7
0
— SP BEFORE INTERRUPT  
SP  
SP–1  
SP–2  
SP–3  
SP–4  
SP–5  
SP–6  
SP–7  
SP–8  
SP–9  
PCL  
PCH  
IYL  
IYH  
IXL  
IXH  
ACCA  
ACCB  
CCR  
— SP AFTER INTERRUPT  
Figure 9-3 Interrupt Stacking Order  
9.2.2 Illegal Opcode Trap  
Since not all possible opcodes or opcode sequences are defined, an illegal opcode de-  
tection circuit has been included. When an illegal opcode is detected, an interrupt is  
requested to the illegal opcode vector. The illegal opcode vector should never be left  
uninitialized. It is a good idea to reinitialize the stack pointer as a result of an illegal  
opcode interrupt so repeated execution of illegal opcodes does not cause stack over-  
runs.  
9.2.3 Interrupt Mask Bits in Condition Code Register  
Upon reset, both the X bit and the I bit are set to inhibit all maskable interrupts and  
XIRQ. After minimum system initialization, software may clear the X bit by a TAP in-  
struction, thus enabling XIRQ interrupts. Thereafter software cannot set the X bit so  
an XIRQ interrupt is effectively a nonmaskable interrupt. Since the operation of the I  
RESETS, INTERRUPTS, AND LOW POWER MODES  
MOTOROLA  
9-9  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
bit related interrupt structure has no effect on the X bit, the external XIRQ pin remains  
effectively non-masked. In the interrupt priority logic, the XIRQ interrupt is a higher pri-  
ority than any source that is maskable by the I bit. All I bit related interrupts operate  
normally with their own priority relationship. When an I bit related interrupt occurs, the  
I bit is automatically set by hardware after stacking the condition code register byte,  
but the X bit is not affected. When an X bit related interrupt occurs, both the X bit and  
the I bit are automatically set by hardware after stacking the condition code register.  
An RTI (return from interrupt) instruction restores the X and I bits to their pre-interrupt  
request state.  
9.2.4 Priority Structure  
Interrupts obey a fixed hardware priority circuit to resolve simultaneous requests; how-  
ever, one I bit related interrupt source may be elevated to the highest I bit priority po-  
sition in the resolution circuit. The first six interrupt sources are not masked by the I bit  
in the condition code register and have the fixed priority interrupt relationship of: reset,  
clock monitor fail, COP fail, illegal opcode, and XIRQ. (SWI is actually an instruction  
and has highest priority other than reset in the sense that once the SWI opcode is  
fetched, no other interrupt can be honored until the SWI vector has been fetched).  
Each of these sources is an input to the priority resolution circuit. The highest I bit  
masked priority input to the resolution circuit is assigned under software control (of the  
HPRIO register) to be connected to any one of the remaining I bit related interrupt  
sources. In order to avoid timing races, the HPRIO register may only be written while  
the I bit related interrupts are inhibited (I bit in condition code register is a logic one).  
An interrupt that is assigned to this high priority position is still subject to masking by  
any associated control bits or the I bit in the condition code register. The interrupt vec-  
tor address is not affected by assigning a source to this higher priority position.  
9
Figure 9-4, Figure 9-5, and Figure 9-6 illustrate the interrupt process as it relates to  
normal processing. Figure 9-4 shows how the CPU begins from a reset and how in-  
terrupt detection relates to normal opcode fetches. Figure 9-5 is an expansion of a  
block in Figure 9-4 and shows how interrupt priority is resolved. Figure 9-6 is an ex-  
pansion of the SCI interrupt block in Figure 9-5. Figure 9-6 shows the resolution of  
interrupt sources within the SCI subsystem.  
9.2.5 Highest Priority I Interrupt Register (HPRIO)  
This register is used to select one of the I bit related interrupt sources to be elevated  
to the highest I bit masked position in the priority resolution circuit. In addition, four mis-  
cellaneous system control bits are included in this register.  
7
RBOOT  
6
SMOD  
5
4
3
PSEL3  
0
2
PSEL2  
1
1
PSEL1  
0
0
PSEL0  
1
$103C  
MDA  
IRV  
HPRIO  
RESET  
RBOOT — Read Bootstrap ROM  
The read bootstrap ROM bit only has meaning when the SMOD bit is a one (special  
bootstrap mode or special test mode). At all other times, this bit is clear and may not  
be written.  
RESETS, INTERRUPTS, AND LOW POWER MODES  
MC68HC11A8  
9-10  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
When set, upon reset in bootstrap mode only, the small bootstrap loader program is  
enabled. When clear, by reset in the other three modes, this ROM is disabled and ac-  
cesses to this area are treated as external accesses.  
SMOD — Special Mode  
The special mode bit reflects the inverse of the MODB input pin at the rising edge of  
reset. It is set if the MODB pin is low during reset. If MODB is high during reset, it is  
cleared. This bit may be cleared under software control from the special modes, thus,  
changing the operating mode of the MCU, but may never be set by software.  
MDA — Mode Select A  
The mode select A bit reflects the status of the MODA input pin at the rising edge of  
reset. While the SMOD bit is set (special bootstrap or special test mode in effect) the  
MDA bit may be written, thus, changing the operating mode, of the MCU. When the  
SMOD bit is clear, the MDA bit is a read-only bit and the operating mode cannot be  
changed without going through a reset sequence.  
Table 9-5 summarizes the relationship between the SMOD and MDA bits and the  
MODB and MODA input pins at the rising edge of reset.  
9
Table 9-5 Mode Bits Relationship  
Inputs  
Mode Description  
Latched at Reset  
MODB MODA  
SMOD  
MDA  
1
1
0
0
0
1
0
1
Single Chip  
Expanded Multiplexed  
Special Bootstrap  
Special Test  
0
0
1
1
0
1
0
1
1 = Logic High  
0 = Logic Low  
IRV — Internal Read Visibility  
The internal read visibility bit is used in the special modes (SMOD = 1) to affect visibil-  
ity of internal reads on the expansion data bus. IRV is writeable only if SMOD = 1 and  
returns to zero if SMOD = 0. If IRV is clear, visibility of internal reads is blocked. If the  
bit is set, internal reads are visible on the external bus.  
PSEL3, PSEL2, PSEL1, and PSEL0 — Priority Select  
These four priority select bits are used to specify one I bit related interrupt source  
which becomes the highest priority I bit related source (Table 9-6). These bits may be  
written only while the I bit in CCR = 1 (interrupts masked).  
RESETS, INTERRUPTS, AND LOW POWER MODES  
MOTOROLA  
9-11  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
 
Freescale Semiconductor, Inc.  
HIGHEST  
PRIORITY  
POWER-ON RESET  
(POR)  
DELAY 4064 E CYCLES  
EXTERNAL RESET  
CLOCK MONITOR FAIL  
(WITH CME = 1)  
LOWEST  
PRIORITY  
COP WATCHDOG  
TIMEOUT  
(WITH NOCOP = 0)  
LOAD PROGRAM COUNTER  
WITH CONTENTS OF  
$FFFE, $FFFF  
LOAD PROGRAM COUNTER  
WITH CONTENTS OF  
$FFFC, $FFFD  
LOAD PROGRAM COUNTER  
WITH CONTENTS OF  
$FFFA, $FFFB  
9
(VECTOR FETCH)  
(VECTOR FETCH)  
(VECTOR FETCH)  
SET BITS S, I, AND X  
RESET MCU  
HARDWARE  
BEGIN INSTRUCTION  
SEQUENCE  
1A  
BIT X IN  
CCR = 1?  
Y
N
XIRQ  
PIN LOW?  
Y
STACK CPU  
REGISTERS  
N
SET BITS I AND X  
FETCH VECTOR  
$FFF4, $FFF5  
2A  
Figure 9-4 Processing Flow Out of Resets (Sheet 1 of 2)  
RESETS, INTERRUPTS, AND LOW POWER MODES  
MC68HC11A8  
TECHNICAL DATA  
9-12  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
2A  
Y
BIT I IN  
CCR = 1?  
N
ANY I-BIT  
INTERRUPT  
PENDING?  
Y
STACK CPU  
REGISTERS  
N
FETCH OPCODE  
Y
ILLEGAL  
STACK CPU  
REGISTERS  
OPCODE?  
N
SET BIT I IN CCR  
WAI  
FETCH VECTOR  
$FFF8, $FFF9  
Y
9
STACK CPU  
REGISTERS  
INSTRUCTION?  
N
SWI  
Y
Y
ANY  
INTERRUPT  
PENDING?  
STACK CPU  
REGISTERS  
N
INSTRUCTION?  
N
SET BIT I IN CCR  
Y
FETCH VECTOR  
$FFF6, $FFF7  
SET BIT I IN CCR  
RTI  
INSTRUCTION?  
RESOLVE INTERRUPT  
PRIORITY AND FETCH  
VECTOR FOR HIGHEST  
PENDING SOURCE  
SEE FIGURE 9–5  
N
RESTORE CPU  
REGISTERS  
FROM STACK  
EXECUTE THIS  
INSTRUCTION  
1A  
Figure 9-4 Processing Flow Out of Resets (Sheet 2 of 2)  
RESETS, INTERRUPTS, AND LOW POWER MODES  
MOTOROLA  
9-13  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
BEGIN  
N
Y
BIT X IN  
XIRQ  
SET BIT X IN CCR  
CCR = 1?  
PIN LOW?  
FETCH VECTOR  
$FFF4, $FFF5  
Y
N
Y
Y
HIGHEST  
PRIORITY  
INT?  
FETCH APPROPRIATE  
VECTOR  
N
Y
EXTERNAL  
PIN?  
IRQ?  
N
N
STAF  
Y
Y
FETCH VECTOR  
AND STAI = 1?  
$FFF2, $FFF3  
N
9
Y
REAL-TIME  
INTERRUPT?  
FETCH VECTOR  
$FFF0, $FFF1  
RTII = 1?  
N
N
Y
Y
Y
Y
FLAG  
FETCH VECTOR  
IC1I = 1?  
N
IC1F = 1  
$FFEE, $FFEF  
N
FLAG  
IC2F = 1?  
FETCH VECTOR  
$FFEC, $FFED  
IC2I = 1?  
N
N
Y
Y
Y
Y
FLAG  
FETCH VECTOR  
IC3I = 1?  
N
IC3F = 1?  
$FFEA, $FFEB  
N
FLAG  
OC1F = 1?  
FETCH VECTOR  
$FFE8, $FFE9  
OC1I = 1?  
N
N
2A  
2B  
Figure 9-5 Interrupt Priority Resolution (Sheet 1 of 2)  
RESETS, INTERRUPTS, AND LOW POWER MODES  
MC68HC11A8  
TECHNICAL DATA  
9-14  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
2A  
2B  
Y
Y
FLAG  
FETCH VECTOR  
$FFE6, $FFE7  
OC2I = 1?  
N
OC2F = 1?  
N
Y
Y
Y
Y
FLAG  
FETCH VECTOR  
OC3I = 1?  
N
OC3F = 1  
$FFE4, $FFE5  
N
FLAG  
OC4F = 1?  
FETCH VECTOR  
$FFE2, $FFE3  
OC4I = 1?  
N
N
Y
Y
Y
Y
FLAG  
FETCH VECTOR  
OC5I = 1?  
N
OC5F = 1?  
$FFE0, $FFE1  
N
9
FLAG  
TOF = 1?  
FETCH VECTOR  
$FFDE, $FFDF  
TOI = 1?  
N
N
Y
Y
Y
Y
FLAG  
FETCH VECTOR  
PAOVI = 1?  
N
PAOVF = 1  
$FFDC, $FFDD  
N
FLAG  
PAIF = 1?  
FETCH VECTOR  
$FFDA, $FFDB  
PAII = 1?  
N
N
FLAGS  
Y
Y
Y
FETCH VECTOR  
SPIF = 1? OR  
MODF = 1?  
SPIE = 1?  
N
$FFD8, $FFD9  
N
SCI  
FETCH VECTOR  
$FFD6, $FFD7  
INTERRUPT?  
SEE FIGURE  
9–6  
FETCH VECTOR  
$FFF2, $FFF3  
N
END  
Figure 9-5 Interrupt Priority Resolution (Sheet 2 of 2)  
RESETS, INTERRUPTS, AND LOW POWER MODES  
MOTOROLA  
9-15  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
BEGIN  
Y
FLAG  
RDRF = 1?  
N
Y
Y
Y
Y
Y
Y
Y
Y
Y
OR = 1?  
N
RIE = 1?  
N
RE = 1?  
N
TDRE = 1?  
N
TE = 1?  
N
TIE = 1?  
N
9
TC = 1?  
N
TCIE = 1?  
N
Y
Y
IDLE = 1?  
RE = 1?  
N
ILIE = 1?  
N
N
NO  
VALID SCI REQUEST  
VALID SCI REQUEST  
Figure 9-6 Interrupt Source Resolution Within SCI  
RESETS, INTERRUPTS, AND LOW POWER MODES  
MC68HC11A8  
9-16  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
Table 9-6 Highest Priority I Interrupt versus PSEL[3:0]  
PSEL3  
PSEL2  
PSEL1  
PSEL0  
Interrupt Source Promoted  
Timer Overflow  
0
0
0
0
0
0
0
0
1
1
1
1
1
1
1
1
0
0
0
0
1
1
1
1
0
0
0
0
1
1
1
1
0
0
1
1
0
0
1
1
0
0
1
1
0
0
1
1
0
1
0
1
0
1
0
1
0
1
0
1
0
1
0
1
Pulse Accumulator Overflow  
Pulse Accumulator Input Edge  
SPI Serial Transfer Complete  
SCI Serial System  
Reserved (Default to IRQ)  
IRQ (External Pin or Parallel l/O)  
Real Time Interrupt  
Timer Input Capture 1  
Timer Input Capture 2  
Timer Input Capture 3  
Timer Output Compare 1  
Timer Output Compare 2  
Timer Output Compare 3  
Timer Output Compare 4  
Timer Output Compare 5  
NOTE:  
9
During reset, PSEL3, PSEL2, PSEL1, and PSEL0 are initialized to 0:1:0:1 which corresponds to “Reserved (de-  
fault to IRQ)” being the highest priority I-bit-related interrupt source.  
9.3 Low-Power Modes  
The MCU contains two programmable low power consumption modes; WAIT and  
STOP. These two instructions are discussed below. Table 9-7 summarizes the activity  
on all pins of the MCU for all operating conditions.  
9.3.1 WAIT Instruction  
The WAI instruction puts the MCU in a low power consumption mode, keeping the os-  
cillator running. Upon execution of a WAI instruction, the machine state is stacked and  
program execution stops. The wait state can be exited only by an unmasked interrupt  
or RESET. If the I bit is set (interrupts masked) and the COP is disabled, the timer sys-  
tem will be turned off to additionally reduce power consumption. The amount of power  
savings is application dependent and depends upon circuitry connected to the MCU  
pins as well as which subsystems (i.e., timer, SPI, SCI) are active when the WAIT  
mode is entered. Turning off the A/D subsystem by clearing ADPU further reduces  
WAIT mode current.  
9.3.2 STOP Instruction  
The STOP instruction places the MCU in its lowest power consumption mode provided  
the S bit in the condition code register is clear. If the S bit is set, the STOP mode is  
disabled and STOP instructions are treated as NOPs (no operation). In the STOP  
mode, all clocks including the internal oscillator are stopped causing all internal pro-  
cessing to be halted. Recovery from the STOP mode may be accomplished by RE-  
SET, XIRQ, or an unmasked IRQ. When the XIRQ is used, the MCU exits from the  
STOP mode regardless of the state of the X bit in the condition code register; however,  
RESETS, INTERRUPTS, AND LOW POWER MODES  
MOTOROLA  
9-17  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
the actual recovery sequence differs depending on the state of the X bit. If the X bit is  
clear, the MCU starts up with the stacking sequence leading to normal service of the  
XIRQ request. If the X bit is set, then processing will continue with the instruction im-  
mediately following the STOP instruction and no XIRQ interrupt service routine is re-  
quested. A reset will always result in an exit from the STOP mode, and the start of  
MCU operation is determined by the reset vector.  
Table 9-7 Pin State Summary for RESET, STOP, and WAIT  
Pins  
Single Chip Modes  
Expanded Modes  
WAIT  
RESET  
WAIT  
STOP  
RESET  
STOP  
Output Only  
E
Active E  
Active E  
Active  
SS  
0
Active E  
Active  
1
Active E  
Active  
1
0
XTAL!!!  
Active  
1
1
1
STRB/RW  
PA3-PA6  
PB0-PB7  
Input/Output  
RESET  
0
0
0
SS  
SS  
SS  
SS  
0
SS  
SS  
SS  
Hl ADD  
Hl ADD  
Hl ADD  
I (0)  
I (0)  
I
I
I (0)  
I (1)  
I
I
MODA/LIR  
OD (1)  
OD (1)  
OD (1)  
OD (1)  
9
MODB/V  
I (MODB)  
l (V  
)
l (V )  
STBY  
I (MODES)  
l (VSTBY  
)
l (VSTBY)  
STBY  
STBY  
STRA/AS  
PA7  
I (STRA)  
I (STRA)  
I/O  
I (STRA)  
I/O  
Active AS  
I
Active AS  
l/O  
0
I
I
I
I/O  
PC0-PC7  
PD0-PD5  
Input Only  
EXTAL  
I/O  
I/O  
ADD/DATA SP-8/DATA LO ADD  
I/O I/O  
I/O  
I/O  
I
Input Clock or Connect to Crystal with XTAL  
IRQ  
Terminate Unused Inputs to V  
Terminate Unused Inputs to V  
DD  
DD  
XIRQ  
PA0-PA2  
PE0-PE7  
Terminate Unused Inputs to V or V  
DD SS  
If Not Used, External Drive Not Required  
If Not Used, External Drive Not Required  
V
-V  
RH RL  
SYMBOLS:  
DATA  
I
l/O  
=Current data present.  
=Input pin, if ( ) associated then this is required input state.  
=Input/output pin, state determined by data direction register.  
=High byte of the address.  
Hl ADD  
LO ADD =Low byte of the address.  
ADD/DATA =Low byte of the address multiplexed with data.  
OD  
SS  
=Open drain output, ( ) current output state.  
=Steady state, output pin stays in current state.  
SP-8  
=Address output during WAI period following WAI instruction, stack point-  
er value, at time of WAI, minus 8.  
!!!  
=XTAL is output but not normally usable for any output function beyond  
crystal drive.  
Since the oscillator is stopped in the STOP mode, a restart delay of 4064 clock cycle  
times may be required to allow oscillator stabilization. If the internal oscillator is being  
used, this delay is required; however, if a stable external oscillator is being used, a  
control bit in the OPTION register may be used (DLY = 0) to give a delay of four cycles.  
RESETS, INTERRUPTS, AND LOW POWER MODES  
MC68HC11A8  
9-18  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
10 CPU, ADDRESSING MODES, AND INSTRUCTION SET  
This section provides a description of the CPU registers, addressing modes, and a  
summary of the M68HC11 instruction set. Special operations such as subroutine calls  
and interrupts are described and cycle-by-cycle operations for all instructions are pre-  
sented.  
10.1 CPU Registers  
In addition to being able to execute all M6800 and M6801 instructions, the  
MC68HC11A8 uses a 4-page opcode map to allow execution of 91 new opcodes (see  
10.2.7 Prebyte). Seven registers, discussed in the following paragraphs, are available  
to programmers as shown in Figure 10-1.  
10.1.1 Accumulators A and B  
Accumulator A and accumulator B are general-purpose 8-bit registers used to hold  
operands and results of arithmetic calculations or data manipulations. These two ac-  
cumulators can be concatenated into a single 16-bit accumulator called the D accu-  
mulator.  
10  
10.1.2 Index Register X (IX)  
The 16-bit IX register is used for indexed mode addressing. It provides a 16-bit index-  
ing value which is added to an 8-bit offset provided in an instruction to create an effec-  
tive address. The IX register can also be used as a counter or as a temporary storage  
register.  
CPU, ADDRESSING MODES, AND INSTRUCTION SET  
MOTOROLA  
10-1  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
8-BIT ACCUMULATORS A & B  
OR 16-BIT DOUBLE ACCUMULATOR D  
7
15  
A
0
7
B
0
0
D
IX  
INDEX REGISTER X  
INDEX REGISTER Y  
STACK POINTER  
IY  
SP  
PC  
PROGRAM COUNTER  
CONDITION CODES  
7
S
0
X
H
I
N
Z
V
C
CARRY/BORROW FROM MSB  
OVERFLOW  
ZERO  
NEGATIVE  
I-INTERRUPT MASK  
HALF CARRY (FROM BIT 3)  
X-INTERRUPT MASK  
10  
STOP DISABLE  
Figure 10-1 Programming Model  
10.1.3 Index Register Y (IY)  
The 16-bit IY register is also used for indexed mode addressing similar to the IX reg-  
ister; however, all instructions using the IY register require an extra byte of machine  
code and an extra cycle of execution time since they are two byte opcodes.  
10.1.4 Stack Pointer (SP)  
The stack pointer (SP) is a 16-bit register that contains the address of the next free  
location on the stack. The stack is configured as a sequence of last-in-first-out read/  
write registers which allow important data to be stored during interrupts and subroutine  
calls. Each time a new byte is added to the stack (a push instruction), the SP is dec-  
rement; whereas, each time a byte is removed from the stack (a pull instruction) the  
SP is incremented.  
10.1.5 Program Counter (PC)  
The program counter is a 16-bit register that contains the address of the next instruc-  
tion to be executed.  
10.1.6 Condition Code Register (CCR)  
The condition code register is an 8-bit register in which each bit signifies the results of  
the instruction just executed. These bits can be individually tested by a program and  
a specific action can be taken as a result of the test. Each individual condition code  
register bit is explained below.  
CPU, ADDRESSING MODES, AND INSTRUCTION SET  
MC68HC11A8  
10-2  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
10.1.6.1 Carry/Borrow (C)  
The C bit is set if there was a carry or borrow out of the arithmetic logic unit (ALU) dur-  
ing the last arithmetic operation. The C bit is also affected during shift and rotate in-  
structions.  
10.1.6.2 Overflow (V)  
The overflow bit is set if there was an arithmetic overflow as a result of the operation;  
otherwise, the V bit is cleared.  
10.1.6.3 Zero (Z)  
The zero bit is set if the result of the last arithmetic, logic, or data manipulation opera-  
tion was zero; otherwise, the Z bit is cleared.  
10.1.6.4 Negative (N)  
The negative bit is set if the result of the last arithmetic, logic, or data manipulation op-  
eration was negative; otherwise, the N bit is cleared. A result is said to be negative if  
its most significant bit is a one.  
10  
10.1.6.5 Interrupt Mask (I)  
The I interrupt mask bit is set either by hardware or program instruction to disable  
(mask) all maskable interrupt sources (both external and internal).  
10.1.6.6 Half Carry (H)  
The half carry bit is set to a logic one when a carry occurs between bits 3 and 4 of the  
arithmetic logic unit during an ADD, ABA, or ADC instruction; otherwise, the H bit is  
cleared.  
10.1.6.7 X Interrupt Mask (X)  
The X interrupt mask bit is set only by hardware (RESET or XIRQ acknowledge); and  
it is cleared only by program instruction (TAP or RTI).  
10.1.6.8 Stop Disable (S)  
The stop disable bit is set to disable the STOP instruction, and cleared to enable the  
STOP instruction. The S bit is program controlled. The STOP instruction is treated as  
no operation (NOP) if the S bit is set.  
10.2 Addressing Modes  
Six addressing modes can be used to reference memory; they include: immediate, di-  
rect, extended, indexed (with either of two 16-bit index registers and an 8-bit offset),  
inherent and relative. Some instructions require an additional byte before the opcode  
to accommodate a multi-page opcode map; this byte is called a prebyte.  
The following paragraphs provide a description of each addressing mode plus a dis-  
cussion of the prebyte. In these descriptions the term effective address is used to in-  
CPU, ADDRESSING MODES, AND INSTRUCTION SET  
MOTOROLA  
10-3  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
dicate the address in memory from which the argument is fetched or stored, or from  
which execution is to proceed.  
10.2.1 Immediate Addressing  
In the immediate addressing mode, the actual argument is contained in the byte(s) im-  
mediately following the instruction, where the number of bytes matches the size of the  
register. These are two, three, or four (if prebyte is required) byte instructions.  
10.2.2 Direct Addressing  
In the direct addressing mode (sometimes called zero page addressing), the least sig-  
nificant byte of the operand address is contained in a single byte following the opcode  
and the most significant byte is assumed to be $00. Direct addressing allows the user  
to access $0000 through $00FF using two byte instructions and execution time is re-  
duced by eliminating the additional memory access. In most applications, this 256-  
byte area is reserved for frequently referenced data. In the MC68HC11A8, software  
can configure the memory map so that internal RAM, and/or internal registers, or ex-  
ternal memory space can occupy these addresses.  
10  
10.2.3 Extended Addressing  
In the extended addressing mode, the second and third bytes (following the opcode)  
contain the absolute address of the operand. These are three or four (if prebyte is re-  
quired) byte instructions: one or two for the opcode, and two for the effective address.  
10.2.4 Indexed Addressing  
In the indexed addressing mode, one of the index registers (X or Y) is used in  
calculating the effective address. In this case, the effective address is variable  
and depends on two factors: 1) the current contents of the index register (X or  
Y) being used, and 2) the 8-bit unsigned offset contained in the instruction.  
This addressing mode allows referencing any memory location in the 64 Kbyte  
address space. These are usually two or three (if prebyte is required) byte in-  
structions, the opcode plus the 8-bit offset.  
10.2.5 Inherent Addressing  
In the inherent addressing mode, all of the information is contained in the opcode. The  
operands (if any) are registers and no memory reference is required. These are usu-  
ally one or two byte instructions.  
10.2.6 Relative Addressing  
The relative addressing mode is used for branch instructions. If the branch condition  
is true, the contents of the 8-bit signed byte following the opcode (the offset) is added  
to the contents of the program counter to form the effective branch address; otherwise,  
control proceeds to the next instruction. These are usually two byte instructions.  
CPU, ADDRESSING MODES, AND INSTRUCTION SET  
MC68HC11A8  
10-4  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
10.2.7 Prebyte  
In order to expand the number of instructions used in the MC68HC11A8, a prebyte in-  
struction has been added to certain instructions. The instructions affected are usually  
associated with index register Y. The instruction opcodes which do not require a pre-  
byte could be considered as page 1 of the overall opcode map. The remaining op-  
codes could be considered as pages 2, 3, and 4 of the opcode map and would require  
a prebyte; $18 for page 2, $1A for page 3, and $CD for page 4.  
10.3 Instruction Set  
The central processing unit (CPU) in the MC68HC11A8 is basically a proper extension  
of the MC6801 CPU. In addition to its ability to execute all M6800 and M6801 instruc-  
tions, the MC68HC11A8 CPU has a paged operation code (opcode) map with a total  
of 91 new opcodes. Major functional additions include a second 16-bit index register  
(Y register), two types of 16-by-16 divide instructions, STOP and WAIT instructions,  
and bit manipulation instructions.  
Table 10-1 shows all MC68HC11A8 instructions in all possible addressing modes. For  
each instruction, the operand construction is shown as well as the total number of ma-  
chine code bytes and execution time in CPU E-clock cycles. Notes are provided at the  
end of Table 10-1 which explain the letters in the Operand and Execution Time col-  
umns for some instructions. Definitions of “Special Ops” found in the Boolean Expres-  
sion column are found in Figure 10-2.  
10  
Table 10-2 through Table 10-8 provide a detailed description of the information  
present on the address bus, data bus, and the read/write (R/W) line during each cycle  
of each instruction. The information is useful in comparing actual with expected results  
during debug of both software and hardware as the program is executed. The informa-  
tion is categorized in groups according to addressing mode and number of cycles per  
instruction. In general, instructions with the same address mode and number of cycles  
execute in the same manner. Exceptions are indicated in the table.  
CPU, ADDRESSING MODES, AND INSTRUCTION SET  
MOTOROLA  
10-5  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
Table 10-1 MC68HC11A8 Instructions, Addressing Modes, and Execution Times  
(Sheet 1 of 6)  
Source  
Form(s)  
Operation  
Boolean Expression  
Addressing  
Mode for  
Operand  
Machine Coding  
(Hexadecimal)  
Cycle  
by  
Cycle*  
Condition Codes  
C
B
Opcode Operand(s)  
S X H I N Z V C  
ABA  
Add Accumulators  
Add B to X  
A + B A  
INH  
1B  
3A  
1
1
2
2
3
4
2-1 - - - ↕ ↕ ↕ ↕  
ABX  
ABY  
IX + 00:B IX  
IY + 00:B IY  
A + M + C A  
INH  
INH  
2-2 - - - - - - - -  
2-4 - - - - - - - -  
Add B to Y  
18 3A  
ADCA  
(opr)  
Add with Carry to A  
A IMM  
A DIR  
A EXT  
A IND,X  
A IND,Y  
89 ii  
99 dd  
B9 hh ll  
A9 ff  
2
2
3
2
3
2
3
4
4
5
3-1 - - - ↕ ↕ ↕ ↕  
4-1  
5-2  
6-2  
7-2  
18 A9 ff  
ADCB (opr) Add with Carry to B  
ADDA (opr) Add Memory to A  
ADDB (opr) Add Memory to B  
ADDD (opr) Add 16-Bit to D  
B + M + C B  
A + M A  
B IMM  
B DIR  
B EXT  
B IND,X  
B IND,Y  
C9 ii  
D9 dd  
F9 hh ll  
E9 ff  
2
2
3
2
3
2
3
4
4
5
3-1 - - - ↕ ↕ ↕ ↕  
4-1  
5-2  
6-2  
7-2  
18 E9 ff  
A IMM  
A DIR  
A EXT  
A IND,X  
A IND,Y  
8B ii  
9B dd  
BB hh ll  
AB ff  
2
2
3
2
3
2
3
4
4
5
3-1 - - - ↕ ↕ ↕ ↕  
4-1  
5-2  
6-2  
7-2  
18 AB ff  
B + M B  
B IMM  
B DIR  
B EXT  
B IND,X  
B IND,Y  
CB ii  
DB dd  
FB hh ll  
EB ff  
2
2
3
2
3
2
3
4
4
5
3-1 - - - ↕ ↕ ↕ ↕  
4-1  
5-2  
6-2  
7-2  
10  
18 EB ff  
D + M:M + 1 D  
IMM  
DIR  
EXT  
IND,X  
IND,Y  
C3 jj  
D3 dd  
F3 hh ll  
E3 ff  
18 E3 ff  
kk  
3
2
3
2
3
4
5
6
6
7
3-3 - - - - ↕ ↕ ↕ ↕  
4-7  
5-10  
6-10  
7-8  
ANDA (opr) AND A with Memory  
ANDB (opr) AND B with Memory  
A•M A  
A IMM  
A DIR  
A EXT  
A IND,X  
A IND,Y  
84 ii  
2
2
3
2
3
2
3
4
4
5
3-1 - - - - ↕ ↕ 0 -  
94 dd  
B4 hh ll  
A4 ff  
4-1  
5-2  
6-2  
7-2  
18 A4 ff  
B•M B  
B IMM  
B DIR  
B EXT  
B IND,X  
B IND,Y  
C4 ii  
2
2
3
2
3
2
3
4
4
5
3-1 - - - - ↕ ↕ 0 -  
D4 dd  
F4 hh ll  
E4 ff  
4-1  
5-2  
6-2  
7-2  
18 E4 ff  
ASL (opr)  
Arithmetic Shift Left  
EXT  
78 hh ll  
68 ff  
18 68 ff  
48  
58  
3
2
3
1
1
6
6
7
2
2
5-8 - - - - ↕ ↕ ↕ ↕  
IND,X  
IND,Y  
A INH  
B INH  
6-3  
7-3  
2-1  
2-1  
0
C
C
b7  
b0  
b0  
ASLA  
ASLB  
ASLD  
Arithmetic Shift Left Double  
INH  
05  
1
3
2-2 - - - - ↕ ↕ ↕ ↕  
0
b15  
ASR (opr) Arithmetic Shift Right  
EXT  
77 hh ll  
67 ff  
18 67 ff  
47  
57  
3
2
3
1
1
6
6
7
2
2
5-8 - - - - ↕ ↕ ↕ ↕  
IND,X  
IND,Y  
A INH  
B INH  
6-3  
7-3  
2-1  
2-1  
b7  
b0  
C
ASRA  
ASRB  
BCC (rel)  
Branch if Carry Clear  
? C = 0  
M•(mm  
REL  
24 rr  
2
3
8-1 - - - - - - - -  
DIR  
IND,X  
IND,Y  
15 dd mm  
1D ff  
18 1D ff  
3
3
4
6
7
8
4-10 - - - - ↕ ↕ 0 -  
6-13  
7-10  
BCLR (opr) Clear Bit(s)  
(msk)  
) M  
mm  
mm  
BCS (rel)  
BEQ (rel)  
BGE (rel)  
BGT (rel)  
BHI (rel)  
BHS (rel)  
Branch if Carry Set  
? C = 1  
? Z = 1  
REL  
REL  
REL  
REL  
REL  
REL  
25 rr  
27 rr  
2C rr  
2E rr  
22 rr  
24 rr  
2
2
2
2
2
2
3
3
3
3
3
3
8-1 - - - - - - - -  
8-1 - - - - - - - -  
8-1 - - - - - - - -  
8-1 - - - - - - - -  
8-1 - - - - - - - -  
8-1 - - - - - - - -  
Branch if = Zero  
Branch if Zero  
? N V = 0  
Branch if > Zero  
? Z + (N V) = 0  
? C + Z = 0  
? C = 0  
Branch if Higher  
Branch if Higher or Same  
*Cycle-by-cycle number provides a reference to Tables 10-2 through 10-8 which detail cycle-by-cycle operation.  
Example: Table 10-1 Cycle-by-Cycle column reference number 2-4 equals Table 10-2 line item 2-4.  
CPU, ADDRESSING MODES, AND INSTRUCTION SET  
MC68HC11A8  
TECHNICAL DATA  
10-6  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
Table 10-1 MC68HC11A8 Instructions, Addressing Modes, and Execution Times  
(Sheet 2 of 6)  
Source  
Form(s)  
Operation  
Boolean Expression  
Addressing  
Mode for  
Operand  
Machine Coding  
(Hexadecimal)  
Cycle  
by  
Cycle*  
Condition Codes  
C
B
Opcode Operand(s)  
S X H I N Z V C  
BITA (opr) Bit(s) Test A with Memory  
BITB (opr) Bit(s) Test B with Memory  
A•M  
A IMM  
A DIR  
A EXT  
A IND,X  
A IND,Y  
85 ii  
95 dd  
B5 hh ll  
A5 ff  
2
2
3
2
3
2
3
4
4
5
3-1 - - - - ↕ ↕ 0 -  
4-1  
5-2  
6-2  
7-2  
18 A5 ff  
B•M  
B IMM  
B DIR  
B EXT  
B IND,X  
B IND,Y  
C5 ii  
D5 dd  
F5 hh ll  
E5 ff  
2
2
3
2
3
2
3
4
4
5
3-1 - - - - ↕ ↕ 0 -  
4-1  
5-2  
6-2  
7-2  
18 E5 ff  
BLE (rel)  
BLO (rel)  
BLS (rel)  
BLT (rel)  
BMI (rel)  
BNE (rel)  
BPL (rel)  
BRA (rel)  
Branch if Zero  
Branch if Lower  
Branch if Lower or Same  
Branch If < Zero  
Branch if Minus  
? Z + (N V) = 1  
? C = 1  
REL  
REL  
REL  
REL  
REL  
REL  
REL  
REL  
2F rr  
25 rr  
23 rr  
2D rr  
2B rr  
26 rr  
2A rr  
20 rr  
2
2
2
2
2
2
2
2
3
3
3
3
3
3
3
3
8-1 - - - - - - - -  
8-1 - - - - - - - -  
8-1 - - - - - - - -  
8-1 - - - - - - - -  
8-1 - - - - - - - -  
8-1 - - - - - - - -  
8-1 - - - - - - - -  
8-1 - - - - - - - -  
? C + Z = 1  
? N V = 1  
? N = 1  
Branch if Not = Zero  
Branch if Plus  
? Z = 0  
? N = 0  
Branch Always  
? 1 = 1  
BRCLR(opr) Branch if Bit(s) Clear  
? M • mm = 0  
DIR  
IND,X  
IND,Y  
13 dd mm rr  
1F ff mm rr  
18 1F ff mm rr  
4
4
5
6
7
8
4-11 - - - - - - - -  
6-14  
7-11  
(msk)  
(rel)  
BRN (rel)  
Branch Never  
? 1 = 0  
REL  
21 rr  
2
3
8-1 - - - - - - - -  
10  
BRSET(opr) Branch if Bit(s) Set  
DIR  
IND,X  
IND,Y  
12 dd mm rr  
1E ff mm rr  
18 1E ff mm rr  
4
4
5
6
7
8
4-11 - - - - - - - -  
6-14 7-  
11  
? (M) • mm = 0  
(msk)  
(rel)  
BSET(opr) Set Bit(s)  
(msk)  
M + mm M  
DIR  
IND,X  
IND,Y  
14 dd mm  
1C ff mm  
18 1C ff mm  
3
3
4
6
7
8
4-10 - - - - ↕ ↕ 0 -  
6-13  
7-10  
BSR (rel)  
BVC (rel)  
BVS (rel)  
CBA  
Branch to Subroutine  
Branch if Overflow Clear  
Branch if Overflow Set  
Compare A to B  
See Special Ops  
? V = 0  
? V = 1  
A – B  
REL  
REL  
REL  
INH  
INH  
INH  
8D rr  
28 rr  
29 rr  
11  
2
2
2
1
1
1
6
3
3
2
2
2
8-2 - - - - - - - -  
8-1 - - - - - - - -  
8-1 - - - - - - - -  
2-1 - - - - ↕ ↕ ↕ ↕  
2-1 - - - - - - - 0  
2-1 - - - 0 - - - -  
CLC  
Clear Carry Bit  
0 C  
0C  
CLI  
Clear Interrupt Mask  
0 l  
0E  
CLR (opr) Clear Memory Byte  
0 M  
EXT  
IND,X  
IND,Y  
7F hh ll  
6F ff  
18 6F ff  
3
2
3
6
6
7
5-8 - - - - 0 1 0 0  
6-3  
7-3  
CLRA  
CLRB  
CLV  
Clear Accumulator A  
Clear Accumulator B  
CIear Overflow Flag  
0 A  
0 B  
0 V  
A – M  
A INH  
B INH  
INH  
4F  
5F  
0A  
1
1
1
2
2
2
2-1 - - - - 0 1 0 0  
2-1 - - - - 0 1 0 0  
2-1 - - - - - - 0 -  
CMPA (opr) Compare A to Memory  
A IMM  
A DIR  
A EXT  
A IND,X  
A IND,Y  
81 ii  
91 dd  
B1 hh ll  
A1 ff  
2
2
3
2
3
2
3
4
4
5
3-1 - - - - ↕ ↕ ↕ ↕  
4-1  
5-2  
6-2  
7-2  
18 A1 ff  
CMPB (opr) Compare B to Memory  
B – M  
B IMM  
B DIR  
B EXT  
B IND,X  
B IND,Y  
C1 ii  
D1 dd  
F1 hh ll  
E1 ff  
2
2
3
2
3
2
3
4
4
5
3-1 - - - - ↕ ↕ ↕ ↕  
4-1  
5-2  
6-2  
7-2  
18 E1 ff  
COM (opr) 1’s Complement Memory Byte $FF – M M  
EXT  
IND,X  
IND,Y  
73 hh ll  
63 ff  
18 63 ff  
3
2
3
6
6
7
5-8 - - - - ↕ ↕ 0 1  
6-3  
7-3  
COMA  
COMB  
1’s Complement A  
1’s Complement B  
$FF – A A  
$FF – B B  
A INH  
B INH  
43  
53  
1
1
2
2
2-1 - - - - ↕ ↕ 0 1  
2-1 - - - - ↕ ↕ 0 1  
CPD (opr) Compare D to Memory 16-Bit D – M:M + 1  
IMM  
DIR  
EXT  
IND,X  
IND,Y  
1A 83 jj kk  
1A 93 dd  
1A B3 hh ll  
1A A3 ff  
4
3
4
3
3
5
6
7
7
7
3-5 - - - - ↕ ↕ ↕ ↕  
4-9  
5-11  
6-11  
7-8  
CD A3 ff  
*Cycle-by-cycle number provides a reference to Tables 10-2 through 10-8 which detail cycle-by-cycle operation.  
Example: Table 10-1 Cycle-by-Cycle column reference number 2-4 equals Table 10-2 line item 2-4.  
CPU, ADDRESSING MODES, AND INSTRUCTION SET  
MOTOROLA  
10-7  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
Table 10-1 MC68HC11A8 Instructions, Addressing Modes, and Execution Times  
(Sheet 3 of 6)  
Source  
Form(s)  
Operation  
Boolean Expression  
Addressing  
Mode for  
Operand  
Machine Coding  
(Hexadecimal)  
Cycle  
by  
Cycle*  
Condition Codes  
C
B
Opcode Operand(s)  
S X H I N Z V C  
CPX (opr) Compare X to Memory 16-Bit IX – M:M + 1  
IMM  
DIR  
EXT  
IND,X  
IND,Y  
8C jj kk  
9C dd  
BC hh ll  
AC ff  
3
2
3
2
3
4
5
6
6
7
3-3 - - - - ↕ ↕ ↕ ↕  
4-7  
5-10  
6-10  
7-8  
CD AC ff  
CPY (opr) Compare Y to Memory  
16-Bit  
IY – M:M + 1  
IMM  
DIR  
EXT  
IND,X  
IND,Y  
18 8C jj kk  
18 9C dd  
18 BC hh ll  
1A AC ff  
4
3
4
3
3
5
6
7
7
7
3-5 - - - - ↕ ↕ ↕ ↕  
4-9  
5-11  
6-11  
7-8  
18 AC ff  
DAA  
Decimal Adjust A  
Adjust Sum to BCD  
INH  
19  
1
2
2-1 - - - - ↕ ↕ ↕ ↕  
DEC (opr) Decrement Memory Byte  
M – 1 M  
EXT  
IND,X  
IND,Y  
7A hh ll  
6A ff  
18 6A ff  
3
2
3
6
6
7
5-8 - - - - ↕ ↕ ↕ -  
6-3  
7-3  
DECA  
DECB  
DES  
Decrement Accumulator A  
Decrement Accumulator B  
Decrement Stack Pointer  
A – 1 A  
B – 1 B  
SP – 1 SP  
A INH  
B INH  
INH  
4A  
5A  
1
1
1
1
2
2
2
3
3
4
2-1 - - - - ↕ ↕ ↕ -  
2-1 - - - - ↕ ↕ ↕ -  
2-3 - - - - - - - -  
2-2 - - - - - - -  
2-4 - - - - - - -  
34  
DEX  
Decrement Index Register X IX – 1 IX  
Decrement Index Register Y IY – 1 IY  
INH  
09  
DEY  
INH  
18 09  
EORA (opr) Exclusive OR A with Memory  
A
M A  
A IMM  
A DIR  
A EXT  
A IND,X  
A IND,Y  
88 ii  
98 dd  
88 hh ll  
A8 ff  
2
2
3
2
3
2
3
4
4
5
3-1 - - - - ↕ ↕ 0 -  
4-1  
5-2  
6-2  
7-2  
10  
18 A8 ff  
EORB (opr) Exclusive OR B with Memory  
B
M B  
B IMM  
B DIR  
B EXT  
B IND,X  
B IND,Y  
C8 ii  
D8 dd  
F8 hh ll  
E8 ff  
2
2
3
2
3
2
3
4
4
5
3-1 - - - - ↕ ↕ 0 -  
4-1  
5-2  
6-2  
7-2  
18 E8 ff  
FDIV  
Fractional Divide 16 by 16  
Integer Divide 16 by 16  
Increment Memory Byte  
D/IX IX; r D  
D/IX IX; r D  
M + 1 M  
INH  
INH  
03  
02  
1
1
41  
41  
2-17 - - - - - ↕ ↕ ↕  
2-17 - - - - - 0 ↕  
IDIV  
INC (opr)  
EXT  
IND,X  
IND,Y  
7C hh ll  
6C ff  
18 6C ff  
3
2
3
6
6
7
5-8 - - - - ↕ ↕ ↕ -  
6-3  
7-3  
INCA  
INCB  
INS  
Increment Accumulator A  
Increment Accumulator B  
Increment Stack Pointer  
Increment Index Register X  
Increment Index Register Y  
A + 1 A  
A INH  
B INH  
INH  
4C  
5C  
1
1
1
1
2
2
2
3
3
4
2-1 - - - - ↕ ↕ ↕ -  
2-1 - - - - ↕ ↕ ↕ -  
2-3 - - - - - - - -  
2-2 - - - - - - -  
2-4 - - - - - - -  
B + 1 B  
SP + 1 SP  
IX + 1 IX  
IY + 1 IY  
See Special Ops  
31  
INX  
INH  
08  
INY  
INH  
18 08  
JMP (opr) Jump  
EXT  
IND,X  
IND,Y  
7E hh ll  
6E ff  
18 6E ff  
3
2
3
3
3
4
5-1 - - - - - - - -  
6-1  
7-1  
JSR (opr)  
Jump to Subroutine  
See Special Ops  
DIR  
EXT  
IND,X  
IND,Y  
9D dd  
BD hh ll  
AD ff  
2
3
2
3
5
6
6
7
4-8 - - - - - - - -  
5-12  
6-12  
7-9  
18 AD ff  
LDAA (opr) Load Accumulator A  
M A  
A IMM  
A DIR  
A EXT  
A IND,X  
A IND,Y  
86 ii  
96 dd  
B6 hh ll  
A6 ff  
2
2
3
2
3
2
3
4
4
5
3-1 - - - - ↕ ↕ 0 -  
4-1  
5-2  
6-2  
7-2  
18 A6 ff  
LDAB (opr) Load Accumulator B  
M B  
B IMM  
B DIR  
B EXT  
B IND,X  
B IND,Y  
C6 ii  
D6 dd  
F6 hh ll  
E6 ff  
2
2
3
2
3
2
3
4
4
5
3-1 - - - - ↕ ↕ 0 -  
4-1  
5-2  
6-2  
7-2  
18 E6 ff  
LDD (opr) Load Double Accumulator D  
M A,M + 1 B  
IMM  
DIR  
EXT  
IND,X  
IND,Y  
CC jj  
DC dd  
FC hh ll  
EC ff  
18 EC ff  
kk  
3
2
3
2
3
3
4
5
5
6
3-2 - - - - ↕ ↕ 0 -  
4-3  
5-4  
6-6  
7-6  
*Cycle-by-cycle number provides a reference to Tables 10-2 through 10-8 which detail cycle-by-cycle operation.  
Example: Table 10-1 Cycle-by-Cycle column reference number 2-4 equals Table 10-2 line item 2-4.  
CPU, ADDRESSING MODES, AND INSTRUCTION SET  
MC68HC11A8  
TECHNICAL DATA  
10-8  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
Table 10-1 MC68HC11A8 Instructions, Addressing Modes, and Execution Times  
(Sheet 4 of 6)  
Source  
Form(s)  
Operation  
Boolean Expression  
Addressing  
Mode for  
Operand  
Machine Coding  
(Hexadecimal)  
Cycle  
by  
Cycle*  
Condition Codes  
C
B
Opcode Operand(s)  
S X H I N Z V C  
LDS (opr)  
LDX (opr)  
LDY (opr)  
Load Stack Pointer  
M:M + 1 SP  
IMM  
DIR  
EXT  
IND,X  
IND,Y  
8E jj  
9E dd  
BE hh ll  
AE ff  
18 AE ff  
kk  
3
2
3
2
3
3
4
5
5
6
3-2 - - - - ↕ ↕ 0 -  
4-3  
5-4  
6-6  
7-6  
Load Index Register X  
Load Index Register Y  
Logical Shift Left  
M:M + 1 IX  
M:M + 1 IY  
IMM  
DIR  
EXT  
IND,X  
IND,Y  
CE jj kk  
DE dd  
FE hh ll  
EE ff  
3
2
3
2
3
3
4
5
5
6
3-2 - - - - ↕ ↕ 0 -  
4-3  
5-4  
6-6  
7-6  
CD EE ff  
IMM  
DIR  
EXT  
IND,X  
IND,Y  
18 CE jj  
18 DE dd  
18 FE hh ll  
1A EE ff  
18 EE ff  
kk  
4
3
4
3
3
4
5
6
6
6
3-4 - - - - ↕ ↕ 0 -  
4-5  
5-6  
6-7  
7-6  
LSL (opr)  
LSLA  
EXT  
78 hh ll  
68 ff  
18 68 ff  
48  
3
2
3
1
1
6
6
7
2
2
5-8 - - - - ↕ ↕ ↕ ↕  
IND,X  
IND,Y  
A INH  
B INH  
6-3  
3-7  
2-1  
2-1  
0
LSLB  
C
C
b7  
b0  
b0  
58  
LSLD  
Logical Shift Left Double  
Logical Shift Right  
INH  
05  
1
3
2-2 - - - - ↕ ↕ ↕ ↕  
0
b15  
10  
LSR (opr)  
LSRA  
EXT  
74 hh ll  
64 ff  
18 64 ff  
44  
3
2
3
1
1
6
6
7
2
2
5-8 - - - - ↕ ↕ ↕ ↕  
IND,X  
IND,Y  
A INH  
B INH  
6-3  
7-3  
2-1  
2-1  
0
LSRB  
b7  
b0  
C
54  
LSRD  
Logical Shift Right Double  
Multiply 8 by 8  
INH  
04  
1
3
2-2 - - - - 0 ↕ ↕ ↕  
0
b15  
b0  
C
MUL  
AxB D  
INH  
3D  
1
10  
2-13 - - - - - - - ↕  
NEG (opr) 2’s Complement Memory Byte 0 – M M  
EXT  
IND,X  
IND,Y  
70 hh ll  
60 ff  
18 60 ff  
3
2
3
6
6
7
5-8 - - - - ↕ ↕ ↕ ↕  
6-3  
7-3  
NEGA  
NEGB  
NOP  
2’s Complement A  
2’s Complement B  
No Operation  
0 – A A  
A INH  
B INH  
INH  
40  
50  
01  
1
1
1
2
2
2
2-1 - - - - ↕ ↕ ↕ ↕  
2-1 - - - - ↕ ↕ ↕ ↕  
2-1 - - - - - - - -  
0 – B B  
No Operation  
ORAA (opr) OR Accumulator A (Inclusive) A + M A  
A IMM  
A DIR  
A EXT  
A IND,X  
A IND,Y  
8A ii  
9A dd  
BA hh ll  
AA ff  
2
2
3
2
3
2
3
4
4
5
3-1 - - - - ↕ ↕ 0 -  
4-1  
5-2  
6-2  
7-2  
18 AA ff  
ORAB (opr) OR Accumulator B (Inclusive) B + M B  
B IMM  
B DIR  
B EXT  
B IND,X  
B IND,Y  
CA ii  
DA dd  
FA hh ll  
EA ff  
2
2
3
2
3
2
3
4
4
5
3-1 - - - - ↕ ↕ 0 -  
4-1  
5-2  
6-2  
7-2  
18 EA ff  
PSHA  
PSHB  
PSHX  
PSHY  
PULA  
PULB  
PULX  
PULY  
Push A onto Stack  
A Stk, SP = SP–1  
B Stk, SP = SP–1  
IX Stk, SP = SP–2  
IY Stk, SP = SP–2  
SP = SP + 1, AStk  
SP = SP + 1, BStk  
A INH  
B INH  
INH  
36  
37  
1
1
1
2
1
1
1
2
3
3
4
5
4
4
5
6
2-6 - - - - - - - -  
2-6 - - - - - - - -  
2-7 - - - - - - - -  
2-8 - - - - - - - -  
2-9 - - - - - - - -  
2-9 - - - - - - - -  
2-10 - - - - - - - -  
2-11 - - - - - - - -  
Push B onto Stack  
Push X onto Stack (Lo First)  
Push Y onto Stack (Lo First)  
Pull A from Stack  
3C  
INH  
18 3C  
32  
A INH  
B INH  
Pull B from Stack  
33  
Pull X from Stack (Hi First)  
Pull Y from Stack (Hi First)  
SP = SP + 2, IXStk INH  
SP = SP + 2, IYStk INH  
EXT  
38  
18 38  
ROL (opr) Rotate Left  
79 hh ll  
69 ff  
18 69 ff  
49  
3
2
3
1
1
6
6
7
2
2
5-8 - - - - ↕ ↕ ↕ ↕  
IND,X  
6-3  
7-3  
2-1  
2-1  
IND,Y  
A INH  
B INH  
ROLA  
ROLB  
C
b7  
b0  
C
59  
*Cycle-by-cycle number provides a reference to Tables 10-2 through 10-8 which detail cycle-by-cycle operation.  
Example: Table 10-1 Cycle-by-Cycle column reference number 2-4 equals Table 10-2 line item 2-4.  
CPU, ADDRESSING MODES, AND INSTRUCTION SET  
MOTOROLA  
10-9  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
Table 10-1 MC68HC11A8 Instructions, Addressing Modes, and Execution Times  
(Sheet 5 of 6)  
Source  
Form(s)  
Operation  
Boolean Expression  
Addressing  
Mode for  
Operand  
Machine Coding  
(Hexadecimal)  
Cycle  
by  
Cycle*  
Condition Codes  
C
B
Opcode Operand(s)  
S X H I N Z V C  
ROR (opr) Rotate Right  
EXT  
76 hh ll  
66 ff  
18 66 ff  
46  
3
2
3
1
1
6
6
7
2
2
5-8 - - - - ↕ ↕ ↕ ↕  
IND,X  
IND,Y  
A INH  
B INH  
6-3  
7-3  
2-1  
2-1  
RORA  
RORB  
C
b7  
b0  
C
56  
RTI  
Return from Interrupt  
See Special Ops  
See Special Ops  
A – B A  
INH  
INH  
INH  
3B  
39  
10  
1
1
1
12  
5
2-14  
↕ ↕ ↕ ↕ ↕ ↕  
RTS  
SBA  
Return from Subroutine  
Subtract B from A  
2-12 - - - - - - - -  
2
2-1 - - - - ↕ ↕ ↕ ↕  
SBCA (opr) Subtract with Carry from A  
A – M – C A  
A IMM  
A DIR  
A EXT  
A IND,X  
A IND,Y  
82 ii  
92 dd  
B2 hh ll  
A2 ff  
2
2
3
2
3
2
3
4
4
5
3-1 - - - - ↕ ↕ ↕ ↕  
4-1  
5-2  
6-2  
7-2  
18 A2 ff  
SBCB (opr) Subtract with Carry from B  
B – M – C B  
B IMM  
B DIR  
B EXT  
B IND,X  
B IND,Y  
C2 ii  
D2 dd  
F2 hh ll  
E2 ff  
2
2
3
2
3
2
3
4
4
5
3-1 - - - - ↕ ↕ ↕ ↕  
4-1  
5-2  
6-2  
7-2  
18 E2 ff  
SEC  
SEI  
Set Carry  
1 C  
1 I  
INH  
INH  
INH  
OD  
OF  
OB  
1
1
1
2
2
2
2-1  
2-1  
2-1  
- - - - - - - 1  
- - - 1 - - - -  
- - - - - - 1 -  
Set Interrupt Mask  
Set Overflow Flag  
SEV  
1 V  
A M  
STAA (opr) Store Accumulator A  
STAB (opr) Store Accumulator B  
STD (opr) Store Accumulator D  
A DIR  
A EXT  
A IND,X  
A IND,Y  
97 dd  
B7 hh ll  
A7 ff  
2
3
2
3
3
4
4
5
4-2 - - - - ↕ ↕ 0 -  
10  
5-3  
6-5  
7-5  
18 A7 ff  
B M  
B DIR  
B EXT  
B IND,X  
B IND,Y  
D7 dd  
F7 hh ll  
E7 ff  
2
3
2
3
3
4
4
5
4-2 - - - - ↕ ↕ 0 -  
5-3  
6-5  
7-5  
18 E7 ff  
A M, B M + 1  
DIR  
EXT  
IND,X  
IND,Y  
DD dd  
FD hh ll  
ED ff  
2
3
2
3
4
5
5
6
4-4 - - - - ↕ ↕ 0 -  
5-5  
6-8  
7-7  
18 ED ff  
STOP  
Stop Internal Clocks  
Store Stack Pointer  
INH  
CF  
1
2
2-1 - - - - - - - -  
STS (opr)  
SP M:M + 1  
IX M:M + 1  
IY M:M + 1  
A – M A  
DIR  
EXT  
IND,X  
IND,Y  
9F dd  
BF hh ll  
AF ff  
2
3
2
3
4
5
5
6
4-4 - - - - ↕ ↕ 0 -  
5-5  
6-8  
7-7  
18 AF ff  
STX (opr)  
STY (opr)  
Store Index Register X  
Store Index Register Y  
DIR  
EXT  
IND,X  
IND,Y  
DF dd  
FF hh ll  
EF ff  
2
3
2
3
4
5
5
6
4-4 - - - - ↕ ↕ 0 -  
5-5  
6-8  
7-7  
CD EF ff  
DIR  
EXT  
IND,X  
IND,Y  
18 DF dd  
18 FF hh ll  
1A EF ff  
3
4
3
3
5
6
6
6
4-6 - - - - ↕ ↕ 0 -  
5-7  
6-9  
7-7  
18 EF ff  
SUBA (opr) Subtract Memory from A  
SUBB (opr) Subtract Memory from B  
SUBD (opr) Subtract Memory from D  
A IMM  
A DIR  
A EXT  
A IND,X  
A IND,Y  
80 ii  
90 dd  
B0 hh lI  
A0 ff  
2
2
3
2
3
2
3
4
4
5
3-1 - - - - ↕ ↕ ↕ ↕  
4-1  
5-2  
6-2  
7-2  
18 A0 ff  
B – M B  
B IMM  
B DIR  
B EXT  
B IND,X  
B IND,Y  
C0 ii  
D0 dd  
F0 hh ll  
E0 ff  
2
2
3
2
3
2
3
4
4
5
3-1 - - - - ↕ ↕ ↕ ↕  
4-1  
5-2  
6-2  
7-2  
18 E0 ff  
D – M:M + 1D  
IMM  
DlR  
EXT  
IND,X  
IND,Y  
83 jj  
93 dd  
B3 hh ll  
A3 ff  
18 A3 ff  
kk  
3
2
3
2
3
4
5
6
6
7
3-3 - - - - ↕ ↕ ↕ ↕  
4-7  
5-10  
6-10  
7-8  
SWI  
TAB  
TAP  
TBA  
Software Interrupt  
Transfer A to B  
See Special Ops  
A B  
INH  
INH  
INH  
INH  
3F  
16  
06  
17  
1
1
1
1
14  
2
2-15 - - - 1- - - -  
2-1 - - - - ↕ ↕ 0 -  
Transfer A to CC Register  
Transfer B to A  
A CCR  
B A  
2
2-1  
↕ ↕ ↕ ↕ ↕ ↕  
2
2-1 - - - - ↕ ↕ 0 -  
*Cycle-by-cycle number provides a reference to Tables 10-2 through 10-8 which detail cycle-by-cycle operation.  
Example: Table 10-1 Cycle-by-Cycle column reference number 2-4 equals Table 10-2 line item 2-4.  
CPU, ADDRESSING MODES, AND INSTRUCTION SET  
MC68HC11A8  
TECHNICAL DATA  
10-10  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
Table 10-1 MC68HC11A8 Instructions, Addressing Modes, and Execution Times  
(Sheet 6 of 6)  
Source  
Form(s)  
Operation  
Boolean Expression  
Addressing  
Mode for  
Operand  
Machine Coding  
(Hexadecimal)  
Cycle  
by  
Cycle*  
Condition Codes  
C
B
Opcode Operand(s)  
S X H I N Z V C  
TEST  
TEST (Only in Test Modes)  
Transfer CC Register to A  
Test for Zero or Minus  
Address Bus Counts  
CCR A  
INH  
00  
07  
1
1
**  
2
2-20 - - - - - - - -  
2-1 - - - - - - - -  
TPA  
INH  
TST (opr)  
M – 0  
EXT  
IND,X  
IND,Y  
7D hh ll  
6D ff  
18 6D ff  
3
2
3
6
6
7
5-9 - - - - ↕ ↕ 0 0  
6-4  
7-4  
TSTA  
TSTB  
TSX  
A – 0  
A INH  
B INH  
INH  
4D  
5D  
1
1
1
2
1
2
1
1
2
2
2
2-1 - - - - ↕ ↕ 0 0  
2-1 - - - - ↕ ↕ 0 0  
2-3 - - - - - - - -  
2-5 - - - - - - - -  
2-2 - - - - - - - -  
2-4 - - - - - - - -  
2-16 - - - - - - - -  
2-2 - - - - - - - -  
2-4 - - - - - - - -  
B – 0  
Transfer Stack Pointer to X  
Transfer Stack Pointer to Y  
Transfer X to Stack Pointer  
Transfer Y to Stack Pointer  
Wait for Interrupt  
SP + 1 IX  
SP + 1 IY  
IX – 1 SP  
IY – 1 SP  
Stack Regs & WAlT  
IX D, D IX  
IY D, D IY  
30  
3
TSY  
INH  
18 30  
35  
4
TXS  
INH  
3
TYS  
INH  
18 35  
3E  
4
WAI  
INH  
***  
3
XGDX  
XGDY  
Exchange D with X  
INH  
8F  
Exchange D with Y  
INH  
18 8F  
4
*Cycle-by-cycle number provides a reference to Tables 10-2 through 10-8 which detail cycle-by-cycle operation.  
Example: Table 10-1 Cycle-by-Cycle column reference number 2-4 equals Table 10-2 line item 2-4.  
**Infinity or Until Reset Occurs  
***12 Cycles are used beginning with the opcode fetch. A wait state is entered which remains in effect for an integer  
number of MPU E-clock cycles (n) until an interrupt is recognized. Finally, two additional cycles are used to fetch  
the appropriate interrupt vector (14 + n total).  
10  
dd  
ff  
= 8-Bit Direct Address ($0000 –$00FF) (High Byte Assumed to be $00)  
= 8-Bit Positive Offset $00 (0) to $FF (255) (Is Added to Index)  
= High Order Byte of 16-Bit Extended Address  
= One Byte of Immediate Data  
hh  
ii  
jj  
= High Order Byte of 16-Bit Immediate Data  
kk  
ll  
= Low Order Byte of 16-Bit Immediate Data  
= Low Order Byte of 16-Bit Extended Address  
mm = 8-Bit Bit Mask (Set Bits to be Affected)  
rr  
= Signed Relative Offset $80 (– 128) to $7F (+ 127)  
(Offset Relative to the Address Following the Machine Code Offset Byte)  
CPU, ADDRESSING MODES, AND INSTRUCTION SET  
MOTOROLA  
10-11  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
JSR, JUMP TO SUBROUTINE  
WAI, WAIT FOR INTERRUPT  
STACK  
STACK  
7
0
7
0
MAIN PROGRAM  
INTERRUPT ROUTINE  
PC  
SP  
SP+1  
PC  
SP  
SP+1  
$9D = JSR  
dd  
NEXT MAIN INSTR.  
$3E = WAI  
DIRECT  
INDEXED, X  
INDEXED, Y  
CCR  
ACCB  
ACCA  
CCR  
ACCB  
ACCA  
RTN  
SP+2  
SP+2  
SP+3  
SP+3  
MAIN PROGRAM  
SP+4  
SP+4  
IX  
IX  
H
H
PC  
$AD = JSR  
SP+5  
SP+5  
IX  
IX  
L
L
ff  
SP+6  
SP+6  
IY  
IY  
H
H
RTN  
NEXT MAIN INSTR.  
SP+7  
SP+7  
IY  
IY  
L
L
SP+8  
SP+8  
RTN  
RTN  
RTN  
H
H
MAIN PROGRAM  
RTN  
L
SP+9  
SP+9  
L
PC  
$18 = PRE  
$AD = JSR  
ff  
SWI, SOFTWARE INTERRUPT  
RTN  
STACK  
7
0
MAIN PROGRAM  
NEXT MAIN INSTR.  
PC  
SP–9  
SP–8  
SP–7  
SP–6  
SP–5  
SP–4  
SP–3  
SP–2  
SP–1  
SP  
$3F = SWI  
CCR  
ACCB  
ACCA  
MAIN PROGRAM  
PC  
$BD = PRE  
hh  
ll  
INDEXED, Y  
IX  
H
RTN  
WAI, WAIT FOR INTERRUPT  
IX  
L
NEXT MAIN INSTR.  
MAIN PROGRAM  
IY  
H
PC  
IY  
$3E = WAI  
L
10  
JMP, JUMP  
MAIN PROGRAM  
RTN  
H
RTN  
L
PC  
$6E = JMP  
ff  
BSR, BRANCH TO SUBROUTINE  
INDEXED, X  
STACK  
7
0
MAIN PROGRAM  
PC  
SP–2  
SP–1  
SP  
$8D = BSR  
X + ff  
PC  
NEXT MAIN INSTR.  
MAIN PROGRAM  
RTN  
H
RTN  
L
$18 = PRE  
$6E = JMP  
ff  
RTS, RETURN FROM  
SUBROUTINE  
INDEXED, Y  
STACK  
7
0
MAIN PROGRAM  
$39 = RTS  
PC  
SP  
SP+1  
X + ff  
PC  
NEXT MAIN INSTR.  
MAIN PROGRAM  
RTN  
H
SP+2  
RTN  
L
$7E = JMP  
LEGEND:  
hh  
ll  
RTN = ADDRESS OF NEXT INSTRUCTION IN MAIN PROGRAM TO  
BE EXECUTED UPON RETURN FROM SUBROUTINE  
EXTENDED  
RTNH = MOST SIGNIFICANT BYTE OF RETURN ADDRESS  
RTNL = LEAST SIGNIFICANT BYTE OF RETURN ADDRESS  
= STACK POINTER POSITION AFTER OPERATION IS COMPLETE  
dd = 8-BIT DIRECT ADDRESS ($0000–$00FF) (HIGH BYTE ASSUMED  
TO BE $00)  
hh ll  
NEXT MAIN INSTR.  
ff = 8-BIT POSITIVE OFFSET $00 (0) TO $FF (256) IS ADDED TO INDEX  
hh = HIGH-ORDER BYTE OF 16-BIT EXTENDED ADDRESS  
ll = LOW-ORDER BYTE OF 16-BIT EXTENDED ADDRESS  
rr= SIGNED RELATIVE OFFSET $80 (–128) TO $7F (+127) (OFFSET  
RELATIVE TO THE ADDRESS FOLLOWING THE MACHINE CODE  
OFFSET BYTE)  
Figure 10-2 Special Operations  
CPU, ADDRESSING MODES, AND INSTRUCTION SET  
MC68HC11A8  
10-12  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
Table 10-2 Cycle-by-Cycle Operation — Inherent Mode (Sheet 1 of 4)  
Reference  
Number*  
Address Mode  
and Instructions  
Cycles Cycle  
#
Address Bus  
Data Bus  
R/W  
Line  
2-1  
ABA, ASLA, ASLB,  
ASRA, ASRB, CBA,  
CLC, CLI, CLRA,  
2
1
2
Opcode Address  
Opcode Address + 1  
1
1
Opcode  
Irrelevant Data  
CLRB, CLV, COMA,  
COMB, DAA, DECA,  
DECB, INCA, INCB,  
LSLA, LSLB, LSRA,  
LSRB, NEGA, NEGB,  
NOP, ROLA, ROLB,  
RORA, RORB, SBA,  
SEC, SEI, SEV, STOP,  
TAB, TAP, TBA, TPA,  
TSTA, TSTB  
2-2  
2-3  
2-4  
ABX, ASLD, DEX, INX,  
LSLD, LSRD, TXS,  
XGDX  
3
3
4
1
2
3
Opcode Address  
Opcode Address + 1  
$FFFF  
1
1
1
Opcode  
Irrelevant Data  
Irrelevant Data  
DES, INS, TSX  
1
2
3
Opcode Address  
Opcode Address + 1  
Previous SP Value  
1
1
1
Opcode  
Irrelevant Data  
Irrelevant Data  
ABY, DEY, INY,  
TYS, XGDY  
1
Opcode Address  
1
Opcode (Page Select Byte)  
($18)  
Opcode (Second Byte)  
Irrelevant Data  
10  
2
3
4
Opcode Address + 1  
Opcode Address + 2  
$FFFF  
1
1
1
Irrelevant Data  
2-5  
TSY  
4
1
Opcode Address  
1
Opcode (Page Select Byte)  
($18)  
2
3
4
Opcode Address + 1  
Opcode Address + 2  
Stack Pointer  
1
1
1
Opcode (Second Byte) ($30)  
Irrelevant Data  
Irrelevant Data  
2-6  
2-7  
PSHA, PSHB  
PSHX  
3
4
1
2
3
Opcode Address  
Opcode Address + 1  
Stack Pointer  
1
1
0
Opcode  
Irrelevant Data  
Accumulator Data  
1
2
3
4
Opcode Address  
Opcode Address + 1  
Stack Pointer  
1
1
0
0
Opcode ($3C)  
Irrelevant Data  
IXL (Low Byte) to Stack  
IXH (High Byte) to Stack  
Stack Pointer – 1  
2-8  
PSHY  
5
1
Opcode Address  
1
Opcode (Page Select Byte)  
($18)  
Opcode (Second Byte) ($3C)  
Irrelevant Data  
IXL (Low Byte) to Stack  
IXH (High Byte) to Stack  
2
3
4
5
Opcode Address + 1  
Opcode Address + 2  
Stack Pointer  
1
1
0
0
Stack Pointer – 1  
2-9  
PULA, PULB  
PULX  
4
5
1
2
3
4
Opcode Address  
Opcode Address + 1  
Stack Pointer  
1
1
1
1
Opcode  
Irrelevant Data  
Irrelevant Data  
Operand Data from Stack  
Stack Pointer + 1  
2-10  
1
2
3
4
5
Opcode Address  
Opcode Address + 1  
Stack Pointer  
Stack Pointer + 1  
Stack Pointer + 2  
1
1
1
1
1
Opcode ($38)  
Irrelevant Data  
Irrelevant Data  
IXH (High Byte) from Stack  
IXL (Low Byte) from Stack  
* The reference number is given to provide a cross-reference to Table 10-1.  
CPU, ADDRESSING MODES, AND INSTRUCTION SET  
MOTOROLA  
10-13  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
Table 10-2 Cycle-by-Cycle Operation — Inherent Mode (Sheet 2 of 4)  
Reference  
Number*  
Address Mode  
and Instructions  
Cycles Cycle  
#
Address Bus  
Data Bus  
R/W  
Line  
2-11  
2-12  
2-13  
PULY  
6
1
Opcode Address  
1
Opcode (Page Select Byte)  
($18)  
Opcode (Second Byte) ($38)  
Irrelevant Data  
Irrelevant Data  
IYH (High Byte) from Stack  
IYH (Low Byte) from Stack  
2
3
4
5
6
Opcode Address + 1  
Opcode Address + 2  
Stack Pointer  
Stack Pointer + 1  
Stack Pointer + 2  
1
1
1
1
1
RTS  
MUL  
5
1
2
3
4
Opcode Address  
Opcode Address + 1  
Stack Pointer  
1
1
1
1
Opcode ($39)  
Irrelevant Data  
Irrelevant Data  
Address of Next Instruction  
(High Byte)  
Address of Next Instruction  
(Low Byte)  
Stack Pointer +1  
5
Stack Pointer + 2  
1
10  
1
2
3
4
5
6
7
8
9
Opcode Address  
Opcode Address + 1  
$FFFF  
$FFFF  
$FFFF  
$FFFF  
$FFFF  
$FFFF  
$FFFF  
1
1
1
1
1
1
1
1
1
1
Opcode ($3D)  
Irrelevant Data  
Irrelevant Data  
Irrelevant Data  
Irrelevant Data  
Irrelevant Data  
Irrelevant Data  
Irrelevant Data  
Irrelevant Data  
Irrelevant Data  
10  
10 $FFFF  
2-14  
RTI  
12  
1
2
3
4
Opcode Address  
Opcode Address + 1  
Stack Pointer  
1
1
1
1
Opcode ($3B)  
Irrelevant Data  
Irrelevant Data  
Condition Code Register from  
Stack  
Stack Pointer + 1  
5
6
7
8
9
Stack Pointer + 2  
Stack Pointer + 3  
Stack Pointer + 4  
Stack Pointer + 5  
Stack Pointer + 6  
1
1
1
1
1
1
1
B Accumulator from Stack  
A Accumulator from Stack  
IXH (High Byte) from Stack  
IXL (Low Byte) from Stack  
IYH (High Byte) from Stack  
IYL (Low Byte) from Stack  
Address of Next Instruction  
(High Byte)  
10 Stack Pointer + 7  
11 Stack Pointer + 8  
12 Stack Pointer + 9  
1
Address of Next Instruction  
(Low Byte)  
2-15  
SWI  
14  
1
2
3
4
5
6
7
8
9
Opcode Address  
Opcode Address + 1  
Stack Pointer  
Stack Pointer – 1  
Stack Pointer – 2  
Stack Pointer – 3  
Stack Pointer – 4  
Stack Pointer – 5  
Stack Pointer – 6  
1
1
0
0
0
0
0
0
0
0
0
Opcode ($3F)  
Irrelevant Data  
Return Address (Low Byte)  
Return Address (High Byte)  
IYL (Low Byte) to Stack  
IYH (High Byte) to Stack  
IXL (Low Byte) to Stack  
IXH (High Byte) to Stack  
A Accumulator to Stack  
B Accumulator to Stack  
Condition Code Register to  
Stack  
10 Stack Pointer – 7  
11 Stack Pointer – 8  
12 Stack Pointer – 8  
13 Address of SWI  
Vector (First Location)  
14 Address of Vector + 1  
(Second Location)  
1
1
Irrelevant Data  
SWI Service Routine Address  
(High Byte)  
SWI Service Routine Address  
(Low Byte)  
1
* The reference number is given to provide a cross-reference to Table 10-1.  
CPU, ADDRESSING MODES, AND INSTRUCTION SET  
MC68HC11A8  
10-14  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
Table 10-2 Cycle-by-Cycle Operation — Inherent Mode (Sheet 3 of 4)  
Reference  
Number*  
Address Mode  
and Instructions  
Cycles Cycle  
#
Address Bus  
Data Bus  
R/W  
Line  
2-16  
WAI  
14 + n  
1
2
3
4
5
6
7
8
9
Opcode Address  
Opcode Address + 1  
Stack Pointer  
Stack Pointer – 1  
Stack Pointer – 2  
Stack Pointer – 3  
Stack Pointer – 4  
Stack Pointer – 5  
Stack Pointer – 6  
1
1
0
0
0
0
0
0
0
0
0
Opcode ($3E)  
Irrelevant Data  
Return Address (Low Byte)  
Return Address (High Byte)  
IYL (Low Byte) to Stack  
IYH (High Byte) to Stack  
IXL (Low Byte) to Stack  
IXH (High Byte) to Stack  
A Accumulator to Stack  
B Accumulator to Stack  
Condition Code Register to  
Stack  
10 Stack Pointer – 7  
11 Stack Pointer – 8  
12 to  
n + 12 Stack Pointer – 8  
n + 13 Address of Vector  
(First Location)  
1
1
Irrelevant Data  
Service Routine Address (High  
Byte)  
n + 14 Address of Vector + 1  
(Second Location)  
1
Service Routine Address (Low  
Byte)  
2-17  
2-18  
FDIV, IDIV  
41  
15  
1
2
Opcode Address  
Opcode Address + 1  
1
1
1
Opcode  
Irrelevant Data  
Irrelevant Data  
3 – 41 $FFFF  
Page 1 Illegal  
Opcodes  
1
2
3
4
5
6
7
8
9
Opcode Address  
Opcode Address + 1  
$FFFF  
1
1
1
0
0
0
0
0
0
0
0
0
Opcode (Illegal)  
Irrelevant Data  
Irrelevant Data  
10  
Stack Pointer  
Return Address (Low Byte)  
Return Address (High Byte)  
IYL (Low Byte) to Stack  
IYH (High Byte) to Stack  
IXL (Low Byte) to Stack  
IXH (High Byte) to Stack  
A Accumulator  
B Accumulator  
Condition Code Register to  
Stack  
Stack Pointer – 1  
Stack Pointer – 2  
Stack Pointer – 3  
Stack Pointer – 4  
Stack Pointer – 5  
10 Stack Pointer – 6  
11 Stack Pointer – 7  
12 Stack Pointer – 8  
13 Stack Pointer – 8  
14 Address of Vector  
(First Location)  
1
1
Irrelevant Data  
Service Routine Address  
(High Byte)  
15 Address of Vector + 1  
(Second Location)  
1
Service Routine Address  
(Low Byte)  
2-19  
Pages 2, 3, or 4  
16  
1
2
3
4
5
6
7
8
9
Opcode Address  
Opcode Address + 1  
Opcode Address + 2  
$FFFF  
1
1
1
1
0
0
0
0
0
0
0
0
0
Opcode (Legal Page Select)  
Opcode (Illegal Second Byte)  
Irrelevant Data  
Illegal Opcodes  
Irrelevant Data  
Stack Pointer  
Return Address (Low Byte)  
Return Address (High Byte)  
IYL (Low Byte) to Stack  
IYH (High Byte) to Stack  
IXL (Low Byte) to Stack  
IXH (High Byte) to Stack  
A Accumulator  
B Accumulator  
Condition Code Register to  
Stack  
Stack Pointer – 1  
Stack Pointer – 2  
Stack Pointer – 3  
Stack Pointer – 4  
10 Stack Pointer – 5  
11 Stack Pointer – 6  
12 Stack Pointer – 7  
13 Stack Pointer – 8  
14 Stack Pointer – 8  
15 Address of Vector  
(First Location)  
1
1
Irrelevant Data  
Service Routine Address  
(High Byte)  
16 Address of Vector + 1  
(Second Location)  
1
Service Routine Address  
(Low Byte)  
* The reference number is given to provide a cross-reference to Table 10-1.  
CPU, ADDRESSING MODES, AND INSTRUCTION SET  
MOTOROLA  
10-15  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
Table 10-2 Cycle-by-Cycle Operation — Inherent Mode (Sheet 4 of 4)  
Reference  
Number*  
Address Mode  
and Instructions  
Cycles Cycle  
#
Address Bus  
Data Bus  
R/W  
Line  
2-20  
TEST  
Infinite  
1
2
3
4
Opcode Address  
1
1
1
1
1
Opcode ($00)  
Opcode Address + 1  
Opcode Address + 1  
Opcode Address + 2  
Irrelevant Data  
Irrelevant Data  
Irrelevant Data  
Irrelevant Data  
5 – n Previous Address + 1  
* The reference number is given to provide a cross-reference to Table 10-1.  
Table 10-3 Cycle-by-Cycle Operation — Immediate Mode  
Reference  
Number*  
Address Mode  
and Instructions  
Cycles Cycle  
#
Address Bus  
Data Bus  
R/W  
Line  
3-1  
ADCA, ADCB, ADDA,  
ADDB, ANDA, ANDB,  
BITA, BITB, CMPA,  
CMPB, EORA, EORB,  
LDAA, LDAB, ORAA,  
ORAB, SBCA, SBCB,  
SUBA, SUBB,  
2
1
2
Opcode Address  
Opcode Address + 1  
1
1
Opcode  
Operand Data  
3-2  
3-3  
LDD, LDS, LDX  
3
4
1
2
3
Opcode Address  
Opcode Address + 1  
Opcode Address + 2  
1
1
1
Opcode  
Operand Data (High Byte)  
Operand Data (Low Byte)  
10  
ADDD, CPX, SUBD  
1
2
3
4
Opcode Address  
Opcode Address + 1  
Opcode Address + 2  
$FFFF  
1
1
1
1
Opcode  
Operand Data (High Byte)  
Operand Data (Low Byte)  
Irrelevant Data  
3-4  
3-5  
LDY  
4
5
1
Opcode Address  
1
Opcode (Page Select Byte)  
($18)  
Opcode (Second Byte) ($EC)  
Operand Data (High Byte)  
Operand Data (Low Byte)  
2
3
4
Opcode Address + 1  
Opcode Address + 2  
Opcode Address + 3  
1
1
1
CPD, CPY  
1
2
3
4
5
Opcode Address  
Opcode Address + 1  
Opcode Address + 2  
Opcode Address + 3  
$FFFF  
1
1
1
1
1
Opcode (Page Select Byte)  
Opcode (Second Byte)  
Operand Data (High Byte)  
Operand Data (Low Byte)  
Irrelevant Data  
*The reference number is given to provide a cross-reference to Table 10-1.  
Table 10-4 Cycle-by-Cycle Operation — Direct Mode (Sheet 1 of 2)  
Reference  
Number*  
Address Mode  
and Instructions  
Cycles Cycle  
#
Address Bus  
Data Bus  
R/W  
Line  
4-1  
ADCA, ADCB, ADDA,  
ADDB, ANDA, ANDB,  
BITA, BITB, CMPA,  
CMPB, EORA, EORB,  
LDAA, LDAB, ORAA,  
ORAB, SBCA, SBCB,  
SUBA, SUBB  
3
1
2
Opcode Address  
Opcode Address + 1  
1
1
Opcode  
Operand Address (Low Byte)  
(High Byte Assumed to be $00)  
Operand Data  
3
Operand Address  
1
4-2  
STAA, STAB  
3
1
2
Opcode Address  
Opcode Address + 1  
1
1
Opcode  
Operand Address (Low Byte)  
(High Byte Assumed to be $00)  
Data from Accumulator  
3
Operand Address  
0
*The reference number is given to provide a cross-refrerence to Table 10-1.  
CPU, ADDRESSING MODES, AND INSTRUCTION SET  
MC68HC11A8  
10-16  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
Table 10-4 Cycle-by-Cycle Operation — Direct Mode (Sheet 2 of 2)  
Reference  
Number*  
Address Mode  
and Instructions  
Cycles Cycle  
#
Address Bus  
Data Bus  
R/W  
Line  
4-3  
4-4  
4-5  
LDD, LDS, LDX  
STD, STS, STX  
LDY  
4
4
5
1
2
Opcode Address  
Opcode Address + 1  
1
1
Opcode  
Operand Address (Low Byte)  
(High Byte Assumed to be $00)  
Operand Data (High Byte)  
Operand Data (Low Byte)  
3
4
Operand Address  
Operand Address + 1  
1
1
1
2
Opcode Address  
Opcode Address + 1  
1
1
Opcode  
Operand Address (Low Byte)  
(High Byte Assumed to be $00)  
Register Data (High Byte)  
Register Data (Low Byte)  
3
4
Operand Address  
Operand Address + 1  
0
0
1
Opcode Address  
1
Opcode (Page Select Byte)  
($18)  
2
3
Opcode Address + 1  
Opcode Address + 2  
1
1
Opcode (Second Byte) ($DE)  
Operand Address (Low Byte)  
(High Byte Assumed to be $00)  
Operand Data (High Byte)  
Operand Data (Low Byte)  
4
5
Operand Address  
Operand Address + 1  
1
1
4-6  
STY  
5
1
Opcode Address  
1
Opcode (Page Select Byte)  
($18)  
Opcode (Second Byte) ($DF)  
Operand Address (Low Byte)  
(High Byte Assumed to be $00)  
Register Data (High Byte)  
Register Data (Low Byte)  
2
3
Opcode Address + 1  
Opcode Address + 2  
1
1
10  
4
5
Operand Address  
Operand Address + 1  
0
0
4-7  
4-8  
4-9  
ADDD, CPX, SUBD  
5
5
6
1
2
Opcode Address  
Opcode Address + 1  
1
1
Opcode  
Operand Address (Low Byte)  
(High Byte Assumed to be $00)  
Operand Data (High Byte)  
Operand Data (Low Byte)  
Irrelevant Data  
3
4
5
Operand Address  
Operand Address + 1  
$FFFF  
1
1
1
JSR  
1
2
Opcode Address  
Opcode Address + 1  
1
1
Opcode ($9D)  
Subroutine Address (Low Byte)  
(High Byte Assumed to be $00)  
First Subroutine Opcode  
Return Address (Low Byte)  
Return Address (High Byte)  
3
4
5
Subroutine Address  
Stack Pointer  
Stack Pointer – 1  
1
0
0
CPD, CPY  
1
2
3
Opcode Address  
Opcode Address + 1  
Opcode Address + 2  
1
1
1
Opcode (Page Select Byte)  
Opcode (Second Byte)  
Operand Address (Low Byte)  
(High Byte Assumed to be $00)  
Operand Data (High Byte)  
Operand Data (Low Byte)  
Irrelevant Data  
4
5
6
Operand Address  
Operand Address + 1  
$FFFF  
1
1
1
4-10  
4-11  
BCLR, BSET  
6
6
1
2
Opcode Address  
Opcode Address + 1  
1
1
Opcode  
Operand Address (Low Byte)  
(High Byte Assumed to be $00)  
Original Operand Data  
Mask Byte  
Irrelevant Data  
Result Operand Data  
3
4
5
6
Operand Address  
Opcode Address + 2  
$FFFF  
1
1
1
0
Operand Address  
BRCLR, BRSET  
1
2
Opcode Address  
Opcode Address + 1  
1
1
Opcode  
Operand Address (Low Byte)  
(High Byte Assumed to be $00)  
Original Operand Data  
Mask Byte  
Branch Offset  
Irrelevant Data  
3
4
5
6
Operand Address  
Opcode Address + 2  
Opcode Address + 3  
$FFFF  
1
1
1
1
*The reference number is given to provide a cross-refrerence to Table 10-1.  
CPU, ADDRESSING MODES, AND INSTRUCTION SET  
MOTOROLA  
10-17  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
Table 10-5 Cycle-by-Cycle Operation — Extended Mode (Sheet 1 of 2)  
Reference  
Number*  
Address Mode  
and Instructions  
Cycles Cycle  
#
Address Bus  
Data Bus  
R/W  
Line  
5-1  
JMP  
3
1
2
3
Opcode Address  
Opcode Address + 1  
Opcode Address + 2  
1
1
1
Opcode ($7E)  
Jump Address (High Byte)  
Jump Address (Low Byte)  
5-2  
ADCA, ADCB, ADDA,  
ADDB, ANDA, ANDB,  
BITA, BITB, CMPA,  
CMPB, EORA, EORB,  
LDAA, LDAB, ORAA  
ORAB, SBCA, SBCB,  
SUBA, SUBB  
4
1
2
3
4
Opcode Address  
1
1
1
1
Opcode  
Opcode Address + 1  
Opcode Address + 2  
Operand Address  
Operand Address (High Byte)  
Operand Address (Low Byte)  
Operand Data  
5-3  
5-4  
STAA, STAB  
4
5
1
2
3
4
Opcode Address  
1
1
1
0
Opcode  
Opcode Address + 1  
Opcode Address + 2  
Operand Address  
Operand Address (High Byte)  
Operand Address (Low Byte)  
Accumulator Data  
LDD, LDS, LDX  
1
2
3
4
5
Opcode Address  
1
1
1
1
1
Opcode  
Opcode Address + 1  
Opcode Address + 2  
Operand Address  
Operand Address (High Byte)  
Operand Address (Low Byte)  
Operand Data (High Byte)  
Operand Data (Low Byte)  
Operand Address + 1  
5-5  
5-6  
STD, STS, STX  
5
6
1
2
3
4
5
Opcode Address  
1
1
1
0
0
Opcode  
10  
Opcode Address + 1  
Opcode Address + 2  
Operand Address  
Operand Address (High Byte)  
Operand Address (Low Byte)  
Register Data (High Byte)  
Register Data (Low Byte)  
Operand Address + 1  
LDY  
1
Opcode Address  
1
Opcode (Page Select Byte)  
($18)  
2
3
4
5
6
Opcode Address + 1  
Opcode Address + 2  
Opcode Address + 3  
Operand Address  
1
1
1
1
1
Opcode (Second Byte) ($FE)  
Operand Address (High Byte)  
Operand Address (Low Byte)  
Operand Data (High Byte)  
Operand Data (Low Byte)  
Operand Address + 1  
5-7  
STY  
6
1
Opcode Address  
1
Opcode (Page Select Byte)  
($18)  
Opcode (Second Byte) ($FF)  
Operand Address (High Byte)  
Operand Address (Low Byte)  
Register Data (High Byte)  
Register Data (Low Byte)  
2
3
4
5
6
Opcode Address + 1  
Opcode Address + 2  
Opcode Address + 3  
Operand Address  
1
1
1
0
0
Operand Address + 1  
5-8  
5-9  
ASL, ASR, CLR,  
COM, DEC, INC,  
LSL, LSR, NEG,  
ROL, ROR  
6
6
6
1
2
3
4
5
6
Opcode Address  
Opcode Address + 1  
Opcode Address + 2  
Operand Address  
$FFFF  
1
1
1
1
1
0
Opcode  
Operand Address (High Byte)  
Operand Address (Low Byte)  
Original Operand Data  
Irrelevant Data  
Operand Address  
Result Operand Data  
TST  
1
2
3
4
5
6
Opcode Address  
Opcode Address + 1  
Opcode Address + 2  
Operand Address  
$FFFF  
1
1
1
1
1
1
Opcode ($7D)  
Operand Address (High Byte)  
Operand Address (Low Byte)  
Original Operand Data  
Irrelevant Data  
$FFFF  
Irrelevant Data  
5-10  
ADDD, CPX, SUBD  
1
2
3
4
5
6
Opcode Address  
Opcode Address + 1  
Opcode Address + 2  
Operand Address  
Operand Address + 1  
$FFFF  
1
1
1
1
1
1
Opcode  
Operand Address (High Byte)  
Operand Address (Low Byte)  
Operand Data (High Byte)  
Operand Data (Low Byte)  
Irrelevant Data  
*The reference number is given to provide a cross-reference to Table 10-1.  
CPU, ADDRESSING MODES, AND INSTRUCTION SET  
MC68HC11A8  
10-18  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
Table 10-5 Cycle-by-Cycle Operation — Extended Mode (Sheet 2 of 2)  
Reference  
Number*  
Address Mode  
and Instructions  
Cycles Cycle  
#
Address Bus  
Data Bus  
R/W  
Line  
5-11  
CPD, CPY  
7
1
2
3
4
5
6
7
Opcode Address  
Opcode Address + 1  
Opcode Address + 2  
Opcode Address + 3  
Operand Address  
Operand Address + 1  
$FFFF  
1
1
1
1
1
1
1
Opcode (Page Select Byte)  
Opcode (Second Byte)  
Operand Address (High Byte)  
Operand Address (Low Byte)  
Operand Data (High Byte)  
Operand Data (Low Byte)  
Irrelevant Data  
5-12  
JSR  
6
1
2
3
4
5
6
Opcode Address  
Opcode Address + 1  
Opcode Address + 2  
Subroutine Address  
Stack Pointer  
1
1
1
1
0
0
Opcode ($BD)  
Subroutine Address (High Byte)  
Subroutine Address (Low Byte)  
First Opcode in Subroutine  
Return Address (Low Byte)  
Return Address (High Byte)  
Stack Pointer – 1  
*The reference number is given to provide a cross-reference to Table 10-1.  
Table 10-6 Cycle-by-Cycle Operation — Indexed X Mode (Sheet 1 of 2)  
Reference  
Number*  
Address Mode  
and Instructions  
Cycles Cycle  
#
Address Bus  
Data Bus  
R/W  
Line  
6-1  
JMP  
3
1
2
3
Opcode Address  
Opcode Address + 1  
$FFFF  
1
1
1
Opcode ($6E)  
Index Offset  
Irrelevant Data  
10  
6-2  
ADCA, ADCB, ADDA,  
ADDB, ANDA, ANDB,  
BITA, BITB, CMPA,  
CMPB, EORA, EORB,  
LDAA, LDAB, ORAA,  
ORAB, SBCA, SBCB,  
SUBA, SUBB  
4
1
2
3
4
Opcode Address  
Opcode Address + 1  
$FFFF  
1
1
1
1
Opcode  
Index Offset  
Irrelevant Data  
Operand Data  
(IX) + Offset  
6-3  
6-4  
ASL, ASR, CLR,  
COM, DEC, INC,  
LSL, LSR, NEG,  
ROL, ROR  
6
6
1
2
3
4
5
6
Opcode Address  
Opcode Address + 1  
$FFFF  
(IX) + Offset  
$FFFF  
1
1
1
1
1
0
Opcode  
Index Offset  
Irrelevant Data  
Original Operand Data  
Irrelevant Data  
Result Operand Data  
(IX) + Offset  
TST  
1
2
3
4
5
6
Opcode Address  
Opcode Address + 1  
$FFFF  
(IX) + Offset  
$FFFF  
1
1
1
1
1
1
Opcode ($6D)  
Index Offset  
Irrelevant Data  
Original Operand Data  
Irrelevant Data  
Irrelevant Data  
$FFFF  
6-5  
6-6  
STAA, STAB  
4
5
1
2
3
4
Opcode Address  
Opcode Address + 1  
$FFFF  
1
1
1
0
Opcode  
Index Offset  
Irrelevant Data  
Accumulator Data  
(IX) + Offset  
LDD, LDS, LDX  
1
2
3
4
5
Opcode Address  
Opcode Address + 1  
$FFFF  
(IX) + Offset  
(IX) + Offset + 1  
1
1
1
1
1
Opcode  
Index Offset  
Irrelevant Data  
Operand Data (High Byte)  
Operand Data (Low Byte)  
*The reference number is given to provide a cross-reference to Table 10-1.  
CPU, ADDRESSING MODES, AND INSTRUCTION SET  
MOTOROLA  
10-19  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
Table 10-6 Cycle-by-Cycle Operation — Indexed X Mode (Sheet 2 of 2)  
Reference  
Number*  
Address Mode  
and Instructions  
Cycles Cycle  
#
Address Bus  
Data Bus  
R/W  
Line  
1
6-7  
LDY  
6
1
Opcode Address  
Opcode (Page Select Byte)  
($1A)  
2
3
4
5
6
Opcode Address + 1  
Opcode Address + 2  
$FFFF  
(IX) + Offset  
(IX) + Offset + 1  
1
1
1
1
1
Opcode (Second Byte) ($EE)  
Index Offset  
Irrelevant Data  
Operand Data (High Byte)  
Operand Data (Low Byte)  
6-8  
6-9  
STD, STS, STX  
5
6
1
2
3
4
5
Opcode Address  
Opcode Address + 1  
$FFFF  
(IX) + Offset  
(IX) + Offset + 1  
1
1
1
0
0
Opcode  
Index Offset  
Irrelevant Data  
Register Data (High Byte)  
Register Data (Low Byte)  
STY  
1
Opcode Address  
1
Opcode (Page Select Byte)  
($1A)  
2
3
4
5
6
Opcode Address + 1  
Opcode Address + 2  
$FFFF  
(IX) + Offset  
(IX) + Offset + 1  
1
1
1
0
0
Opcode (Second Byte) ($EF)  
Index Offset  
Irrelevant Data  
Register Data (High Byte)  
Register Data (Low Byte)  
6-10  
6-11  
ADDD, CPX, SUBD  
6
7
1
2
3
4
5
6
Opcode Address  
Opcode Address + 1  
$FFFF  
(IX) + Offset  
(IX) + Offset + 1  
$FFFF  
1
1
1
1
1
1
Opcode  
Index Offset  
Irrelevant Data  
Operand Data (High Byte)  
Operand Data (Low Byte)  
Irrelevant Data  
10  
CPD, CPY  
1
2
3
4
5
6
7
Opcode Address  
Opcode Address + 1  
Opcode Address + 2  
$FFFF  
(IX) + Offset  
(IX) + Offset + 1  
$FFFF  
1
1
1
1
1
1
1
Opcode (Page Select Byte)  
Opcode (Second Byte)  
Index Offset  
Irrelevant Data  
Operand Data (High Byte)  
Operand Data (Low Byte)  
Irrelevant Data  
6-12  
6-13  
JSR  
6
7
1
2
3
4
5
6
Opcode Address  
Opcode Address + 1  
$FFFF  
(IX) + Offset  
Stack Pointer  
Stack Pointer – 1  
1
1
1
1
0
0
Opcode ($AD)  
Index Offset  
Irrelevant Data  
First Opcode in Subroutine  
Return Address (Low Byte)  
Return Address (High Byte)  
BCLR, BSET  
1
2
3
4
5
6
7
Opcode Address  
Opcode Address + 1  
$FFFF  
(IX) + Offset  
Opcode Address + 2  
$FFFF  
1
1
1
1
1
1
0
Opcode  
Index Offset  
Irrelevant Data  
Original Operand Data  
Mask Byte  
Irrelevant Data  
Result Operand Data  
(IX) + Offset  
6-14  
BRCLR, BRSET  
7
1
2
3
4
5
6
7
Opcode Address  
Opcode Address + 1  
$FFFF  
1
1
1
1
1
1
1
Opcode  
Index Offset  
Irrelevant Data  
Original Operand Data  
Mask Byte  
Branch Offset  
Irrelevant Data  
(IX) + Offset  
Opcode Address + 2  
Opcode Address + 3  
$FFFF  
*The reference number is given to provide a cross-reference to Table 10-1.  
CPU, ADDRESSING MODES, AND INSTRUCTION SET  
MC68HC11A8  
10-20  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
Table 10-7 Cycle-by-Cycle Operation — Indexed Y Mode (Sheet 1 of 2)  
Reference  
Number*  
Address Mode  
and Instructions  
Cycles Cycle  
#
Address Bus  
Data Bus  
R/W  
Line  
1
7-1  
JMP  
4
1
Opcode Address  
Opcode (Page Select Byte)  
($18)  
2
3
4
Opcode Address + 1  
Opcode Address + 2  
$FFFF  
1
1
1
Opcode (Second Byte) ($6E)  
Index Offset  
Irrelevant Data  
7-2  
ADCA, ADCB, ADDA,  
ADDB, ANDA ANDB,  
BITA, BITB, CMPA,  
CMPB, EORA, EORB,  
LDAA, LDAB, ORAA,  
ORAB, SBCA, SBCB,  
SUBA, SUBB,  
5
1
Opcode Address  
1
Opcode (Page Select Byte)  
($18)  
Opcode (Second Byte)  
Index Offset  
Irrelevant Data  
Operand Data  
2
3
4
5
Opcode Address + 1  
Opcode Address + 2  
$FFFF  
1
1
1
1
(IY) + Offset  
7-3  
7-4  
ASL, ASR, CLR,  
COM, DEC, INC,  
LSL, LSR, NEG,  
ROL, ROR  
7
7
1
2
3
4
5
6
7
Opcode Address  
Opcode Address + 1  
Opcode Address + 2  
$FFFF  
(IY) + Offset  
$FFFF  
1
1
1
1
1
1
0
Opcode (Page Select Byte)  
Opcode (Second Byte)  
Index Offset  
Irrelevant Data  
Original Operand Data  
Irrelevant Data  
(IY) + Offset  
Result Operand Data  
TST  
1
Opcode Address  
1
Opcode (Page Select Byte)  
($18)  
Opcode (Second Byte) ($6D)  
Index Offset  
Irrelevant Data  
Original Operand Data  
Irrelevant Data  
10  
2
3
4
5
6
7
Opcode Address + 1  
Opcode Address + 2  
$FFFF  
(IY) + Offset  
$FFFF  
1
1
1
1
1
1
$FFFF  
Irrelevant Data  
7-5  
7-6  
7-7  
7-8  
STAA, STAB  
5
6
6
7
1
Opcode Address  
1
Opcode (Page Select Byte)  
($18)  
Opcode (Second Byte)  
Index Offset  
Irrelevant Data  
Accumulator Data  
2
3
4
5
Opcode Address + 1  
Opcode Address + 2  
$FFFF  
1
1
1
0
(IY) + Offset  
LDD, LDS, LDX,  
LDY  
1
2
3
4
5
6
Opcode Address  
Opcode Address + 1  
Opcode Address + 2  
$FFFF  
(IY) + Offset  
(IY) + Offset + 1  
1
1
1
1
1
1
Opcode (Page Select Byte)  
Opcode (Second Byte)  
Index Offset  
Irrelevant Data  
Operand Data (High Byte)  
Operand Data (Low Byte)  
STD, STS, STX,  
STY  
1
2
3
4
5
6
Opcode Address  
Opcode Address + 1  
Opcode Address + 2  
$FFFF  
(IY) + Offset  
(IY) + Offset + 1  
1
1
1
1
0
0
Opcode (Page Select Byte)  
Opcode (Second Byte)  
Index Offset  
Irrelevant Data  
Register Data (High Byte)  
Register Data (Low Byte)  
ADDD, CPD, CPX,  
CPY, SUBD  
1
2
3
4
5
6
7
Opcode Address  
Opcode Address + 1  
Opcode Address + 2  
$FFFF  
(IY) + Offset  
(IY) + Offset + 1  
$FFFF  
1
1
1
1
1
1
1
Opcode (Page Select Byte)  
Opcode (Second Byte)  
Index Offset  
Irrelevant Data  
Operand Data (High Byte)  
Operand Data (Low Byte)  
Irrelevant Data  
* The reference number is given to provide a cross-reference to Table 10-1.  
CPU, ADDRESSING MODES, AND INSTRUCTION SET  
MOTOROLA  
10-21  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
Table 10-7 Cycle-by-Cycle Operation — Indexed Y Mode (Sheet 2 of 2)  
Reference  
Number*  
Address Mode  
and Instructions  
Cycles Cycle  
#
Address Bus  
Data Bus  
R/W  
Line  
1
7-9  
JSR  
7
1
Opcode Address  
Opcode (Page Select Byte)  
($18)  
2
3
4
5
6
7
Opcode Address + 1  
Opcode Address + 2  
$FFFF  
(IY) + Offset  
Stack Pointer  
1
1
1
1
0
0
Opcode (Second Byte) ($AD)  
Index Offset  
Irrelevant Data  
First Opcode in Subroutine  
Return Address (Low Byte)  
Return Address (High Byte)  
Stack Pointer – 1  
7-10  
BCLR, BSET  
8
1
Opcode Address  
1
Opcode (Page Select Byte)  
($18)  
2
3
4
5
6
7
8
Opcode Address + 1  
Opcode Address + 2  
$FFFF  
(IY) + Offset  
Opcode Address + 3  
$FFFF  
1
1
1
1
1
1
0
Opcode (Second Byte)  
Index Offset  
Irrelevant Data  
Original Operand Data  
Mask Byte  
Irrelevant Data  
(IY) + Offset  
Result Operand Data  
7-11  
BRCLR, BRSET  
8
1
Opcode Address  
1
Opcode (Page Select Byte)  
($18)  
2
3
4
5
6
7
8
Opcode Address + 1  
Opcode Address + 2  
$FFFF  
1
1
1
1
1
1
1
Opcode (Second Byte)  
Index Offset  
Irrelevant Data  
Original Operand Data  
Mask Byte  
Branch Offset  
Irrelevant Data  
10  
(IY) + Offset  
Opcode Address + 3  
Opcode Address + 4  
$FFFF  
* The reference number is given to provide a cross-reference to Table 10-1.  
Table 10-8 Cycle-by-Cycle Operation — Relative Mode  
Reference Address Mode  
Cycles Cycle  
#
Address Bus  
Data Bus  
R/W  
Line  
Number*  
and Instructions  
8-1  
BCC, BCS, BEQ,  
BGE, BGT, BHI,  
BHS, BLE, BLO,  
BLS, BLT, BMI,  
BNE, BPL, BRA,  
BRN, BVC, BVS,  
3
1
2
3
Opcode Address  
Opcode Address + 1  
$FFFF  
1
1
1
Opcode  
Branch Offset  
Irrelevant Data  
8-2  
BSR  
6
1
2
3
4
5
6
Opcode Address  
Opcode Address + 1  
$FFFF  
Subroutine Address  
Stack Pointer  
1
1
1
1
0
0
Opcode ($8D)  
Branch Offset  
Irrelevant Data  
Opcode of Next Instruction  
Return Address (Low Byte)  
Return Address (High Byte)  
Stack Pointer – 1  
*The reference number is given to provide a cross-reference to Table 10-1.  
CPU, ADDRESSING MODES, AND INSTRUCTION SET  
MC68HC11A8  
10-22  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
A ELECTRICAL CHARACTERISTICS  
Table A-1 Maximum Rating  
Rating  
Symbol  
Value  
Unit  
V
Supply Voltage  
Input Voltage  
V
– 0.3 to + 7.0  
– 0.3 to + 7.0  
DD  
V
V
in  
A
Operating Temperature Range  
MC68HC11A8  
T
T to T  
°C  
L
H
0 to 70  
MC68HC11A8C  
MC68HC11A8V  
MC68HC11A8M  
MC68L11A8  
– 40 to 85  
– 40 to 105  
– 40 to 125  
– 20 to 70  
Storage Temperature Range  
Current Drain per Pin*  
T
– 55 to 150  
25  
°C  
stg  
I
mA  
D
Excluding V , V , V , and V  
DD  
SS  
RH  
RL  
*One pin at a time, observing maximum power dissipation limits.  
A
Internal circuitry protects the inputs against damage caused by high static voltages or  
electric fields; however, normal precautions are necessary to avoid application of any  
voltage higher than maximum-rated voltages to this high-impedance circuit. Extended  
operation at the maximum ratings can adversely affect device reliability. Tying unused  
inputs to an appropriate logic voltage level (either GND or V ) enhances reliability of  
DD  
operation.  
Table A-2 Thermal Characteristics  
Characteristic  
Average Junction Temperature  
Ambient Temperature  
Symbol  
Value  
T + (P x Θ )  
JA  
Unit  
°C  
T
J
A
D
T
User-determined  
°C  
A
Package Thermal Resistance (Junction-to-Ambient)  
52-Pin Plastic Quad Pack (PLCC)  
Θ
°C/W  
JA  
50  
40  
48-Pin Plastic Dual In-Line Package (DIP)  
Total Power Dissipation  
P
P
+ P  
I/O  
W
D
INT  
K ÷ (T + 273°C) (Note 1)  
J
Device Internal Power Dissipation  
I/O Pin Power Dissipation  
A Constant  
P
I
x V  
DD  
W
W
INT  
DD  
P
(Note 2)  
K
User-determined  
I/O  
P x (T + 273°C) +  
W · °C  
D
A
Θ
x P 2 (Note 3)  
JA  
D
NOTES:  
1. This is an approximate value, neglecting P  
.
I/O  
2. For most applications P « P  
and can be neglected.  
I/O  
INT  
3. K is a constant pertaining to the device. Solve for K with a known T and a measured P (at equilibrium). Use  
A
D
this value of K to solve for P and T iteratively for any value of T .  
D
J
A
ELECTRICAL CHARACTERISTICS  
MOTOROLA  
A-1  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
Table A-3 DC Electrical Characteristics  
V
= 5.0 Vdc ± 10%, V = 0 Vdc, T = T to T , unless otherwise noted  
DD  
SS  
A
L
H
Characteristics  
Output Voltage (Note 1) All Outputs except XTAL  
Symbol  
Min  
Max  
0.1  
Unit  
V
V
OL  
All Outputs Except XTAL, RESET,  
and MODA  
V
V
– 01  
OH  
DD  
I
=± 10.0 µA  
Load  
Output High Voltage (Note 1)  
= – 0.8 mA, V = 4.5 V  
All Outputs Except XTAL,  
RESET, and MODA  
V
V
– 0.8  
V
V
V
OH  
DD  
I
Load  
DD  
Output Low Voltage  
= 1.6 mA  
All Outputs Except XTAL  
V
0.4  
OL  
I
Load  
Input High Voltage  
All Inputs Except RESET  
RESET  
V
0.7 x V  
0.8 x V  
V
V
+ 0.3  
+ 0.3  
IH  
DD  
DD  
DD  
DD  
Input Low Voltage  
All Inputs  
V
V
– 0.3  
0.2 x V  
DD  
V
IL  
SS  
I/O Ports, Three-State Leakage  
PA7, PC0-PC7, PD0-PD5,  
AS/STRA, MODA/LIR, RESET  
I
±10  
µA  
OZ  
V = V or V  
IL  
in  
IH  
Input Leakage Current (Note 2)  
l
µA  
in  
V = V or V  
PA0-PA2, IRQ, XIRQ  
±1  
±10  
in  
DD  
SS  
SS  
V = V or V  
MODB/V  
STBY  
in  
DD  
RAM Standby Voltage  
RAM Standby Current  
Total Supply Current (Note 3)  
RUN:  
Power down  
Power down  
V
4.0  
V
V
SB  
DD  
I
10  
µA  
SB  
A
I
mA  
mA  
µA  
DD  
Single-Chip Mode  
dc – 2 MHz  
3 MHz  
dc – 2 MHz  
3 MHz  
15  
27  
27  
35  
Expanded Multiplexed Mode  
WAIT:  
W
IDD  
All Peripheral Functions Shut Down  
Single-Chip Mode  
dc – 2 MHz  
3 MHz  
dc – 2 MHz  
3 MHz  
6
15  
10  
20  
Expanded Multiplexed Mode  
STOP:  
S
IDD  
No Clocks, Single-Chip Mode  
dc – 2 MHz  
3 MHz  
50  
150  
8
Input Capacitance  
PA7, PC0-PC7, PD0-PD5, AS/STRA, MODA/LIR, RESET  
Power Dissipation  
PA0-PA2, PE0-PE7, IRQ, XIRQ, EXTAL  
C
pF  
in  
12  
P
mW  
D
Single-Chip Mode  
Expanded Multiplexed Mode  
Single-ChipMode  
2 MHz  
3 MHz  
85  
150  
150  
195  
Expanded Multiplexed Mode  
NOTES:  
1. V specification for RESET and MODA is not applicable because they are open-drain pins. V specification  
OH  
OH  
not applicable to ports C and D in wired-OR mode.  
2. Refer to A/D specification for leakage current for port E.  
3. EXTAL is driven with a square wave, and  
t
t
V
V
= 500 ns for 2 MHz rating;  
= 333 ns for 3 MHz rating.  
0.2 V  
cyc  
cyc  
IL  
V – 0.2 V  
IH  
DD  
No dc loads.  
ELECTRICAL CHARACTERISTICS  
MC68HC11A8  
A-2  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
Table A-3a DC Electrical Characteristics (MC68L11A8)  
V
= 3.0 Vdc to 5.5 Vdc, V = 0 Vdc, T = T to T , unless otherwise noted  
SS A L H  
DD  
Characteristics  
Symbol  
Min  
Max  
0.1  
Unit  
Output Voltage (Note 1)  
All Outputs except XTAL  
All Outputs Except XTAL, RESET,  
and MODA  
V
V
OL  
V
V
– 01  
OH  
DD  
I
=± 10.0 µA  
Load  
Output High Voltage (Note 1)  
= – 0.8 mA, V = 4.5 V  
All Outputs Except XTAL,  
RESET, and MODA  
V
V
– 0.8  
3
V
V
V
OH  
DD  
I
Load  
DD  
Output Low Voltage  
= 1.6 mA  
All Outputs Except XTAL  
V
0.4  
OL  
I
Load  
Input High Voltage  
All Inputs Except RESET  
RESET  
V
0.7 x V  
0.8 x V  
V
V
+ 0.3  
+ 0.3  
IH  
DD  
DD  
DD  
DD  
Input Low VoltageAll Inputs  
V
V
– 0.3  
0.2 x V  
DD  
V
IL  
SS  
I/O Ports, Three-State Leakage PA7, PC0-PC7, PD0-PD5,  
I
±10  
µA  
OZ  
V = V or V  
IL  
AS/STRA, MODA/LIR, RESET  
in  
IH  
Input Leakage Current (Note 2)  
l
µA  
in  
V = V or V  
PA0-PA2, IRQ, XIRQ  
±1  
±10  
in  
DD  
SS  
SS  
V = V or V  
MODB/V  
STBY  
in  
DD  
RAM Standby Voltage  
RAM Standby Current  
Total Supply Current (Note 3)  
RUN:  
Power down  
Power down  
V
2.0  
V
V
SB  
DD  
I
10  
µA  
SB  
A
I
mA  
mA  
µA  
DD  
Single-Chip Mode  
dc – 1 MHz  
2MHz  
dc – 1 MHz  
2 MHz  
4
8
7
Expanded Multiplexed Mode  
14  
WAIT:  
W
IDD  
All Peripheral Functions Shut Down  
Single-Chip Mode  
dc – 1 MHz  
2 MHz  
dc – 1 MHz  
2 MHz  
3
6
2.5  
5
Expanded Multiplexed Mode  
STOP:  
S
IDD  
No Clocks, Single-Chip Mode  
dc – 1 MHz  
2 MHz  
25  
25  
8
Input Capacitance PA0-PA2, PE0-PE7, IRQ, XIRQ, EXTAL  
PA7, PC0-PC7, PD0-PD5, AS/STRA, MODA/LIR, RESET  
Power Dissipation  
C
pF  
in  
12  
P
mW  
D
Single-Chip Mode  
Expanded Multiplexed Mode  
Single-ChipMode  
Expanded Multiplexed Mode  
1 MHz  
2 MHz  
12  
21  
24  
42  
NOTES:  
1. V specification for RESET and MODA is not applicable because they are open-drain pins. V specification  
OH  
OH  
not applicable to ports C and D in wired-OR mode.  
2. Refer to A/D specification for leakage current for port E.  
3. EXTAL is driven with a square wave, and  
t
t
V
V
= 1000 ns for 1MHz rating;  
= 500 ns for 2 MHz rating.  
0.2 V  
cyc  
cyc  
IL  
V – 0.2 V  
IH  
DD  
No dc loads.  
ELECTRICAL CHARACTERISTICS  
MOTOROLA  
A-3  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
V
~ DD  
CLOCKS,  
STROBES  
V
– 0.8 Volts  
NOM.  
DD  
0.4 Volts  
0.4 Volts  
NOM.  
~V  
SS  
70% of V  
20% of V  
DD  
INPUTS  
DD  
NOMINAL TIMING  
V
~
DD  
V
– 0.8 Volts  
DD  
OUTPUTS  
0.4 Volts  
~V  
SS  
DC TESTING  
~V  
DD  
70% of V  
SPEC  
CLOCKS,  
STROBES  
DD  
20% of V  
20% of V  
SPEC  
DD  
DD  
V
~ SS  
(NOTE 2)  
– 0.8 Volts  
V
DD  
A
70% of V  
DD  
INPUTS  
20% of V  
DD  
0.4 Volts  
SPEC TIMING  
V
~ DD  
70% of V  
20% of V  
DD  
DD  
OUTPUTS  
V
~ SS  
AC TESTING  
NOTES:  
1. Full test loads are applied during all DC electrical tests and AC timing measurements.  
2. During AC timing measurements, inputs are driven to 0.4 volts and VDD – 0.8 volts while timing  
measurements are taken at the 20% and 70% of V points.  
DD  
TEST METHODS  
Figure A-1 Test Methods  
ELECTRICAL CHARACTERISTICS  
MC68HC11A8  
A-4  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
Table A-4 Control Timing  
V
= 5.0 Vdc ± 10%, V = 0 Vdc, T = T to T  
DD  
SS  
A
L
H
Characteristic  
Frequency of Operation  
Symbol  
1.0 MHz  
2.0 MHz  
3.0 MHz  
Unit  
Min  
Max  
1.0  
Min  
Max  
2.0  
Min  
Max  
3.0  
f
dc  
1000  
dc  
500  
dc  
333  
MHz  
ns  
o
E-Clock Period  
t
cyc  
Crystal Frequency  
f
4.0  
4.0  
8.0  
8.0  
12.0 MHz  
12.0 MHz  
XTAL  
External Oscillator Frequency  
4 f  
dc  
dc  
dc  
o
Processor Control Setup t  
Time  
= 1/4 t + 50 ns  
t
PCSU  
300  
175  
133  
ns  
PCSU  
cyc  
Reset Input Pulse Width (To Guarantee External PW  
t
RSTL  
cyc  
cyc  
(Note 1)  
Reset Vector)  
(Minimum Input Time;  
Can Be Preempted by  
Internal Reset)  
8
1
8
1
8
1
Mode Programming Setup Time  
Mode Programming Hold Time  
t
2
2
2
t
MPS  
t
10  
10  
10  
ns  
ns  
MPH  
Interrupt Pulse Width,  
PW  
= t + 20 ns  
PW  
1020  
520  
353  
IRQ  
cyc  
IRQ  
IRQ Edge-Sensitive Mode  
A
Wait Recovery Start-up Time  
t
4
4
4
t
cyc  
WRS  
Timer Pulse Width  
Input Capture,  
PW  
= t + 20 ns  
PW  
1020  
520  
353  
ns  
TIM  
cyc  
TIM  
Pulse Accumulator Input  
NOTES:  
1. RESET is recognized during the first clock cycle it is held low. Internal circuitry then drives the pin low for four  
clock cycles, releases the pin, and samples the pin level two cycles later to determine the source of the interrupt.  
Refer to 9 RESETS, INTERRUPTS, AND LOW POWER MODES for further detail.  
2. All timing is shown with respect to 20% V and 70% V , unless otherwise noted.  
DD  
DD  
ELECTRICAL CHARACTERISTICS  
MOTOROLA  
A-5  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
Table A-4a Control Timing (MC68L11A8)  
V
= 3.0 Vdc to 5.5 Vdc, V = 0 Vdc, T = T to T  
SS A L H  
DD  
Characteristic  
Symbol  
1.0 MHz  
2.0 MHz  
Unit  
Min  
Max  
1.0  
Min  
Max  
2.0  
Frequency of Operation  
f
dc  
1000  
dc  
500  
MHz  
ns  
o
E-Clock Period  
t
cyc  
Crystal Frequency  
f
4.0  
4.0  
8.0  
8.0  
MHz  
MHz  
ns  
XTAL  
External Oscillator Frequency  
4 f  
dc  
dc  
o
Processor Control Setup  
Time  
t
= 1/4 t + 50 ns  
t
PCSU  
325  
200  
PCSU  
cyc  
Reset Input Pulse Width  
(Note 1)  
(To Guarantee External PW  
Reset Vector)  
(Minimum Input Time;  
Can Be Preempted by  
Internal Reset)  
t
RSTL  
cyc  
cyc  
8
1
8
1
Mode Programming Setup Time  
Mode Programming Hold Time  
t
2
2
t
MPS  
t
10  
10  
ns  
ns  
MPH  
Interrupt Pulse Width,  
PW  
= t + 20 ns  
PW  
1020  
520  
IRQ  
cyc  
IRQ  
A
IRQ Edge-Sensitive Mode  
Wait Recovery Start-up Time  
t
4
4
t
cyc  
WRS  
Timer Pulse Width  
Input Capture,  
PW  
= t + 20 ns  
PW  
1020  
520  
ns  
TIM  
cyc  
TIM  
Pulse Accumulator Input  
NOTES:  
1. RESET is recognized during the first clock cycle it is held low. Internal circuitry then drives the pin low for four  
clock cycles, releases the pin, and samples the pin level two cycles later to determine the source of the interrupt.  
Refer to 9 RESETS, INTERRUPTS, AND LOW POWER MODES for further detail.  
2. All timing is shown with respect to 20% V and 70% V , unless otherwise noted.  
DD  
DD  
ELECTRICAL CHARACTERISTICS  
MC68HC11A8  
A-6  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
1
2
PA[2:0]  
PA[2:0]  
1,3  
PA7  
PW  
TIM  
2,3  
PA7  
NOTES:  
1. Rising edge sensitive input  
2. Falling edge sensitive input  
3. Maximum pulse accumulator clocking rate is E-clock frequency divided by 2.  
TIMER INPUTS TIM  
Figure A-2 Timer Inputs  
A
ELECTRICAL CHARACTERISTICS  
MOTOROLA  
A-7  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
T E C H N I C A L D A T A  
M C 6 8 H C 1 1 A 8  
A - 8  
E L E C T R I C A L C H A R A C T E R I S T I C S M O T O R O L A  
Freescale Semiconductor, Inc.  
For More Information On This Product,  
Go to: www.freescale.com  
A - 9  
T E C H N I C A L  
Freescale Semiconductor, Inc.  
E L E C T R I C A L C H M C 6 8 H C 1 1 A  
M O T O R O L A  
S T O P R E C O V E R Y T I M  
For More Information On This Product,  
Go to: www.freescale.com  
T E C H N I C A L D A T A  
M C 6 8 H C 1 1 A 8  
A - 1 0  
E L E C T R I C A L C H A R A C T E R I S T I C S M O T O R O L A  
Freescale Semiconductor, Inc.  
W A I T R E C O V E R Y T I M  
For More Information On This Product,  
Go to: www.freescale.com  
A - 1 1  
T E C H N I C A L  
Freescale Semiconductor, Inc.  
E L E C T R I C A L C H M C 6 8 H C 1 1 A  
M O T O R O L A  
I N T E R R U P T T I M  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
Table A-5 Peripheral Port Timing  
V
= 5.0 Vdc ± 10%, V = 0 Vdc, T = T to T  
SS A L H  
DD  
Characteristic  
Symbol  
1.0 MHz  
2.0 MHz  
3.0 MHz  
Unit  
Min Max Min Max Min Max  
Frequency of Operation (E-Clock Frequency)  
E-Clock Period  
f
dc  
1.0  
dc  
2.0  
dc  
3.0  
MHz  
ns  
o
t
1000  
100  
500  
100  
333  
100  
cyc  
Peripheral Data Setup Time  
t
ns  
PDSU  
(MCU Read of Ports A, C, D, and E)  
Peripheral Data Hold Time  
(MCU Read of Ports A, C, D, and E)  
t
50  
50  
50  
ns  
ns  
PDH  
Delay Time, Peripheral Data Write  
MCU Write to Port A  
t
PWD  
200  
200  
200  
MCU Writes to Ports B, C, and D  
t
= 1/4 t + 100 ns  
60  
100  
0
350  
60  
100  
0
225  
60  
100  
0
183  
PWD  
cyc  
Input Data Setup Time (Port C)  
Input Data Hold Time (Port C)  
t
ns  
ns  
ns  
ns  
ns  
ns  
ns  
IS  
t
IH  
Delay Time, E Fall to STRB t  
= 1/4 t + 100 ns  
t
DEB  
350  
225  
183  
DEB  
cyc  
Setup Time, STRA Asserted to E Fall (Note 1)  
Delay Time, STRA Asserted to Port C Data Output Valid  
Hold Time, STRA Negated to Port C Data  
Three-State Hold Time  
t
AES  
PCD  
PCH  
A
t
t
10  
100  
10  
100  
10  
100  
t
150  
150  
150  
PCZ  
NOTES:  
1. If this setup time is met, STRB acknowledges in the next cycle. If it is not met, the response may be delayed one  
more cycle.  
2. Port C and D timing is valid for active drive (CWOM and DWOM bits not set in PIOC and SPCR registers respec-  
tively).  
3. All timing is shown with respect to 20% V and 70% V , unless otherwise noted.  
DD  
DD  
ELECTRICAL CHARACTERISTICS  
MC68HC11A8  
A-12  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
Table A-5a Peripheral Port Timing (MC68L11A8)  
V
= 3.0 Vdc to 5.5 Vdc, V = 0 Vdc, T = T to T  
DD  
SS  
A
L
H
Characteristic  
Symbol  
1.0 MHz  
2.0 MHz  
Unit  
Min Max Min Max  
Frequency of Operation (E-Clock Frequency)  
E-Clock Period  
f
dc  
1.0  
dc  
2.0  
MHz  
ns  
o
t
1000  
100  
500  
100  
cyc  
Peripheral Data Setup Time  
(MCU Read of Ports A, C, D, and E)  
Peripheral Data Hold Time  
(MCU Read of Ports A, C, D, and E)  
Delay Time, Peripheral Data Write  
MCU Write to Port A  
t
ns  
PDSU  
t
50  
50  
ns  
ns  
PDH  
t
PWD  
250  
250  
MCU Writes to Ports B, C, and D  
t
= 1/4 t + 150 ns  
60  
100  
0
400  
60  
100  
0
275  
PWD  
cyc  
Input Data Setup Time (Port C)  
Input Data Hold Time (Port C)  
t
ns  
ns  
ns  
ns  
ns  
ns  
ns  
IS  
t
IH  
Delay Time, E Fall to STRB  
t
= 1/4 t + 150 ns  
t
DEB  
400  
275  
DEB  
cyc  
Setup Time, STRA Asserted to E Fall (Note 1)  
Delay Time, STRA Asserted to Port C Data Output Valid  
Hold Time, STRA Negated to Port C Data  
Three-State Hold Time  
t
AES  
PCD  
PCH  
t
t
10  
100  
10  
100  
A
t
150  
150  
PCZ  
NOTES:  
1. If this setup time is met, STRB acknowledges in the next cycle. If it is not met, the response may be delayed one  
more cycle.  
2. Port C and D timing is valid for active drive (CWOM and DWOM bits not set in PIOC and SPCR registers respec-  
tively).  
3. All timing is shown with respect to 20% V and 70% V , unless otherwise noted.  
DD  
DD  
ELECTRICAL CHARACTERISTICS  
MOTOROLA  
A-13  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
MCU WRITE TO PORT  
E
t
PWD  
PORTS  
B, C, D  
PREVIOUS PORT DATA  
NEW DATA VALID  
t
PWD  
PREVIOUS PORT DATA  
NEW DATA VALID  
PORT A  
A8 PORT WR TIM  
Figure A-7 Port Write Timing Diagram  
MCU READ OF PORT  
A
E
t
t
PDH  
PDSU  
PORTS  
A, C*, D  
t
t
PDSU  
PDH  
PORT E  
A8 PORT RD TIM  
* FOR NON-LATCHED OPERATION OF PORT C  
Figure A-8 Port Read Timing Diagram  
MCU WRITE TO PORT B  
E
PORT B  
t
PWD  
PREVIOUS PORT DATA  
NEW DATA VALID  
t
DEB  
STRB (OUT)  
OUTPUT STROBE TIM  
Figure A-9 Simple Output Strobe Timing Diagram  
ELECTRICAL CHARACTERISTICS  
MC68HC11A8  
A-14  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
STRA (IN)  
t
t
IH  
IS  
PORT C (IN)  
INPUT STROBE TIM  
Figure A-10 Simple Input Strobe Timing Diagram  
1
READ PORTCL  
E
t
t
DEB  
DEB  
"READY"  
STRB (OUT)  
STRA (IN)  
t
AES  
A
t
t
IH  
IS  
PORT C (IN)  
NOTES:  
1. After reading PIOC with STAF set  
2. Figure shows rising edge STRA (EGA = 1) and high true STRB (INVB = 1).  
PORTC INPUT HNDSHK TIM  
Figure A-11 Port C Input Handshake Timing Diagram  
1
WRITE PORTCL  
E
t
PWD  
PORT C (OUT)  
STRB (OUT)  
PREVIOUS PORT DATA  
NEW DATA VALID  
t
t
DEB  
DEB  
"READY"  
t
AES  
STRA (IN)  
NOTES:  
1. After reading PIOC with STAF set  
2. Figure shows rising edge STRA (EGA = 1) and high true STRB (INVB = 1).  
PORTC OUTPUT HNDSHK TIM  
Figure A-12 Port C Output Handshake Timing Diagram  
ELECTRICAL CHARACTERISTICS  
MOTOROLA  
A-15  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
1
READ PORTCL  
E
t
PWD  
PORT C (OUT)  
(DDR = 1)  
t
t
DEB  
DEB  
"READY"  
STRB (OUT)  
t
AES  
STRA (IN)  
t
t
PCH  
PCD  
PORT C (OUT)  
(DDR = 0)  
OLD DATA  
NEW DATA VALID  
t
PCZ  
a) STRA ACTIVE BEFORE PORTCL WRITE  
A
STRA (IN)  
t
t
PCH  
PCD  
PORT C (OUT)  
(DDR = 0)  
NEW DATA VALID  
t
PCZ  
b) STRA ACTIVE AFTER PORTCL WRITE  
NOTES:  
1. After reading PIOC with STAF set  
2. Figure shows rising edge STRA (EGA = 1) and high true STRB (INVB = 1).  
3STATE VAR HNDSHK  
Figure A-13 Three-State Variation of Output Handshake Timing Diagram  
(STRA Enables Output Buffer)  
ELECTRICAL CHARACTERISTICS  
MC68HC11A8  
TECHNICAL DATA  
A-16  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
Table A-6 Analog-To-Digital Converter Characteristics  
V
= 5.0 Vdc ± 10%, V = 0 Vdc, T = T to T , 750 kHz E 3.0 MHz, unless otherwise noted  
SS A L H  
DD  
Characteristic  
Parameter  
Min  
Absolute 2.0 MHz 3.0 MHz Unit  
Max  
Max  
Resolution  
Number of Bits Resolved by A/D Converter  
8
Bits  
Non-Linearity Maximum Deviation from the Ideal A/D Transfer  
Characteristics  
± 1/2  
± 1  
LSB  
Zero Error  
Difference Between the Output of an Ideal and an  
Actual for Zero Input Voltage  
± 1/2  
± 1/2  
± 1/2  
± 1/2  
± 1  
± 1  
± 1  
LSB  
LSB  
Full Scale Error Difference Between the Output of an Ideal and an  
Actual A/D for Full-Scale Input Voltage  
Total Unadjust- Maximum Sum of Non-Linearity, Zero Error, and  
± 1 1/2 LSB  
ed Error  
Full-Scale Error  
Quantization  
Error  
Uncertainty Because of Converter Resolution  
± 1/2  
± 2  
LSB  
LSB  
Absolute Accu- Difference Between the Actual Input Voltage and  
racy  
the Full-Scale Weighted Equivalent of the Binary  
Output Code, All Error Sources Included  
Conversion  
Range  
Analog Input Voltage Range  
V
V
V
V
RH  
V
V
V
V
RL  
RH  
V
Maximum Analog Reference Voltage (Note 2)  
Minimum Analog Reference Voltage (Note 2)  
V
+ 0.1  
V
0.1  
+
RH  
RL  
DD  
DD  
A
V
V
V
V
RH  
RL  
SS  
RH  
0.1  
V  
Minimum Difference between V and V  
RL  
3
R
RH  
(Note 2)  
Conversion  
Time  
Total Time to Perform a Single Analog-to-Digital  
Conversion:  
a. E Clock  
b. Internal RC Oscillator  
32  
t
cyc  
t
+ 32  
t
+ 32 µs  
cyc  
cyc  
Monotonicity  
Conversion Result Never Decreases with an In-  
crease in Input Voltage and has no Missing Codes  
Guaranteed  
Zero Input  
Reading  
Conversion Result when V = V  
00  
Hex  
Hex  
in  
RL  
Full Scale  
Reading  
Conversion Result when V = V  
FF  
FF  
in  
RH  
Sample Acqui-  
sition Time  
Analog Input Acquisition Sampling Time:  
a. E Clock  
12  
12  
12  
t
cyc  
µs  
b. Internal RC Oscillator  
Sample/Hold  
Capacitance  
Input Capacitance during Sample PE0-PE7  
20 (Typ)  
pF  
Input Leakage  
Input Leakage on A/D PinsPE0-PE7  
400  
1.0  
400  
1.0  
nA  
µA  
V
, V  
RL  
RH  
NOTES:  
1. Source impedances greater than 10 kaffect accuracy adversely because of input leakage.  
2. Performance verified down to 2.5 V V , but accuracy is tested and guaranteed at V = 5 V ± 10%.  
R
R
ELECTRICAL CHARACTERISTICS  
MOTOROLA  
A-17  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
Table A-6a Analog-To-Digital Converter Characteristics (MC68L11A8)  
V
= 3.0 Vdc to 5.5 Vdc, V = 0 Vdc, T = T to T , 750 kHz E 2.0 MHz, unless otherwise noted  
DD  
SS  
A
L
H
Characteristic  
Resolution  
Parameter  
Min  
Absolute  
Max  
Unit  
Bits  
LSB  
Number of Bits Resolved by A/D Converter  
8
Non-Linearity  
Maximum Deviation from the Ideal A/D Transfer  
Characteristics  
± 1  
Zero Error  
Difference Between the Output of an Ideal and an  
Actual for Zero Input Voltage  
± 1  
± 1  
LSB  
LSB  
LSB  
Full Scale Error Difference Between the Output of an Ideal and an  
Actual A/D for Full-Scale Input Voltage  
Total Unadjusted Maximum Sum of Non-Linearity, Zero Error, and  
±1 1/2  
Error  
Full-Scale Error  
Quantization Error  
Uncertainty Because of Converter Resolution  
± 1/2  
± 2  
LSB  
LSB  
Absolute Accuracy Difference Between the Actual Input Voltage and  
the Full-Scale Weighted Equivalent of the Binary  
Output Code, All Error Sources Included  
Conversion Range  
Analog Input Voltage Range  
V
V
V
V
V
V
V
RL  
RH  
V
Maximum Analog Reference Voltage(Note 2)  
Minimum Analog Reference Voltage (Note 2)  
V
+ 0.1  
RH  
RL  
DD  
V
V
– 0.1  
V
RL  
SS  
RH  
V  
Minimum Difference between V and V  
RL  
3
R
RH  
A
(Note 2)  
Conversion Time Total Time to Perform a Single Analog-to-Digital  
Conversion:  
a. E Clock  
b. Internal RC Oscillator  
32  
t
cyc  
µs  
t
+ 32  
cyc  
Monotonicity  
Conversion Result Never Decreases with an In-  
crease in Input Voltage and has no Missing Codes  
Guaranteed  
Zero Input Reading  
Full Scale Reading  
Conversion Result when V = V  
00  
Hex  
Hex  
in  
RL  
Conversion Result when V = V  
FF  
in  
RH  
Sample Acquisition  
Time  
Analog Input Acquisition Sampling Time:  
a. E Clock  
12  
12  
t
cyc  
µs  
b. Internal RC Oscillator  
Sample/Hold Ca-  
pacitance  
Input Capacitance during Sample PE0-PE7  
20 (Typ)  
pF  
Input Leakage  
Input Leakage on A/D PinsPE0-PE7  
400  
1.0  
nA  
µA  
V
, V  
RL  
RH  
NOTES:  
1. Source impedances greater than 10 kaffect accuracy adversely because of input leakage.  
ELECTRICAL CHARACTERISTICS  
MC68HC11A8  
TECHNICAL DATA  
A-18  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
Table A-7 Expansion Bus Timing  
V
= 5.0 Vdc ± 10%, V = 0 Vdc, T = T to T  
DD  
SS  
A
L
H
Num  
Characteristic  
Symbol  
1.0 MHz  
2.0 MHz  
3.0 MHz  
Unit  
Min  
dc  
Max  
1.0  
Min  
dc  
Max  
2.0  
Min  
Max  
3.0  
Frequency of Operation (E-Clock Frequency)  
Cycle Time  
f
dc  
MHz  
ns  
o
1
2
t
1000  
477  
500  
227  
333  
146  
cyc  
Pulse Width, E Low  
PW  
ns  
EL  
PW = 1/2 t – 23 ns(Note 1)  
EL  
cyc  
3
4a, b  
9
Pulse Width, E High  
PW  
472  
222  
141  
ns  
ns  
ns  
ns  
EH  
PW = 1/2 t – 28 ns(Note 1)  
EH  
cyc  
E and AS Rise and Fall Time  
t
20  
20  
20  
20  
20  
15  
r
t
f
Address Hold Time  
= 1/8 t – 29.5 ns (Note 1, 2a)  
cyc  
t
95.5  
281.5  
33  
94  
26  
54  
AH  
t
AH  
12  
Non-Muxed Address Valid Time to E Rise  
t
AV  
t
= PW – (t  
+ 80 ns)(Note 1, 2a)  
AV  
EL  
ASD  
17  
18  
19  
Read Data Setup Time  
t
30  
0
30  
0
83  
30  
0
51  
71  
ns  
ns  
ns  
DSR  
Read Data Hold Time (Max = t  
Write Data Delay Time  
)
t
145.5  
190.5  
MAD  
DHR  
t
128  
DDW  
DHW  
A
t
= 1/8 t + 65.5 ns (Note 1, 2a)  
DDW  
cyc  
21  
22  
24  
25  
26  
27  
28  
29  
35  
36  
Write Data Hold Time  
t
95.5  
271.5  
151  
33  
84  
26  
33  
53  
96  
53  
307  
26  
54  
13  
31  
31  
63  
31  
196  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
t
= 1/8 t – 29.5 ns(Note 1, 2a)  
DHW  
cyc  
Muxed Address Valid Time to E Rise  
t
AVM  
t
= PW – (t  
+ 90 ns)(Note 1, 2a)  
AVM  
EL  
ASD  
Muxed Address Valid Time to AS Fall  
t
ASL  
AHL  
ASD  
t
= PW  
– 70 ns(Note 1)  
ASL  
ASH  
Muxed Address Hold Time  
t
95.5  
115.5  
221  
t
= 1/8 t – 29.5 ns(Note 1, 2b)  
AHL  
cyc  
Delay Time, E to AS Rise  
t
t
= 1/8 t – 9.5 ns (Note 1, 2a)  
ASD  
cyc  
Pulse Width, AS High  
PW  
ASH  
ASED  
ACCA  
ACCE  
PW  
= 1/4 t – 29 ns(Note 1)  
ASH  
cyc  
Delay Time, AS to E Rise  
t
115.5  
744.5  
t
= 1/8 t – 9.5 ns(Note 1, 2b)  
ASED  
cyc  
MPU Address Access Time(Note 2a)  
= t – (PW – t ) – t – t  
f
t
t
t
ACCA  
cyc  
EL  
AVM  
DSR  
MPU Access Time  
= PW – t  
DSR  
442  
192  
111  
t
ACCE  
EH  
Muxed Address Delay  
(Previous Cycle MPU Read)  
= t + 30 ns(Note 1, 2a)  
t
145.5  
83  
51  
MAD  
t
MAD  
ASD  
NOTES:  
1. Formula only for dc to 2 MHz.  
2. Input clocks with duty cycles other than 50% affect bus performance. Timing parameters affected by input clock  
duty cycle are identified by (a) and (b). To recalculate the approximate bus timing values, substitute the following  
expressions in place of 1/8 t in the above formulas, where applicable:  
cyc  
(a) (1-DC) x 1/4 t  
cyc  
(b) DC x 1/4 t  
cyc  
Where:  
DC is the decimal value of duty cycle percentage (high time).  
3. All timing is shown with respect to 20% V and 70% V , unless otherwise noted.  
DD  
DD  
ELECTRICAL CHARACTERISTICS  
MOTOROLA  
A-19  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
Table A-7a Expansion Bus Timing (MC68L11A8)  
V
= 3.0 Vdc to 5.5 Vdc, V = 0 Vdc, T = T to T  
DD  
SS  
A
L
H
Num  
Characteristic  
Symbol  
1.0 MHz  
2.0 MHz  
Unit  
Min  
Max  
1.0  
Min  
Max  
2.0  
Frequency of Operation (E-Clock Frequency)  
Cycle Time  
f
dc  
dc  
MHz  
ns  
o
1
2
t
1000  
475  
500  
225  
cyc  
Pulse Width, E Low  
PW  
ns  
EL  
PW = 1/2 t – 23 ns  
(Note 1)  
(Note 1)  
EL  
cyc  
3
Pulse Width, E High  
PW = 1/2 t – 28 ns  
PW  
470  
220  
ns  
ns  
ns  
ns  
EH  
EH  
cyc  
4a, b E and AS Rise and Fall Time  
t
25  
25  
25  
25  
r
t
f
9
Address Hold Time  
= 1/8 t – 29.5 ns  
t
95  
33  
88  
AH  
t
(Note 1, 2a)  
AH  
cyc  
12 Non-Muxed Address Valid Time to E Rise  
t
275  
AV  
t
= PW – (t + 80 ns)  
ASD  
(Note 1, 2a)  
AV  
EL  
17 Read Data Setup Time  
18 Read Data Hold Time (Max = t  
19 Write Data Delay Time  
t
30  
0
30  
0
88  
ns  
ns  
ns  
DSR  
)
t
150  
195  
MAD  
DHR  
t
133  
DDW  
DHW  
A
t
= 1/8 t + 65.5 ns  
(Note 1, 2a)  
(Note 1, 2a)  
(Note 1, 2a)  
(Note 1)  
DDW  
cyc  
21 Write Data Hold Time  
= 1/8 t – 29.5 ns  
t
95  
265  
150  
95  
33  
78  
25  
33  
58  
95  
58  
298  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
t
DHW  
cyc  
22 Muxed Address Valid Time to E Rise  
= PW – (t + 90 ns)  
t
AVM  
t
AVM  
EL  
ASD  
24 Muxed Address Valid Time to AS Fall  
= PW – 70 ns  
t
ASL  
AHL  
ASD  
t
ASL  
ASH  
25 Muxed Address Hold Time  
= 1/8 t – 29.5 ns  
t
t
(Note 1, 2b)  
(Note 1, 2a)  
(Note 1)  
AHL  
cyc  
26 Delay Time, E to AS Rise  
= 1/8 t – 9.5 ns  
t
120  
220  
120  
735  
t
ASD  
cyc  
27 Pulse Width, AS High  
PW = 1/4 t – 29 ns  
PW  
ASH  
ASED  
ACCA  
ACCE  
ASH  
cyc  
28 Delay Time, AS to E Rise  
= 1/8 t – 9.5 ns  
t
t
(Note 1, 2b)  
(Note 2a)  
ASED  
cyc  
29 MPU Address Access Time  
t
t
t
= t – (PW – t  
) – t  
– t  
ACCA  
cyc  
EL  
AVM  
DSR f  
35 MPU Access Time  
= PW – t  
440  
190  
t
ACCE  
EH  
DSR  
36 Muxed Address Delay  
(Previous Cycle MPU Read)  
= t + 30 ns  
t
150  
88  
MAD  
t
(Note 1, 2a)  
MAD  
ASD  
NOTES:  
1. Formula only for dc to 2 MHz.  
2. Input clocks with duty cycles other than 50% affect bus performance. Timing parameters affected by input clock  
duty cycle are identified by (a) and (b). To recalculate the approximate bus timing values, substitute the following  
expressions in place of 1/8 t in the above formulas, where applicable:  
cyc  
(a) (1-DC) x 1/4 t  
cyc  
(b) DC x 1/4 t  
cyc  
Where:  
DC is the decimal value of duty cycle percentage (high time).  
3. All timing is shown with respect to 20% V and 70% V , unless otherwise noted.  
DD  
DD  
ELECTRICAL CHARACTERISTICS  
MC68HC11A8  
A-20  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
1
2
3
E
4a  
4b  
12  
9
R/W, ADDRESS  
(NON-MUX)  
22  
35  
17  
36  
29  
18  
21  
READ  
ADDRESS  
DATA  
ADDRESS/DATA  
(MULTIPLEXED)  
19  
WRITE  
ADDRESS  
DATA  
25  
24  
4a  
4b  
AS  
A
26  
27  
28  
NOTE: Measurement points shown are 20% and 70% of V  
.
MUX BUS TIM  
DD  
Figure A-14 Multiplexed Expansion Bus Timing Diagram  
ELECTRICAL CHARACTERISTICS  
MOTOROLA  
A-21  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
Table A-8 Serial Peripheral Interface (SPI) Timing  
V
= 5.0 Vdc ± 10%, V = 0 Vdc, T = T to T  
SS A L H  
DD  
Num  
Characteristic  
Symbol  
2.0 MHz  
3.0 MHz  
Unit  
Min  
Max  
Min  
Max  
Operating Frequency  
Master  
Slave  
f
f
dc  
dc  
0.5  
2.0  
dc  
dc  
0.5  
3.0  
f
op  
MHz  
op(m)  
)
op(s  
1
2
3
Cycle Time  
Master  
Slave  
t
t
2.0  
500  
2.0  
333  
t
cyc  
ns  
cyc(m)  
cyc(s)  
Enable Lead Time  
Master  
Slave  
(Note 2)  
t
250  
240  
ns  
ns  
lead(m)  
t
lead(s)  
Enable Lag Time  
Master  
Slave  
(Note 2)  
240  
ns  
ns  
t
t
250  
lag(m)  
lag(s)  
4
5
6
7
8
9
Clock (SCK) High Time  
Master  
Slave  
t
t
340  
190  
227  
127  
ns  
ns  
w(SCKH)m  
t
w(SCKH)s  
Clock (SCK) Low Time  
Master  
Slave  
340  
190  
227  
127  
ns  
ns  
w(SCKL)m  
A
t
w(SCKL)s  
Data Setup Time (Inputs)  
Master  
Slave  
t
100  
100  
100  
100  
ns  
ns  
su(m)  
t
su(s)  
Data Hold Time (Inputs)  
Master  
Slave  
t
100  
100  
100  
100  
ns  
ns  
h(m)  
t
h(s)  
Access Time (Time to Data Active from High-  
Impedance State)  
Slave  
t
0
120  
0
120  
ns  
a
Disable Time (Hold Time to High-Impedance State)  
Slave  
t
0
240  
240  
0
167  
167  
ns  
ns  
ns  
dis  
10 Data Valid (After Enable Edge)(Note 3)  
t
v(s)  
11 Data Hold Time (Outputs) (After Enable Edge)  
t
ho  
12 Rise Time (20% V to 70% V , CL = 200 pF)  
DD  
DD  
SPI Outputs (SCK, MOSI, and MISO)  
SPI Inputs (SCK, MOSI, MISO, and SS)  
t
t
100  
2.0  
100  
2.0  
ns  
µs  
rm  
rs  
13 Fall Time (70% V to 20% V , C = 200 pF)  
DD  
DD  
L
SPI Outputs (SCK, MOSI, and MISO)  
SPI Inputs (SCK, MOSI, MISO, and SS)  
t
t
100  
2.0  
100  
2.0  
ns  
µs  
fm  
fs  
NOTES:  
1. All timing is shown with respect to 20% V and 70% V , unless otherwise noted.  
DD  
DD  
2. Signal production depends on software.  
3. Assumes 200 pF load on all SPI pins.  
ELECTRICAL CHARACTERISTICS  
MC68HC11A8  
TECHNICAL DATA  
A-22  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
Table A-8a Serial Peripheral Interface (SPI) Timing (MC68L11A8)  
V
= 3.0 Vdc to 5.5 Vdc, V = 0 Vdc, T = T to T  
SS A L H  
DD  
Num  
Characteristic  
Symbol  
1.0 MHz  
2.0 MHz  
Unit  
Min  
Max  
Min  
Max  
Operating Frequency  
Master  
Slave  
f
f
dc  
dc  
0.5  
1.0  
dc  
dc  
0.5  
2.0  
f
op  
MHz  
op(m)  
)
op(s  
1
2
3
Cycle Time  
Master  
Slave  
t
t
2.0  
1000  
2.0  
500  
t
cyc  
ns  
cyc(m)  
cyc(s)  
Enable Lead Time  
Master  
Slave  
(Note 2)  
t
500  
250  
ns  
ns  
lead(m)  
t
lead(s)  
Enable Lag Time  
Master  
Slave  
(Note 2)  
ns  
ns  
t
t
500  
250  
lag(m)  
lag(s)  
4
5
6
7
8
9
Clock (SCK) High Time  
Master  
Slave  
t
t
680  
380  
340  
190  
ns  
ns  
w(SCKH)m  
t
w(SCKH)s  
Clock (SCK) Low Time  
Master  
Slave  
680  
380  
340  
190  
ns  
ns  
w(SCKL)m  
t
A
w(SCKL)s  
Data Setup Time (Inputs)  
Master  
Slave  
t
100  
100  
100  
100  
ns  
ns  
su(m)  
t
su(s)  
Data Hold Time (Inputs)  
Master  
Slave  
t
100  
100  
100  
100  
ns  
ns  
h(m)  
t
h(s)  
Access Time (Time to Data Active from High-  
Impedance State)  
Slave  
t
0
120  
0
120  
ns  
a
Disable Time (Hold Time to High-Impedance State)  
Slave  
t
0
240  
240  
0
240  
240  
ns  
ns  
ns  
dis  
10 Data Valid (After Enable Edge)(Note 3)  
t
v(s)  
11 Data Hold Time (Outputs) (After Enable Edge)  
t
ho  
12 Rise Time (20% V to 70% V , CL = 200 pF)  
DD  
DD  
SPI Outputs (SCK, MOSI, and MISO)  
SPI Inputs (SCK, MOSI, MISO, and SS)  
t
t
100  
2.0  
100  
2.0  
ns  
µs  
rm  
rs  
13 Fall Time (70% V to 20% V , C = 200 pF)  
DD  
DD  
L
SPI Outputs (SCK, MOSI, and MISO)  
SPI Inputs (SCK, MOSI, MISO, and SS)  
t
t
100  
2.0  
100  
2.0  
ns  
µs  
fm  
fs  
NOTES:  
1. All timing is shown with respect to 20% V and 70% V , unless otherwise noted.  
DD  
DD  
2. Signal production depends on software.  
3. Assumes 200 pF load on all SPI pins.  
ELECTRICAL CHARACTERISTICS  
MOTOROLA  
A-23  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
SS  
(INPUT)  
SS is held high on master.  
1
12  
13  
13  
12  
5
SCK (CPOL = 0)  
(OUTPUT)  
SEE  
NOTE  
4
5
SCK (CPOL = 1)  
(OUTPUT)  
SEE  
NOTE  
4
6
7
MISO  
(INPUT)  
MSB IN  
BIT 6 - - - -1  
BIT 6 - - - -1  
LSB IN  
11 (ref)  
10 (ref)  
11  
10  
MOSI  
(OUTPUT)  
MASTER MSB OUT  
MASTER LSB OUT  
12  
13  
NOTE: This first clock edge is generated internally but is not seen at the SCK pin.  
SPI MASTER CPHA0 TIM  
a) SPI Master Timing (CPHA = 0)  
A
SS  
(INPUT)  
SS is held high on master.  
1
13  
12  
5
SEE  
SCK (CPOL = 0)  
(OUTPUT)  
NOTE  
4
5
13  
SCK (CPOL = 1)  
(OUTPUT)  
SEE  
NOTE  
4
12  
7
LSB IN  
6
MISO  
(INPUT)  
MSB IN  
BIT 6 - - - -1  
BIT 6 - - - -1  
10 (ref)  
11 (ref)  
10  
11  
MOSI  
(OUTPUT)  
MASTER MSB OUT  
13  
NOTE: This last clock edge is generated internally but is not seen at the SCK pin.  
MASTER LSB OUT  
12  
SPI MASTER CPHA1 TIM  
b) SPI Master Timing (CPHA = 1)  
Figure A-15 SPI Timing Diagram (1 of 2)  
ELECTRICAL CHARACTERISTICS  
MC68HC11A8  
A-24  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
SS  
(INPUT)  
1
13  
12  
12  
3
5
4
SCK (CPOL = 0)  
(INPUT)  
4
5
2
SCK (CPOL = 1)  
(INPUT)  
13  
9
8
SEE  
NOTE  
MISO  
(OUTPUT)  
BIT 6 - - - -1  
BIT 6 - - - -1  
MSB OUT  
7
SLAVE LSB OUT  
SLAVE  
6
10  
11  
11  
MOSI  
(INPUT)  
MSB IN  
LSB IN  
NOTE: Not defined but normally MSB of character just received.  
SPI SLAVE CPHA0 TIM  
c) SPI Slave Timing (CPHA = 0)  
A
SS  
(INPUT)  
1
12  
13  
5
SCK (CPOL = 0)  
(INPUT)  
4
5
2
3
SCK (CPOL = 1)  
(INPUT)  
4
13  
12  
9
8
10  
SEE  
NOTE  
MISO  
(OUTPUT)  
SLAVE  
6
MSB OUT  
7
BIT 6 - - - -1  
10  
BIT 6 - - - -1  
SLAVE LSB OUT  
11  
MOSI  
(INPUT)  
MSB IN  
LSB IN  
NOTE: Not defined but normally LSB of character previously transmitted.  
SPI SLAVE CPHA1 TIM  
d) SPI Slave Timing (CPHA = 1)  
Figure A-15 SPI Timing Diagrams (2 of 2)  
ELECTRICAL CHARACTERISTICS  
MOTOROLA  
A-25  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
Table A-9 EEPROM Characteristics  
V
= 5.0 Vdc ± 10%, V = 0 Vdc, T = T to T  
SS A L H  
DD  
Characteristic  
Temperature Range  
– 40 to 85° C – 40 to 105° C – 40 to 125° C  
Unit  
Programming Time  
(Note 1)  
<1.0 MHz, RCO Enabled  
1.0 to 2.0 MHz, RCO Enabled  
2.0 MHz (or Anytime RCO Enabled)  
10  
20  
10  
15  
20  
ms  
Must use RCO Must use RCO  
15  
10  
20  
10  
Erase Time (Note 1)  
Byte, Row and Bulk  
10  
10,000  
10  
ms  
Write/Erase Endurance (Note 2)  
Data Retention (Note 2)  
10,000  
10  
10,000  
10  
Cycles  
Years  
NOTES:  
1. The RC oscillator (RCO) must be enabled (by setting the CSEL bit in the OPTION register) for EEPROM pro-  
gramming and erasure when the E-clock frequency is below 1.0 MHz.  
2. Refer to Reliability Monitor Report (current quarterly issue) for current failure rate information.  
Table A-9a EEPROM Characteristics (MC68L11A8)  
V
= 3.0 Vdc to 5.5 Vdc, V = 0 Vdc, T = T to T  
SS A L H  
DD  
Characteristic  
Temperature Range  
Unit  
– 20 to 70° C  
A
Programming Time  
(Note 1)  
3 V, E 2.0 MHz, RCO Enabled  
5 V, E 2.0 MHz, RCO Enabled  
25  
10  
ms  
ms  
Erase Time (Byte, Row and Bulk)  
(Note 1)  
3 V, E 2.0 MHz, RCO Enabled  
5 V, E 2.0 MHz, RCO Enabled  
25  
10  
Write/Erase Endurance (Note 2)  
Data Retention (Note 2)  
10,000  
10  
Cycles  
Years  
NOTES:  
1. The RC oscillator (RCO) must be enabled (by setting the CSEL bit in the OPTION register) for EEPROM pro-  
gramming and erasure.  
2. Refer to Reliability Monitor Report (current quarterly issue) for current failure rate information.  
ELECTRICAL CHARACTERISTICS  
MC68HC11A8  
A-26  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
B MECHANICAL DATA AND ORDERING INFORMATION  
B.1 Pin Assignments  
The MC68HC11A8 is available in the 52-pin plastic leaded chip carrier (PLCC), the 48-  
pin dual in-line package (DIP), or the 64-pin quad flat pack (QFP).  
S
46  
45  
44  
43  
42  
41  
40  
39  
38  
37  
36  
35  
34  
PE5/AN5  
PE1/AN1  
PE4/AN4  
PE0/AN0  
PB0/A8  
XTAL  
8
9
PC0/A0/D0  
PC1/A1/D1 10  
B
11  
PC2/A2/D2  
12  
PC3/A3/D3  
13  
PB1/A9  
PC4/A4/D4  
14  
PB2/A10  
PB3/A11  
PB4/A12  
PB5/A13  
PB6/A14  
PB7/A15  
PA0/IC3  
PC5/A5/D5  
15  
PC6/A6/D6  
16  
17  
18  
19  
20  
PC7/A7/D7  
RESET  
XIRQ  
IRQ  
PD0/RxD  
A8 52-PIN PLCC  
Figure B-1 52-Pin PLCC  
MECHANICAL DATA AND ORDERING INFORMATION  
MOTOROLA  
B-1  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
V
PA7/PAI/OC1  
PA6/OC2/OC1  
PA5/OC3/OC1  
PA4/OC4/OC1  
PA3/OC5/OC1  
PA2/IC1  
48  
47  
46  
45  
44  
43  
42  
41  
40  
39  
38  
37  
36  
35  
34  
33  
32  
31  
30  
29  
28  
27  
26  
25  
1
DD  
PD5/SS  
2
PD4/SCK  
PD3/MOSI  
PD2/MISO  
PD1/TxD  
PD0/RxD  
IRQ  
3
4
5
6
PA1/IC2  
7
PA0/IC3  
8
PB7/A15  
XIRQ  
9
RESET  
PB6/A14  
10  
11  
12  
13  
14  
15  
16  
17  
PB5/A13  
PC7/A7/D7  
PC6/A6/D6  
PC5/A5/D5  
PC4/A4/D4  
PC3/A3/D3  
PC2/A2/D2  
PC1/A1/D1  
PC0/A0/D0  
PB4/A12  
PB3/A11  
PB2/A10  
PB1/A9  
PB0/A8  
PE0/AN0  
PE1/AN1  
18  
19  
20  
21  
B
XTAL  
PE2/AN2  
EXTAL  
STRB/R/W  
E
PE3/AN3  
V
V
RL  
22  
23  
24  
RH  
SS  
V
STRA/AS  
MODA/LIR  
MODB/V  
STBY  
A8 48-PIN DIP  
Figure B-2 48-Pin DIP  
MECHANICAL DATA AND ORDERING INFORMATION  
MC68HC11A8  
B-2  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
D
S
P
P
                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                      A
                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                      C
                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                      C
                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                      A
                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                      A
                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                      A
                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                      A
V
P
P
                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                      D
                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                      D
P
V
6
6
                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                      2
                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                      1
                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                      0
                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                      9
                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                      8
                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                      7
                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                      6
5
                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                      4
                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                      3
                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                      2
                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                      1
                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                      0
                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                      9
1
2
3
4
5
6
7
8
NC  
PD0/RxD  
IRQ  
XIRQ  
NC  
RESET  
PC7/A7/D7  
PC6/A6/D6  
PC5/A5/D5  
PC4/A4/D4  
PC3/A3/D3  
PC2/A2/D2  
PC1/A1/D1  
NC  
48  
47  
46  
45  
44  
43  
42  
41  
40  
39  
38  
37  
36  
35  
PA0/IC3  
NC  
NC  
NC  
PB7/A15  
PB6/A14  
PB5/A13  
PB4/A12  
PB3/A11  
PB2/A10  
PB1/A9  
PB0/A8  
PE0/AN0  
PE4/AN4  
PE1/AN1  
PE5/AN5  
9
10  
11  
12  
13  
14  
15  
16  
34  
33  
PC0/A0/D0  
XTAL  
B
A8 64-PIN QFP  
Figure B-3 64-Pin QFP  
B.2 Package Dimensions  
The latest versions of case outlines 778-02, 767-02, and 840B-01 can be obtained  
from our website at http://design-net.com.  
MECHANICAL DATA AND ORDERING INFORMATION  
MOTOROLA  
B-3  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
Table B-1 Ordering Information  
Package  
Temperature  
– 40° to + 85°C  
– 40° to + 85°C  
– 40° to + 85°C  
– 40° to + 85°C  
– 40° to + 105°C  
– 40° to + 125°C  
– 40° to + 85°C  
– 40° to + 85°C  
– 40° to + 105°C  
– 40° to + 125°C  
– 40° to + 85°C  
– 40° to + 105°C  
– 40° to + 125°C  
CONFIG  
$0C  
$0C  
$0D  
$0D  
$0D  
$0D  
$09  
Description  
No ROM, No EEPROM  
No ROM, No EEPROM, 3 MHz  
No ROM  
MC Order Number  
MC68HC11A0CFN2  
MC68HC11A0CFN3  
MC68HC11A1CFN2  
MC68HC11A1CFN3  
MC68HC11A1VFN2  
MC68HC11A1MFN2  
MC68HCP11A1CFN2  
MC68HCP11A1CFN3  
MC68HCP11A1VFN2  
MC68HCP11A1MFN2  
MC68HC11A8BCFN2  
MC68HC11A8VCFN2  
MC68HC11A8MCFN2  
No ROM, 3 MHz  
No ROM  
No ROM  
52-Pin PLCC  
No ROM, COP On  
No ROM, COP On, 3 MHz  
No ROM, COP On  
No ROM, COP On  
BUFFALO ROM  
BUFFALO ROM  
BUFFALO ROM  
$09  
$09  
$09  
$0F  
$0F  
$0F  
– 40° to + 85°C  
– 40° to + 85°C  
– 40° to + 85°C  
– 40° to + 85°C  
– 40° to + 105°C  
– 40° to + 125°C  
– 40° to + 85°C  
– 40° to + 85°C  
– 40° to + 105°C  
– 40° to + 125°C  
– 40° to + 85°C  
– 40° to + 105°C  
– 40° to + 125°C  
$0C  
$0C  
$0D  
$0D  
$0D  
$0D  
$09  
$09  
$09  
$09  
$0F  
$0F  
$0F  
No ROM, No EEPROM  
No ROM, No EEPROM, 3 MHz  
No ROM  
MC68HC11A0CP2  
MC68HC11A0CP3  
MC68HC11A1CP2  
MC68HC11A1CP3  
MC68HC11A1VP2  
MC68HC11A1MP2  
MC68HCP11A1CP2  
MC68HCP11A1CP3  
MC68HCP11A1VP2  
MC68HCP11A1MP2  
MC68HC11A8BCP2  
MC68HC11A8BVP2  
MC68HC11A8BMP2  
B
No ROM, 3 MHz  
No ROM  
No ROM  
48-Pin DIP  
No ROM, COP On  
No ROM, COP On, 3 MHz  
No ROM, COP On  
No ROM, COP On  
BUFFALO ROM  
BUFFALO ROM  
BUFFALO ROM  
– 40° to + 85°C  
– 40° to + 85°C  
– 40° to + 85°C  
– 40° to + 85°C  
– 40° to + 105°C  
– 40° to + 125°C  
– 40° to + 85°C  
– 40° to + 105°C  
$0C  
$0C  
$0D  
$0D  
$0D  
$0D  
$0F  
$0F  
No ROM, No EEPROM  
No ROM, No EEPROM, 3 MHz  
No ROM  
MC68HC11A0CFU2  
MC68HC11A0CFU3  
MC68HC11A1CFU2  
MC68HC11A1CFU3  
MC68HC11 A1VFU2  
MC68HC11A1MFU2  
MC68HC11A8BCFU2  
MC68HC11A8VCFU2  
64-Pin QFP  
No ROM, 3 MHz  
No ROM  
No ROM  
BUFFALO ROM  
BUFFALO ROM  
MECHANICAL DATA AND ORDERING INFORMATION  
MC68HC11A8  
B-4  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
MC 68 HC P 7 11XX B C FN 3 R2  
QUALIFICATION LEVEL  
MC — FULLY SPECIFIED AND QUALIFIED  
XC — PILOT PRODUCTION DEVICE  
PC — ENGINEERING SAMPLE  
NUMERIC DESIGNATOR (OPTIONAL)  
OPERATING VOLTAGE RANGE  
HC — HCMOS (V = 5.0 VDC ±10%)  
DD  
L — HCMOS (V = 3.0 VDC TO 5.5 VDC)  
DD  
COP OPTION (ONLY ON A-SERIES DEVICES)  
NONE — COP DISABLED  
P — COP ENABLED  
MEMORY TYPE  
BLANK — MASKED ROM OR NO ROM  
7 — EPROM/OTPROM  
8 — EEPROM  
B
BASE PART NUMBER  
11A8, 11D3, 11E9, 11K4, ETC.  
MONITOR MASK  
NONE — BLANK  
B — BUFFALO  
TEMPERATURE RANGE  
NONE —  
0°C TO 70°C  
C — – 40°C TO 85°C  
V — – 40°C TO 105°C  
M — – 40°C TO 125°C  
PACKAGE TYPE  
FN — 44/52/68/84-PIN PLCC  
FS — 44/52/68/84-PIN CLCC  
FU — 64/80-PIN QFP  
FB — 44-PIN QFP  
PV — 112-PIN TQFP  
PU — 80/100-PIN TQFP  
PB — 52-PIN TQFP  
P — 40/48-PIN DIP  
S — 48-PIN SDIP  
MAXIMUM SPECIFIED CLOCK SPEED  
2 — 2.0 MHz  
3 — 3.0 MHz  
4 — 4.0 MHz  
TAPE AND REEL OPTION  
NONE — STANDARD PACKAGING  
R2 — TAPE AND REEL PACKAGING  
HC11 PART NUMBERING  
Figure B-4 M68HC11 P/N Options  
MECHANICAL DATA AND ORDERING INFORMATION  
MOTOROLA  
B-5  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
B
MECHANICAL DATA AND ORDERING INFORMATION  
MC68HC11A8  
B-6  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
C DEVELOPMENT SUPPORT  
C.1 M68HC11EVB — Evaluation Board  
Refer to the M68HC11EVB Evaluation Board User’s Manual (M68HC11EVB/D1). The  
Evaluation Board (EVB) is a low cost tool for debugging and evaluating M68HC11  
MCU-based target system equipment. The EVB is designed to operate in either the  
debugging or evaluation mode of operation.  
C.1.1 EVB Features  
• A low cost means of debugging user-assembled code and evaluating target sys-  
tems incorporating M68HC11 MCUs  
• One-line assembler/disassembler  
• Host computer downloading capability  
• M68HC11 MCU-based debugging/evaluating circuitry  
• MC68HC24 Port Replacement Unit (PRU)-based MCU l/O expansion circuitry  
• MC6850 Asynchronous Communications Interface Adaptor (AClA)-based termi-  
nal l/O port circuitry  
C
• RS-232C compatible terminal/host computer l/O ports  
C.2 M68HC11EVBU — Universal Evaluation Board  
Refer to M68HC11EVBU Universal Evaluation Board User’s Manual  
(M68HC11EVBU/AD1). The EVBU is a low cost development tool for debugging and  
evaluation of MC68HC11A8, E9, 7E9, and 8E2 MCUs. The EVBU was designed along  
with a monitor/debugging program called BUFFALO (Bit User Fast Friendly Aid to Log-  
ical Operations). This monitor program is contained in MCU ROM. The debugging/  
evaluation operation allows the user to debug user code under control of the BUFFA-  
LO monitor program.  
C.2.1 EVBU Features  
• A low-cost means of debugging user assembled code and evaluating  
MC68HC11A8, E9, 7E9, and 8E2 MCU devices  
• BUFFALO monitor in ROM or PCBUG11 software working through boot mode  
• One-line assembler/disassembler  
• Program downloading capability  
• M68HC11-based debugging/evaluating circuitry  
• RS-232C compatible terminal l/O port  
• Wire-wrap area for custom interfacing  
• Single (+5 Vdc) input power source requirements  
DEVELOPMENT SUPPORT  
MOTOROLA  
C-1  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
C.3 M68HC11EVM — Evaluation Module  
Refer to M68HC11EVM Evaluation Module User’s Manual (M68HC11EVM/AD7). The  
EVM is a tool for designing, debugging, and evaluation of M68HC11 MCU-based tar-  
get system equipment. By providing MCU timing and l/O circuitry, the EVM simplifies  
user evaluation of the prototype hardware/software product. The EVM requires a user-  
supplied power supply and an RS-232C compatible terminal for operation. The EVM  
evaluates both single-chip and expanded-multiplexed modes of operation. Generat-  
ing, executing, and debugging target system MCU code is accomplished in either  
mode.  
C.3.1 EVM Features  
• Low cost means of evaluating target systems with M68HC11 A- and E-series de-  
vices  
• Monitor/debugger firmware  
• One-line assembler/disassembler  
• Host computer download capability  
• Dual 64 Kbyte memory maps:  
— 16 Kbyte monitor EPROM  
C
— 8 Kbyte/16 Kbyte user pseudo-ROM  
• EEPROM MCU programmer  
• MCU (single-chip/expanded-multiplexed mode) extension l/O ports  
• RS-232C terminal and host computer l/O ports  
C.4 MMDS11 — Modular Development System  
The M68MMDS11 Motorola Modular Development System (MMDS11) is an emulator  
system for developing embedded systems based on an M68HC11 microcontroller unit  
(MCU). The MMDS11 provides a bus state analyzer and real-time memory windows.  
The unit's integrated development environment includes an editor, an assembler, user  
interface, and source-level debugging capability. These features significantly reduce  
the time necessary to develop and debug an embedded control system. The unit's  
compact size requires a minimum of bench space. The MMDS11 is one component of  
Motorola's modular approach to MCU-based product development. This modular ap-  
proach allows easy configuration of the MMDS11 to fit a wide range of requirements.  
It also reduces development system cost by allowing the user to purchase only the  
modular components necessary to support the particular MCU device family.  
The station module is a metal enclosure that contains a printed circuit board (the con-  
trol board), a test emulator module (TEM), and an internal power supply. A power ca-  
ble, an RS-232 serial cable, two logic clip cables (with clips), and a 9- to 25-pin RS-  
232 adapter come with the MMDS11. The system requires an IBM-PC (or compatible)  
host computer. Connection to a target system is via a separately purchased M68HC11  
emulator module (EM) and target cable. The EM completes MMDS11 functionality for  
a particular MCU derivative or MCU family. The many EMs available let the system  
emulate a variety of different M68HC11 MCUs. Connection to a target system is made  
with a separately purchased cable (with the appropriate connector) which connects  
the EM to the target system. MMDS11 features include:  
DEVELOPMENT SUPPORT  
MC68HC11A8  
C-2  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
C.4.1 MMDS11Features  
• Real-time, non-intrusive, in-circuit emulation at the MCU's operating frequency  
• Real-time bus state analyzer  
— 8K x 64 real-time trace buffer  
— Display of real-time trace data as raw data, disassembled instructions, raw  
data and disassembled instructions, or assembly-language source code  
— Four hardware triggers for commencing trace and to provide breakpoints  
— Nine triggering modes  
— As many as 8190 pre- or post-trigger points for trace data  
— 16 general-purpose logic clips, four of which can be used to trigger the bus  
state analyzer sequencer  
— 16-bit time tag, or an optional 24-bit time tag that reduces the logic clips traced  
from sixteen to eight  
• Four data breakpoints (hardware breakpoints)  
• Hardware instruction breakpoints over either the 64 Kbyte M68HC11 memory  
map, or over a 1MB bank-switched memory map  
• Thirty-two real-time variables, nine of which can be displayed in the variables win-  
dow. These variables may be read or written while the MCU is running  
• Thirty-two bytes of real-time memory can be displayed in the memory window.  
This memory may be read or written while the MCU is running  
• 64 Kbytes of fast emulation memory (SRAM)  
• Target input/output connections are current limited  
• Six software-selectable oscillator clock sources: five internally generated fre-  
quencies and an external frequency via a bus analyzer logic clip  
• Command and response logging to MS DOS disk files to save session history  
• SCRIPT command for automatic execution of a sequence of MMDS11 com-  
mands  
C
• Assembly or C-language source-level debugging with global variable viewing  
• Host/emulator communications speeds as high as 57600 baud for quick program  
loading  
• Extensive on-line MCU information via the CHIPINFO command. View memory-  
map, vectors, register, and pin-out information pertaining to the device being em-  
ulated  
• Host software supports  
— An editor  
— An assembler, user interface, and source-level debugging capability  
— Bus state analysis  
— IBM mouse  
DEVELOPMENT SUPPORT  
MOTOROLA  
C-3  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
C
DEVELOPMENT SUPPORT  
MC68HC11A8  
C-4  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
SUMMARY OF CHANGES  
This is a revision with complete reprint. All known errors in the publication have been  
corrected. The following summary lists significant changes. References to parts no  
longer manufactured have been removed throughout the document and are not noted  
individually. Typographical errors which do not effect content have not been noted.  
Section 5 Serial Communications Interface (SCI)  
Page 5-10  
Page 5-11  
12 MHz references added to table 5-3 (E = 3 MHz).  
4800 Baud references added to table 5-4.  
Section 8 Programmable Timer, Real Time Interrupt, and Pulse Accumulator  
Page 8-8 12 MHz references added to table 8-1.  
Section 9 Resets, Interrupts, And Low Power Modes  
S
Page 9-5  
12 MHz references added to table 9-1 (E = 3 MHz).  
Appendix A Electrical Characteristics  
Page A-1 to A-26 Appendix A updated to include low-voltage characteristics.  
Appendix B Mechanical Data and Ordering Information  
Page B-4 to B-6  
Page B-8  
Case Outlines removed.  
Figure B-7 has been replaced.  
SUMMARY OF CHANGES  
MOTOROLA  
S-1  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  
Freescale Semiconductor, Inc.  
Home Page:  
www.freescale.com  
email:  
support@freescale.com  
USA/Europe or Locations Not Listed:  
Freescale Semiconductor  
Technical Information Center, CH370  
1300 N. Alma School Road  
Chandler, Arizona 85224  
(800) 521-6274  
RoHS-compliant and/or Pb- free versions of Freescale products have the functionality  
and electrical characteristics of their non-RoHS-compliant and/or non-Pb- free  
counterparts. For further information, see http://www.freescale.com or contact your  
Freescale sales representative.  
Ra  
co  
    n
d
S
le  
-compl  
 c
 t
 i
       a
 i
n
t
an  
c
d
te is  
/
 r
 P
 t
 -
 f
 e
t
e
r
r
  i
 n
 s
R
o
o
f
HS  
r
sca  
p
l
proand o/  
scale c. om or contact  
duc  
ts  
r
n
v
n
e
-
      P
      b
          -
f
nc  
 t
 l
 t
  F
      r
n
s
t
 r
a
r
.
F
    s
 e
fu  
 r
 h
 e
 r
t
     t
 e
 .
t
p
:
.
 y
 o
 u
 r
  h
t
r
:
/
     w
w
 .
e
s
l
 e
  o
m
cael  
       p
p
.s  
E
n
v
i
o
 n
 m
e
n
t
 l
 P
  r
 d
 u
 c
 t
 p
 r
  g
    r
  m
 ,
 g
 o
t
For information on Freescale.s Environmental Products program, go to  
http://www.freescale.com/epp.  
480-768-2130  
support@freescale.com  
Europe, Middle East, and Africa:  
Freescale Halbleiter Deutschland GmbH  
Technical Information Center  
Schatzbogen 7  
81829 Muenchen, Germany  
+44 1296 380 456 (English)  
+46 8 52200080 (English)  
+49 89 92103 559 (German)  
+33 1 69 35 48 48 (French)  
support@freescale.com  
Japan:  
S
Freescale Semiconductor Japan Ltd.  
Headquarters  
ARCO Tower 15F  
1-8-1, Shimo-Meguro, Meguro-ku  
Tokyo 153-0064, Japan  
0120 191014  
Information in this document is provided solely to enable system and software  
implementers to use Freescale Semiconductor products. There are no express or  
implied copyright licenses granted hereunder to design or fabricate any integrated  
circuits or integrated circuits based on the information in this document.  
+81 2666 8080  
support.japan@freescale.com  
Asia/Pacific:  
Freescale Semiconductor Hong Kong Ltd.  
Technical Information Center  
2 Dai King Street  
Tai Po Industrial Estate,  
Tai Po, N.T., Hong Kong  
+800 2666 8080  
support.asia@freescale.com  
For Literature Requests Only:  
Freescale Semiconductor  
Literature Distribution Center  
P.O. Box 5405  
Denver, Colorado 80217  
(800) 441-2447  
303-675-2140  
Fax: 303-675-2150  
LDCForFreescaleSemiconductor  
@hibbertgroup.com  
Freescale Semiconductor reserves the right to make changes without further notice to  
any products herein. Freescale Semiconductor makes no warranty, representation or  
guarantee regarding the suitability of its products for any particular purpose, nor does  
Freescale Semiconductor assume any liability arising out of the application or use of  
any product or circuit, and specifically disclaims any and all liability, including without  
limitation consequential or incidental damages. “Typical” parameters which may be  
provided in Freescale Semiconductor data sheets and/or specifications can and do  
vary in different applications and actual performance may vary over time. All operating  
parameters, including “Typicals” must be validated for each customer application by  
customer’s technical experts. Freescale Semiconductor does not convey any license  
under its patent rights nor the rights of others. Freescale Semiconductor products are  
not designed, intended, or authorized for use as components in systems intended for  
surgical implant into the body, or other applications intended to support or sustain life,  
or for any other application in which the failure of the Freescale Semiconductor product  
could create a situation where personal injury or death may occur. Should Buyer  
purchase or use Freescale Semiconductor products for any such unintended or  
unauthorized application, Buyer shall indemnify and hold Freescale Semiconductor  
and its officers, employees, subsidiaries, affiliates, and distributors harmless against all  
claims, costs, damages, and expenses, and reasonable attorney fees arising out of,  
directly or indirectly, any claim of personal injury or death associated with such  
unintended or unauthorized use, even if such claim alleges that Freescale  
Semiconductor was negligent regarding the design or manufacture of the part.  
SUMMARY OF CHANGES  
MC68HC11A8  
S-2  
TECHNICAL DATA  
For More Information On This Product,  
Go to: www.freescale.com  

相关型号:

MC68HC11A1MP

8-Bit Microcontrollers
MOTOROLA

MC68HC11A1MP

8-Bit Microcontrollers
FREESCALE

MC68HC11A1MP2

HCMOS Single-Chip Microcontroller
MOTOROLA

MC68HC11A1P

8-Bit Microcontrollers
MOTOROLA

MC68HC11A1P

8-Bit Microcontrollers
FREESCALE

MC68HC11A1VFN

8-Bit Microcontrollers
MOTOROLA

MC68HC11A1VFN

8-Bit Microcontrollers
FREESCALE

MC68HC11A1VFN2

HCMOS Single-Chip Microcontroller
MOTOROLA

MC68HC11A1VFN2R2

IC,MICROCONTROLLER,8-BIT,6800 CPU,HC-CMOS,LDCC,52PIN,PLASTIC
NXP

MC68HC11A1VFU

Microcontroller, 8-Bit, EEPROM, 3MHz, CMOS, PQFP64, QFP-64
MOTOROLA

MC68HC11A1VFU2

HCMOS Single-Chip Microcontroller
MOTOROLA

MC68HC11A1VFU2R2

IC,MICROCONTROLLER,8-BIT,6800 CPU,HC-CMOS,QFP,64PIN,PLASTIC
NXP