FQD3N40TM [FAIRCHILD]

Power Field-Effect Transistor, 2A I(D), 400V, 3.4ohm, 1-Element, N-Channel, Silicon, Metal-oxide Semiconductor FET, TO-252, DPAK-3;
FQD3N40TM
型号: FQD3N40TM
厂家: FAIRCHILD SEMICONDUCTOR    FAIRCHILD SEMICONDUCTOR
描述:

Power Field-Effect Transistor, 2A I(D), 400V, 3.4ohm, 1-Element, N-Channel, Silicon, Metal-oxide Semiconductor FET, TO-252, DPAK-3

开关 脉冲 晶体管
文件: 总9页 (文件大小:667K)
中文:  中文翻译
下载:  下载PDF数据表文档文件
ꢀꢁꢂꢃꢄꢅꢆꢇꢇꢇ  
ꢀꢁ  
QFET  
ꢀꢁꢂꢃꢄꢅꢆꢇꢈꢇꢀꢁꢉꢃꢄꢅꢆ  
ꢀꢁꢁꢂꢃꢄꢅꢆꢇꢈꢉꢉꢊꢋꢃꢌꢍꢎꢏꢐꢑ  
ꢀꢁꢂꢁꢃꢄꢅꢆꢇꢁꢈꢉꢃꢊꢋꢌꢊꢍꢂ  
ꢎꢁꢄꢌꢏꢃꢁꢈ  
ꢀꢁꢂꢃꢂꢄ ꢅꢆꢇꢁꢈꢉꢉꢂꢊꢄ ꢂꢉꢁꢈꢉꢋꢂꢌꢂꢉꢍꢄ ꢌꢎꢏꢂꢄ ꢐꢎꢑꢂꢒꢄ ꢓꢔꢂꢊꢏꢄ ꢂꢓꢓꢂꢋꢍ  
ꢍꢒꢈꢉꢃꢔꢃꢍꢎꢒꢃꢄ ꢈꢒꢂꢄ ꢐꢒꢎꢏꢕꢋꢂꢏꢄ ꢕꢃꢔꢉꢖꢄ ꢗꢈꢔꢒꢋꢁꢔꢊꢏꢘꢃꢄ ꢐꢒꢎꢐꢒꢔꢂꢍꢈꢒꢙꢚ  
ꢐꢊꢈꢉꢈꢒꢄꢃꢍꢒꢔꢐꢂꢚꢄꢛꢜꢝꢞꢄꢍꢂꢋꢁꢉꢎꢊꢎꢖꢙ  
$
$
$
$
$
$
% &'ꢚꢄ(&&)ꢚꢄ*  
ꢄ+ꢄ, (ꢄ-) ꢄ+ꢄ.&ꢄ)  
ꢀꢁꢂꢃꢄꢅ ꢆꢁ  
/ꢎꢑꢄꢖꢈꢍꢂꢄꢋꢁꢈꢒꢖꢂꢄ0ꢄꢍꢙꢐꢔꢋꢈꢊꢄꢄ1 &ꢄꢉꢇ2  
/ꢎꢑꢄꢇꢒꢃꢃꢄ0ꢄꢍꢙꢐꢔꢋꢈꢊꢄꢄ( %ꢄꢐꢗ2  
ꢗꢈꢃꢍꢄꢃꢑꢔꢍꢋꢁꢔꢉꢖ  
ꢀꢁꢔꢃꢄꢈꢏ!ꢈꢉꢋꢂꢏꢄꢍꢂꢋꢁꢉꢎꢊꢎꢖꢙꢄꢁꢈꢃꢄ"ꢂꢂꢉꢄꢂꢃꢐꢂꢋꢔꢈꢊꢊꢙꢄꢍꢈꢔꢊꢎꢒꢂꢏꢄꢍꢎ  
ꢌꢔꢉꢔꢌꢔ#ꢂꢄ ꢎꢉꢆꢃꢍꢈꢍꢂꢄ ꢒꢂꢃꢔꢃꢍꢈꢉꢋꢂꢚꢄ ꢐꢒꢎ!ꢔꢏꢂꢄ ꢃꢕꢐꢂꢒꢔꢎꢒꢄ ꢃꢑꢔꢍꢋꢁꢔꢉꢖ  
ꢐꢂꢒꢓꢎꢒꢌꢈꢉꢋꢂꢚꢄ ꢈꢉꢏꢄ ꢑꢔꢍꢁꢃꢍꢈꢉꢏꢄ ꢁꢔꢖꢁꢄ ꢂꢉꢂꢒꢖꢙꢄ ꢐꢕꢊꢃꢂꢄ ꢔꢉꢄ ꢍꢁꢂ  
ꢈ!ꢈꢊꢈꢉꢋꢁꢂꢄꢈꢉꢏꢄꢋꢎꢌꢌꢕꢍꢈꢍꢔꢎꢉꢄꢌꢎꢏꢂ ꢄꢀꢁꢂꢃꢂꢄꢏꢂ!ꢔꢋꢂꢃꢄꢈꢒꢂꢄꢑꢂꢊꢊ  
ꢃꢕꢔꢍꢂꢏꢄ ꢓꢎꢒꢄ ꢁꢔꢖꢁꢄ ꢂꢓꢓꢔꢋꢔꢂꢉꢋꢙꢄ ꢃꢑꢔꢍꢋꢁꢄ ꢌꢎꢏꢂꢄ ꢐꢎꢑꢂꢒꢄ ꢃꢕꢐꢐꢊꢙꢚ  
ꢂꢊꢂꢋꢍꢒꢎꢉꢔꢋꢄꢊꢈꢌꢐꢄ"ꢈꢊꢊꢈꢃꢍꢄ"ꢈꢃꢂꢏꢄꢎꢉꢄꢁꢈꢊꢓꢄ"ꢒꢔꢏꢖꢂ  
.&&3ꢄꢈ!ꢈꢊꢈꢉꢋꢁꢂꢄꢍꢂꢃꢍꢂꢏ  
4ꢌꢐꢒꢎ!ꢂꢏꢄꢏ!5ꢏꢍꢄꢋꢈꢐꢈ"ꢔꢊꢔꢍꢙ  
!
"
! "  
"
"
!
ꢆꢆꢀꢁꢂꢃꢄꢅ  
ꢀꢁꢂꢃꢄꢅꢆꢇꢅꢈ  
ꢀꢆꢂꢃꢄꢅ  
ꢀꢁꢉꢃꢄꢅꢆꢇꢅꢈ  
!
ꢒꢓꢔꢕꢋꢖꢗꢊꢃꢌꢈꢘꢙꢚꢖꢚꢃꢛꢈꢗꢙꢉꢜꢔꢀꢀꢀꢁ ꢀꢂꢀꢃꢄꢅꢆꢀꢇꢈꢉꢊꢋꢋꢀꢌꢍꢎꢊꢏꢐꢑꢋꢊꢀꢈꢌꢍꢊꢒ  
ꢂꢃꢄꢅꢆꢇ  
ꢈꢉꢊꢉꢄꢋꢌꢋꢊ  
ꢍꢎꢏꢐꢑꢒꢓꢔꢕꢔꢍꢎꢖꢐꢑꢒꢓ  
ꢖꢗꢘꢌꢙ  
)
)
4
ꢛꢒꢈꢔꢉꢆꢞꢎꢕꢒꢋꢂꢄ)ꢎꢊꢍꢈꢖꢂ  
(&&  
% &  
ꢀꢁꢁ  
ꢆꢄꢇꢎꢉꢍꢔꢉꢕꢎꢕꢃꢄ0ꢀ ꢄ+ꢄ%67ꢇ2  
ꢛꢒꢈꢔꢉꢄꢇꢕꢒꢒꢂꢉꢍꢄ  
'
ꢆꢄꢇꢎꢉꢍꢔꢉꢕꢎꢕꢃꢄ0ꢀ ꢄ+ꢄ.&&7ꢇ2  
. %6  
9 &  
'
4
ꢓꢔꢌꢍꢊꢀꢕꢖ  
ꢛꢒꢈꢔꢉꢄꢇꢕꢒꢒꢂꢉꢍꢄ  
ꢆꢄ8ꢕꢊꢃꢂꢏ  
'
ꢀꢈ  
)
;
4
:ꢈꢍꢂꢆꢞꢎꢕꢒꢋꢂꢄ)ꢎꢊꢍꢈꢖꢂ  
±,&  
.%&  
)
ꢆꢁꢁ  
ꢉꢁ  
ꢓꢔꢌꢍꢊꢀꢃꢖ  
ꢓꢔꢌꢍꢊꢀꢕꢖ  
ꢓꢔꢌꢍꢊꢀꢕꢖ  
ꢓꢔꢌꢍꢊꢀꢗꢖ  
ꢞꢔꢉꢖꢊꢂꢄ8ꢕꢊꢃꢂꢏꢄ'!ꢈꢊꢈꢉꢋꢁꢂꢄ;ꢉꢂꢒꢖꢙ  
'!ꢈꢊꢈꢉꢋꢁꢂꢄꢇꢕꢒꢒꢂꢉꢍ  
ꢌ<  
'
% &  
ꢉꢊ  
;
*ꢂꢐꢂꢍꢔꢍꢔ!ꢂꢄ'!ꢈꢊꢈꢉꢋꢁꢂꢄ;ꢉꢂꢒꢖꢙ  
8ꢂꢈ=ꢄꢛꢔꢎꢏꢂꢄ*ꢂꢋꢎ!ꢂꢒꢙꢄꢏ!5ꢏꢍ  
, &  
ꢌ<  
)5ꢉꢃ  
?
ꢉꢊ  
ꢏ!5ꢏꢍ  
( 6  
8ꢎꢑꢂꢒꢄꢛꢔꢃꢃꢔꢐꢈꢍꢔꢎꢉꢄ0ꢀ ꢄ+ꢄ%67ꢇ2ꢄ>  
% 6  
8
8ꢎꢑꢂꢒꢄꢛꢔꢃꢃꢔꢐꢈꢍꢔꢎꢉꢄ0ꢀ ꢄ+ꢄ%67ꢇ2  
,&  
?
ꢆꢄꢛꢂꢒꢈꢍꢂꢄꢈ"ꢎ!ꢂꢄ%67ꢇ  
ꢝꢐꢂꢒꢈꢍꢔꢉꢖꢄꢈꢉꢏꢄꢞꢍꢎꢒꢈꢖꢂꢄꢌꢐꢂꢒꢈꢍꢕꢒꢂꢄ*ꢈꢉꢖꢂ  
& %(  
ꢆ66ꢄꢍꢎꢄ@.6&  
?57ꢇ  
7ꢇ  
 ꢚꢄꢀ  
ꢁꢌꢆ  
ꢜꢈAꢔꢌꢕꢌꢄꢊꢂꢈꢏꢄꢍꢂꢌꢐꢂꢒꢈꢍꢕꢒꢂꢄꢓꢎꢒꢄꢃꢎꢊꢏꢂꢒꢔꢉꢖꢄꢐꢕꢒꢐꢎꢃꢂꢃꢚ  
,&&  
7ꢇ  
.59ꢀꢁꢓꢒꢎꢌꢄꢋꢈꢃꢂꢄꢓꢎꢒꢄ6ꢄꢃꢂꢋꢎꢉꢏꢃ  
ꢑꢇꢊꢝꢚꢈꢋꢃꢆꢇꢈꢝꢈꢞꢗꢊꢝꢙꢔꢗꢙꢞꢔꢃ  
ꢂꢃꢄꢅꢆꢇ  
ꢈꢉꢊꢉꢄꢋꢌꢋꢊ  
ꢀꢃꢚ  
ꢆꢆ  
ꢁꢉꢛ  
( .B  
6&  
ꢖꢗꢘꢌꢙ  
7ꢇ?  
7ꢇ?  
7ꢇ?  
*
*
*
ꢀꢁꢂꢒꢌꢈꢊꢄ*ꢂꢃꢔꢃꢍꢈꢉꢋꢂꢚꢄ<ꢕꢉꢋꢍꢔꢎꢉꢆꢍꢎꢆꢇꢈꢃꢂ  
ꢀꢁꢂꢒꢌꢈꢊꢄ*ꢂꢃꢔꢃꢍꢈꢉꢋꢂꢚꢄ<ꢕꢉꢋꢍꢔꢎꢉꢆꢍꢎꢆ'ꢌ"ꢔꢂꢉꢍꢄ>  
ꢀꢁꢂꢒꢌꢈꢊꢄ*ꢂꢃꢔꢃꢍꢈꢉꢋꢂꢚꢄ<ꢕꢉꢋꢍꢔꢎꢉꢆꢍꢎꢆ'ꢌ"ꢔꢂꢉꢍ  
θꢋꢇ  
ꢆꢆ  
θꢋꢉ  
ꢆꢆ  
..&  
θꢋꢉ  
ꢀꢁꢂꢃꢄꢅꢁꢆꢇꢈꢅꢉꢄꢊꢁꢇꢅꢁꢉꢃꢄꢁꢆꢋꢅꢋꢆꢈꢆꢁꢌꢍꢊꢁꢎꢋꢏꢄꢁꢐꢄꢑꢇꢆꢆꢄꢅꢊꢄꢊꢁꢒꢓꢔꢕꢁꢖꢇꢈꢅꢉꢗ  
ꢀꢁꢂꢂꢂꢃꢄꢅꢆꢇꢈꢉꢆꢊꢋꢃꢌꢍꢎꢆꢈꢏꢐꢋꢑꢈꢒꢏꢇꢃꢓꢐꢒꢍꢇꢐꢅꢒꢆꢏꢐꢅꢊ  
ꢔꢍꢕꢖꢃꢗꢘꢃꢗꢙꢇꢆꢊꢃꢁꢂꢂꢂ  
ꢐꢋꢊꢞꢗꢝꢙꢞꢈꢋꢃꢆꢇꢈꢝꢈꢞꢗꢊꢝꢙꢔꢗꢙꢞꢔꢀꢀꢀꢀꢀ ꢀꢂꢀꢃꢄꢅꢆꢀꢇꢈꢉꢊꢋꢋꢀꢌꢍꢎꢊꢏꢐꢑꢋꢊꢀꢈꢌꢍꢊꢒ  
ꢂꢃꢄꢅꢆꢇ  
ꢈꢉꢊꢉꢄꢋꢌꢋꢊ  
ꢀꢋꢙꢌꢔꢝꢆꢗꢞꢘꢌꢘꢆꢗꢙ  
ꢁꢘꢗ  
 
ꢁꢉꢛ  
ꢖꢗꢘꢌꢙ  
ꢐꢑꢑꢆꢒꢓꢄꢃꢄꢉꢌꢁꢃꢊꢈꢌꢊꢉꢈ  
C)  
)
ꢄ+ꢄ&ꢄ)ꢚꢄ4 ꢄ+ꢄ%6&ꢄµ'  
ꢆꢁ   
ꢛꢒꢈꢔꢉꢆꢞꢎꢕꢒꢋꢂꢄCꢒꢂꢈ=ꢏꢎꢑꢉꢄ)ꢎꢊꢍꢈꢖꢂꢄ  
(&&  
ꢆꢆ  
ꢆꢆ  
ꢆꢆ  
ꢆꢆ  
)
ꢀꢁꢁ  
C)  
Cꢒꢂꢈ=ꢏꢎꢑꢉꢄ)ꢎꢊꢍꢈꢖꢂꢄꢌꢐꢂꢒꢈꢍꢕꢒꢂꢄ  
ꢇꢎꢂꢓꢓꢔꢋꢔꢂꢉꢍꢄ  
ꢀꢁꢁ  
4 ꢄ+ꢄ%6&ꢄµ'ꢚꢄ*ꢂꢓꢂꢒꢂꢉꢋꢂꢏꢄꢍꢎꢄ%67ꢇ  
& (  
)57ꢇ  
5ꢄꢄꢄ  
4
)
)
)
)
ꢄ+ꢄ(&&ꢄ)ꢄ) ꢄ+ꢄ&ꢄ)  
ꢆꢆ  
ꢆꢆ  
ꢆꢆ  
ꢆꢆ  
ꢆꢆ  
ꢆꢆ  
ꢆꢆ  
ꢆꢆ  
.
µ'  
µ'  
ꢉ'  
ꢉ'  
ꢀꢁꢁ  
ꢀꢁ  
ꢀꢁ  
ꢆꢁ  
ꢆꢁ  
ꢆꢁ  
Dꢂꢒꢎꢄ:ꢈꢍꢂꢄ)ꢎꢊꢍꢈꢖꢂꢄꢛꢒꢈꢔꢉꢄꢇꢕꢒꢒꢂꢉꢍ  
ꢄ+ꢄ,%&ꢄ)ꢄꢀ ꢄ+ꢄ.%67ꢇ  
.&  
4
ꢄ+ꢄ,&ꢄ)ꢚꢄ) ꢄ+ꢄ&ꢄ)ꢄ  
ꢀꢁ  
:ꢈꢍꢂꢆCꢎꢏꢙꢄ/ꢂꢈ=ꢈꢖꢂꢄꢇꢕꢒꢒꢂꢉꢍꢚꢄꢗꢎꢒꢑꢈꢒꢏ  
:ꢈꢍꢂꢆCꢎꢏꢙꢄ/ꢂꢈ=ꢈꢖꢂꢄꢇꢕꢒꢒꢂꢉꢍꢚꢄ*ꢂ!ꢂꢒꢃꢂ  
.&&  
ꢆ.&&  
ꢆꢁꢁꢎ  
4
ꢄ+ꢄꢆ,&ꢄ)ꢄ) ꢄ+ꢄ&ꢄ)ꢄ  
ꢀꢁ  
ꢆꢁꢁꢊ  
ꢐꢂꢆꢒꢓꢄꢃꢄꢉꢌꢁꢃꢊꢈꢌꢊꢉꢈꢆꢆꢆ  
)
)
)
)
ꢄ+ꢄ) ꢚꢄ4 ꢄ+ꢄ%6&ꢄµ'  
:ꢈꢍꢂꢄꢀꢁꢒꢂꢃꢁꢎꢊꢏꢄ)ꢎꢊꢍꢈꢖꢂꢄ  
, &  
ꢆꢆ  
ꢆꢆ  
6 &  
, (  
ꢆꢆ  
)
ꢆꢁꢂꢏꢐꢅ  
ꢀꢁ  
ꢆꢁ  
ꢀꢁ  
ꢆꢁ  
*
ꢞꢍꢈꢍꢔꢋꢄꢛꢒꢈꢔꢉꢆꢞꢎꢕꢒꢋꢂꢄ  
ꢝꢉꢆ*ꢂꢃꢔꢃꢍꢈꢉꢋꢂ  
ꢀꢁꢂꢃꢄꢅ  
ꢄ+ꢄ.&ꢄ)ꢚꢄ4 ꢄ+ꢄ. &ꢄ'  
% 1  
ꢄ+ꢄ6&ꢄ)ꢚꢄ4 ꢄ+ꢄ. &ꢄ'ꢄꢄꢀꢀꢀꢀꢀꢀꢀꢀꢀ  
ꢌꢍꢊꢀꢘꢖ  
ꢗꢎꢒꢑꢈꢒꢏꢄꢀꢒꢈꢉꢃꢋꢎꢉꢏꢕꢋꢍꢈꢉꢋꢂ  
ꢆꢆ  
. 66  
ꢎꢁ  
ꢇꢔꢂꢄꢕꢊꢉꢆꢒꢓꢄꢃꢄꢉꢌꢁꢃꢊꢈꢌꢊꢉꢈ  
4ꢉꢐꢕꢍꢄꢇꢈꢐꢈꢋꢔꢍꢈꢉꢋꢂ  
ꢆꢆ  
ꢆꢆ  
ꢆꢆ  
.9&  
,6  
%,&  
(6  
1
ꢐꢗ  
ꢐꢗ  
ꢐꢗ  
ꢑꢒꢒ  
)
ꢄ+ꢄ%6ꢄ)ꢚꢄ) ꢄ+ꢄ&ꢄ)ꢚꢄ  
ꢆꢁ  
ꢀꢁ  
ꢝꢕꢍꢐꢕꢍꢄꢇꢈꢐꢈꢋꢔꢍꢈꢉꢋꢂ  
ꢃꢒꢒ  
ꢓꢒꢒ  
ꢓꢄ+ꢄ. &ꢄꢜE#  
ꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀ  
*ꢂ!ꢂꢒꢃꢂꢄꢀꢒꢈꢉꢃꢓꢂꢒꢄꢇꢈꢐꢈꢋꢔꢍꢈꢉꢋꢂ  
( %  
ꢖꢗꢊꢌꢉꢓꢊꢂꢘꢆꢒꢓꢄꢃꢄꢉꢌꢁꢃꢊꢈꢌꢊꢉꢈꢆꢆꢆ  
ꢀꢕꢒꢉꢆꢝꢉꢄꢛꢂꢊꢈꢙꢄꢀꢔꢌꢂ  
ꢀꢕꢒꢉꢆꢝꢉꢄ*ꢔꢃꢂꢄꢀꢔꢌꢂ  
ꢀꢕꢒꢉꢆꢝꢓꢓꢄꢛꢂꢊꢈꢙꢄꢀꢔꢌꢂ  
ꢀꢕꢒꢉꢆꢝꢓꢓꢄꢗꢈꢊꢊꢄꢀꢔꢌꢂ  
ꢍꢈꢊꢄ:ꢈꢍꢂꢄꢇꢁꢈꢒꢖꢂ  
:ꢈꢍꢂꢆꢞꢎꢕꢒꢋꢂꢄꢇꢁꢈꢒꢖꢂ  
:ꢈꢍꢂꢆꢛꢒꢈꢔꢉꢄꢇꢁꢈꢒꢖꢂ  
ꢆꢆ  
ꢆꢆ  
ꢆꢆ  
ꢆꢆ  
ꢆꢆ  
ꢆꢆ  
ꢆꢆ  
F
%6  
F&  
,&  
1&  
B 6  
ꢆꢆ  
ꢉꢃ  
ꢉꢃ  
ꢔꢂꢃꢄꢅ  
)
ꢄ+ꢄ%&&ꢄ)ꢚꢄ4 ꢄ+ꢄ% 6ꢄ'ꢚ  
ꢀꢀ  
(&  
.&  
%6  
1 &  
. 1  
% 9  
* ꢄ+ꢄ%6ꢄΩ  
ꢉꢃ  
ꢔꢂꢃꢕꢕꢅ  
ꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢊꢀꢘꢙꢀꢄꢖ  
ꢉꢃ  
G
G
G
ꢉꢇ  
ꢉꢇ  
ꢉꢇ  
)
)
ꢄ+ꢄ,%&ꢄ)ꢄ4 ꢄ+ꢄ% 6ꢄ'ꢚ  
ꢀꢁ  
ꢄ+ꢄ.&ꢄ)ꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀ  
ꢖꢒ  
ꢖꢔ  
ꢆꢁ  
ꢀꢓꢔꢌꢍꢊꢀꢘꢙꢀꢄꢖ  
ꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀ  
ꢆꢆ  
ꢇꢃꢄꢊꢂꢙꢖꢍꢏꢃꢉꢁꢆꢇꢊꢍꢚꢁꢆꢒꢓꢄꢃꢄꢉꢌꢁꢃꢊꢈꢌꢊꢉꢈꢆꢄꢂꢚꢆꢛꢄꢜꢊꢕꢏꢕꢆꢝꢄꢌꢊꢂꢘꢈ  
4
ꢜꢈAꢔꢌꢕꢌꢄꢇꢎꢉꢍꢔꢉꢕꢎꢕꢃꢄꢛꢒꢈꢔꢉꢆꢞꢎꢕꢒꢋꢂꢄꢛꢔꢎꢏꢂꢄꢗꢎꢒꢑꢈꢒꢏꢄꢇꢕꢒꢒꢂꢉꢍ  
ꢜꢈAꢔꢌꢕꢌꢄ8ꢕꢊꢃꢂꢏꢄꢛꢒꢈꢔꢉꢆꢞꢎꢕꢒꢋꢂꢄꢛꢔꢎꢏꢂꢄꢗꢎꢒꢑꢈꢒꢏꢄꢇꢕꢒꢒꢂꢉꢍ  
ꢆꢆ  
ꢆꢆ  
ꢆꢆ  
ꢆꢆ  
ꢆꢆ  
ꢆꢆ  
ꢆꢆ  
% &  
9 &  
. 6  
ꢆꢆ  
'
'
4
ꢁꢈ  
)
)
)
ꢄ+ꢄ&ꢄ)ꢚꢄ4 ꢄ+ꢄ% &ꢄ'ꢄꢄ  
ꢛꢒꢈꢔꢉꢆꢞꢎꢕꢒꢋꢂꢄꢛꢔꢎꢏꢂꢄꢗꢎꢒꢑꢈꢒꢏꢄ)ꢎꢊꢍꢈꢖꢂ  
*ꢂ!ꢂꢒꢃꢂꢄ*ꢂꢋꢎ!ꢂꢒꢙꢄꢀꢔꢌꢂ  
ꢆꢆ  
)
ꢁꢀ  
ꢆꢁ  
ꢆꢁ  
ꢄ+ꢄ&ꢄ)ꢚꢄ4 ꢄ+ꢄ% 6ꢄ'ꢚ  
.B&  
& 1(  
ꢉꢃ  
µ  
ꢓꢓ  
ꢀꢓꢔꢌꢍꢊꢀꢘꢖ  
ꢏ4 ꢄ5ꢄꢏꢍꢄ+ꢄ.&&ꢄ'5µꢃꢄꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀ  
G
*ꢂ!ꢂꢒꢃꢂꢄ*ꢂꢋꢎ!ꢂꢒꢙꢄꢇꢁꢈꢒꢖꢂ  
ꢆꢆ  
ꢓꢓ  
ꢀꢁꢂꢃꢄꢅ  
ꢕꢚꢀꢛꢊꢜꢊꢍꢑꢍꢑꢝꢊꢀꢛꢞꢍꢑꢈ ꢀ!ꢀ"ꢇꢉꢋꢊꢀꢐꢑꢒꢍꢎꢀꢉꢑ#ꢑꢍꢊꢒꢀ$%ꢀ#ꢞ&ꢑ#ꢇ#ꢀ'ꢇꢈ(ꢍꢑꢌꢈꢀꢍꢊ#ꢜꢊꢏꢞꢍꢇꢏꢊ  
ꢃꢚꢀ)ꢀꢂꢀꢄꢗ#*ꢙꢀ+ ꢀꢂꢀꢃꢚ,-ꢙꢀ. ꢀꢂꢀꢄ,.ꢙꢀꢛ ꢀꢂꢀꢃꢄꢀΩ, /ꢍꢞꢏꢍꢑꢈ ꢀꢀꢁ ꢀꢂꢀꢃꢄꢅꢆ  
ꢁꢂ  
ꢃꢃ  
/ꢍꢞꢏꢍꢑꢈ ꢀꢀꢁ ꢀꢂꢀꢃꢄꢅꢆꢀꢀ  
ꢗꢚꢀ+ ꢀꢃꢚꢄ-ꢙꢀꢒꢑ0ꢒꢍꢀꢀꢃ,,-0µꢋꢙꢀ. ꢀ1.  
ꢂꢃ  
ꢃꢃ  
ꢃꢂꢂꢆꢇ  
ꢘꢚꢀ"ꢇꢉꢋꢊꢀꢁꢊꢋꢍꢀ!ꢀ"ꢇꢉꢋꢊꢀꢐꢑꢒꢍꢎꢀꢗ,,µꢋꢙꢀ2ꢇꢍ%ꢀ(%(ꢉꢊꢃ3  
ꢄꢚꢀ4ꢋꢋꢊꢈꢍꢑꢞꢉꢉ%ꢀꢑꢈꢒꢊꢜꢊꢈꢒꢊꢈꢍꢀꢌ5ꢀꢌꢜꢊꢏꢞꢍꢑꢈ ꢀꢍꢊ#ꢜꢊꢏꢞꢍꢇꢏꢊ  
ꢀꢁꢂꢂꢂꢃꢄꢅꢆꢇꢈꢉꢆꢊꢋꢃꢌꢍꢎꢆꢈꢏꢐꢋꢑꢈꢒꢏꢇꢃꢓꢐꢒꢍꢇꢐꢅꢒꢆꢏꢐꢅꢊ  
ꢔꢍꢕꢖꢃꢗꢘꢃꢗꢙꢇꢆꢊꢃꢁꢂꢂꢂ  
ꢑ !ꢙꢞꢈꢋꢃꢆꢇꢈꢝꢈꢞꢗꢊꢝꢙꢔꢗꢙꢞꢔ  
ꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢉ  
ꢀꢁ  
ꢑꢂꢒꢀꢀꢆꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢇꢍꢀꢉ  
ꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢇꢋꢀꢉ  
ꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢓꢈꢋꢀꢉ  
ꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢔꢈꢋꢀꢉ  
ꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢕꢈꢍꢀꢉ  
ꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢕꢈꢋꢀꢉ  
ꢖꢂꢃꢃꢂꢗꢀꢀꢆꢀꢀꢀꢀꢀꢍꢈꢍꢀꢉ  
ꢆꢀ  
ꢆꢇꢀ  
ꢆꢀ  
ꢂꢇꢀ  
ꢂꢃ  
ꢆꢀ  
ꢈꢇꢇꢀ  
ꢀꢁꢂꢃꢄꢅꢀꢆ  
ꢀꢁꢂꢃꢄꢅꢀꢆ  
ꢀꢀꢀꢇꢈꢀꢉꢀꢊꢀꢍꢋꢉ  
ꢀꢀꢀꢌꢈꢀꢌꢍꢋ ꢅꢀꢎꢏꢐꢅꢄꢀꢑꢄꢅꢃ  
ꢀꢀꢀꢇꢈꢀꢌꢍꢋ ꢅꢀꢎꢏꢐꢅꢄꢀꢑꢄꢅꢃ  
ꢀꢀꢀꢌꢈꢀꢑꢀꢊꢀꢌꢍ  
ꢂꢅ  
ꢂꢃ  
ꢆꢀ  
ꢆꢀ  
ꢂꢃ  
ꢆꢀ  
ꢆꢀꢄ  
ꢆꢀꢃ  
ꢆꢀ  
ꢀꢂꢀꢓꢋꢏꢈꢉꢃꢄꢅꢆꢇꢈꢀꢁꢄꢎꢏꢋꢐꢈꢀꢀꢑꢁꢒ  
ꢀꢂꢀꢊꢆꢋꢌꢍꢉꢃꢄꢅꢆꢇꢈꢀꢁꢄꢎꢏꢋꢐꢈꢀꢀꢑꢁꢒ  
ꢀꢁꢂꢃꢄꢅꢆ'ꢈꢆꢔꢎꢕꢖꢅꢂꢁꢘꢎꢆꢉꢏꢊꢄꢊꢌꢍꢅꢄꢁꢐꢍꢁꢌꢐ  
ꢀꢁꢂꢃꢄꢅꢆ%ꢈꢆ#ꢄꢊꢎꢐ&ꢅꢄꢆꢉꢏꢊꢄꢊꢌꢍꢅꢄꢁꢐꢍꢁꢌꢐ  
ꢁꢂ  
ꢁꢀ  
ꢉꢊꢉꢁꢀꢈ  
ꢀꢁ  
ꢉꢊꢉꢂꢀꢈ  
ꢀꢁ  
ꢆꢀ  
ꢆꢇꢀꢀ  
ꢂꢇꢀ  
ꢀꢁꢂꢃꢄꢅꢀꢆ  
ꢀꢀꢀꢇꢈꢀꢀꢊꢀꢋꢉ  
ꢀꢀꢀꢌꢈꢀꢌꢍꢋ ꢅꢀꢎꢏꢐꢅꢄꢀꢑꢄꢅꢃ  
ꢂꢃ  
ꢆꢀ  
ꢀꢁꢂ  
ꢀꢁꢃ  
ꢀꢁꢄ  
ꢀꢁꢅ  
ꢆꢁꢀ  
ꢆꢁꢂ  
ꢆꢁꢃ  
ꢆꢁꢄ  
 ꢗꢙꢗꢀꢓꢚꢑꢄꢗꢇꢛꢓꢓꢜꢄꢏꢗꢗꢝꢉꢞ  
ꢁ ꢀꢂꢀꢃꢄꢅꢆꢇꢈꢉꢊꢆꢋꢌꢍꢀꢁꢄꢎꢏꢋꢐꢈꢀꢀꢑꢁꢒ  
ꢂꢀ  
ꢀꢁꢂꢃꢄꢅꢆꢓꢈꢆꢔꢎꢕꢖꢅꢐꢁꢐꢍꢊꢎꢌꢅꢆꢗꢊꢄꢁꢊꢍꢁꢘꢎꢆꢆꢙꢐꢈ  
ꢚꢄꢊꢁꢎꢆꢉꢃꢄꢄꢅꢎꢍꢆꢊꢎꢛꢆꢒꢊꢍꢅꢆꢗꢘꢜꢍꢊꢂꢅ  
ꢀꢁꢂꢃꢄꢅꢆꢝꢈꢆꢞꢘꢛ ꢆꢚꢁꢘꢛꢅꢆꢀꢘꢄ!ꢊꢄꢛꢆꢗꢘꢜꢍꢊꢂꢅꢆ  
ꢗꢊꢄꢁꢊꢍꢁꢘꢎꢆꢙꢐꢈꢆ"ꢘꢃꢄꢌꢅꢆꢉꢃꢄꢄꢅꢎꢍꢆ  
ꢊꢎꢛꢆ#ꢅ$ꢋꢅꢄꢊꢍꢃꢄꢅ  
ꢌꢊꢉ  
ꢌꢉꢉ  
ꢋꢊꢉ  
ꢋꢉꢉ  
ꢈꢊꢉ  
ꢈꢉꢉ  
ꢊꢉ  
ꢁꢂ  
ꢄꢅꢅꢀꢇꢀꢌꢀꢍꢀꢌꢀꢎꢌꢀꢇꢀꢏꢐꢂꢑꢃꢄꢒꢓ  
ꢅꢅꢀꢇꢀꢌꢀꢍꢀꢌ  
ꢆꢇ  
ꢉꢅꢅꢀꢇꢀꢌ  
ꢆꢇ  
ꢁꢂꢁꢅꢄꢀ  
ꢆꢁ  
ꢁꢀ  
ꢁꢂꢁꢃꢄꢄꢀ  
ꢆꢁ  
ꢁꢂꢁꢆꢃꢄꢀ  
ꢆꢁ  
ꢊꢈꢈ  
ꢉꢈꢈ  
ꢀꢁꢂꢃꢄꢏꢀꢅ  
ꢀꢀꢀꢔꢉꢀꢕꢀꢇꢀꢖꢀꢕ  
ꢀꢀꢀꢈꢉꢀꢗꢀꢇꢀꢔꢀꢘꢙꢚ  
ꢇꢈꢈ  
ꢀꢁꢂꢃꢄꢀꢅꢀꢆꢀꢇꢀꢈꢉꢊꢀꢋ  
ꢀꢁ  
ꢈꢉ  
ꢈꢉ  
ꢈꢉ  
ꢀ ꢁꢂꢃꢄꢅꢆꢇꢂꢈꢆꢅꢉꢂꢊꢋꢆꢌꢍꢉꢂꢎꢏꢊꢐ  
 ꢙꢗꢀꢓꢚꢑꢄ!ꢁꢃꢛꢓ"ꢜꢗ ꢃ#ꢏꢚꢖꢜꢗꢝ ꢞ  
ꢀꢁꢂꢃꢄꢅꢆꢇꢈꢆꢉꢊꢋꢊꢌꢁꢍꢊꢎꢌꢅꢆꢉꢏꢊꢄꢊꢌꢍꢅꢄꢁꢐꢍꢁꢌꢐ  
ꢀꢁꢂꢃꢄꢅꢆꢑꢈꢆꢒꢊꢍꢅꢆꢆꢉꢏꢊꢄꢂꢅꢆꢉꢏꢊꢄꢊꢌꢍꢅꢄꢁꢐꢍꢁꢌꢐ  
ꢀꢁꢂꢂꢂꢃꢄꢅꢆꢇꢈꢉꢆꢊꢋꢃꢌꢍꢎꢆꢈꢏꢐꢋꢑꢈꢒꢏꢇꢃꢓꢐꢒꢍꢇꢐꢅꢒꢆꢏꢐꢅꢊ  
ꢔꢍꢕꢖꢃꢗꢘꢃꢗꢙꢇꢆꢊꢃꢁꢂꢂꢂ  
ꢑ !ꢙꢞꢈꢋꢃꢆꢇꢈꢝꢈꢞꢗꢊꢝꢙꢔꢗꢙꢞꢔꢃꢀꢀꢀꢀꢓꢆꢌꢈꢍꢑꢈꢇꢊꢒꢖ  
ꢌꢎꢉ  
ꢋꢎꢊ  
ꢋꢎꢉ  
ꢈꢎꢊ  
ꢈꢎꢉ  
ꢉꢎꢊ  
ꢉꢎꢉ  
ꢇꢓꢃ  
ꢇꢓꢇ  
ꢇꢓꢄ  
ꢊꢋꢌꢍꢎꢏꢊꢐ  
ꢄꢓꢖ  
ꢄꢓꢅ  
ꢊꢊꢊꢁꢉꢊꢑ ꢊꢒꢊꢀꢊꢑ  
ꢇꢈ  
ꢂꢃꢄꢅꢀꢆ  
ꢀꢀꢀꢇꢈꢀꢉꢀꢊꢀꢇꢋꢀꢉ  
ꢀꢀꢀꢌꢈꢀꢘꢀꢊꢀꢇꢈꢌꢍꢀꢙ  
ꢊꢊꢊꢂꢉꢊꢓ ꢊꢒꢊꢂꢅꢀꢊ  
ꢕꢇꢄꢄ  
ꢕꢔꢄ  
ꢔꢄ  
ꢇꢄꢄ  
ꢇꢔꢄ  
ꢃꢄꢄ  
ꢍꢈꢉꢉ  
ꢍꢊꢉ  
ꢊꢉ  
ꢈꢉꢉ  
ꢈꢊꢉ  
ꢋꢉꢉ  
ꢀꢕꢅꢍꢇꢏꢌꢄꢍꢀꢔꢈꢗꢈꢆꢋꢏꢅꢆꢈꢀꢑꢒ  
#ꢁ$ꢈꢅꢑꢉꢋꢇꢅꢁ#ꢄꢆꢌꢄꢐꢍꢉꢈꢐꢄꢁ%&  
%
ꢀꢁꢂꢃꢄꢅꢆ-ꢈꢆꢞꢄꢅꢊ.ꢛꢘ!ꢎꢆꢗꢘꢜꢍꢊꢂꢅꢆꢗꢊꢄꢁꢊꢍꢁꢘꢎ  
ꢙꢐꢈꢆ#ꢅ$ꢋꢅꢄꢊꢍꢃꢄꢅ  
ꢀꢁꢂꢃꢄꢅꢆ/ꢈꢆꢔꢎꢕꢖꢅꢐꢁꢐꢍꢊꢎꢌꢅꢆꢗꢊꢄꢁꢊꢍꢁꢘꢎ  
ꢙꢐꢈꢆ#ꢅ$ꢋꢅꢄꢊꢍꢃꢄꢅ  
ꢂꢉꢀ  
ꢀꢁꢂꢃꢄꢅꢆꢇꢈꢉꢆꢈꢉꢊꢋꢆꢌꢉꢍꢃꢂꢄꢉ  
ꢆꢌꢉꢎꢆꢏꢆꢅꢂꢐꢉꢑꢒꢉꢓꢉꢀꢁꢂꢃꢄꢅ  
ꢁꢀꢁ  
ꢁꢉꢅ  
ꢁꢉꢀ  
ꢀꢉꢅ  
ꢀꢉꢀ  
ꢇꢄꢁ ꢈ  
µ
ꢇꢄꢄꢁµꢈ  
ꢇꢁꢋꢈ  
ꢁꢀ  
ꢇꢄꢁꢋꢈ  
ꢉꢊ  
ꢃꢁ  
ꢁꢀ  
ꢉꢔꢇꢅꢂꢌꢉꢕ  
ꢉꢉꢉꢖꢗꢉꢊꢉꢘꢉꢙꢚꢉ  
ꢉꢉꢉꢙꢗꢉꢊꢉꢘꢉꢖꢚꢜꢉ  
ꢉꢉꢉꢝꢗꢉꢞꢆꢈ !ꢂꢉ"#!ꢌꢂ  
ꢃꢂ  
ꢁꢀ  
ꢂꢅ  
ꢅꢀ  
ꢈꢅ  
ꢁꢀꢀ  
ꢁꢂꢅ  
ꢁꢅꢀ  
ꢁꢀ  
ꢁꢀ  
ꢁꢀ  
ꢃ ꢁꢂꢊꢆꢑꢉꢂꢃꢉꢒꢓꢉꢌꢆꢅꢔꢌꢉꢂꢎ  
ꢁꢂꢖꢌꢆꢗꢏꢘꢙꢄꢔꢌꢚꢉꢂꢕꢄꢇꢅꢆꢍꢉꢂꢎꢕꢐ  
ꢀꢃ  
ꢀꢁꢂꢃꢄꢅꢆ(ꢈꢆ)ꢊ*ꢁ$ꢃ$ꢆ"ꢊ&ꢅꢆꢔꢋꢅꢄꢊꢍꢁꢎꢂꢆ+ꢄꢅꢊ  
ꢀꢁꢂꢃꢄꢅꢆ',ꢈꢆ)ꢊ*ꢁ$ꢃ$ꢆꢚꢄꢊꢁꢎꢆꢉꢃꢄꢄꢅꢎꢍ  
ꢙꢐꢈꢆꢆꢉꢊꢐꢅꢆ#ꢅ$ꢋꢅꢄꢊꢍꢃꢄꢅ  
ꢉ ꢂ ꢄ ꢔ  
ꢀꢁ  ꢃꢄ  ꢀꢅ  
ꢁ ꢀ ꢀ  
ꢃ  
ꢀꢀꢀꢔ ꢉꢀꢛ ꢃꢓ ꢀꢇ ꢀꢜ ꢉꢔ    ꢀꢘ ! "   
ꢀꢀꢀꢈ ꢉꢀ# $ ꢃ% ꢀ& ! ' ꢃꢂ ꢑ(ꢀ#  ꢉ  
ꢀꢀꢀ) ꢉꢀ* ꢇ ꢊ +ꢀ*  ꢀ, - ꢀꢛ ꢃꢓ  
ꢇ  
ꢄ ꢔ  
"
ꢁꢂ  
ꢄ ꢃ  
ꢁ ꢀ ꢁ  
ꢄ ꢇ  
ꢀ  
ꢍ ꢎ ꢏꢐ ꢁꢑ ꢒ ꢏꢈ ꢐ  
ꢃ  
ꢁ ꢀ ꢄ  
ꢁ ꢀ ꢅ  
ꢁ ꢀ ꢆ  
ꢁ ꢀ ꢂ  
ꢁ ꢀ ꢁ  
ꢁ ꢀ ꢀ  
ꢁ ꢀ ꢁ  
ꢁꢂꢙ ꢛ ꢔ ꢆ ꢌꢉ ꢂꢜ ꢆ ꢝ ꢉ ꢂꢞ ꢔ ꢇꢑ ꢉ ꢂꢖ ꢔ ꢌꢆ ꢅꢗꢄ ꢏ ꢂꢎꢑ ꢉ ꢚ ꢐ  
ꢀꢁꢂꢃꢄꢅꢆ''ꢈꢆ#ꢄꢊꢎꢐꢁꢅꢎꢍꢆ#ꢏꢅꢄ$ꢊꢜꢆꢖꢅꢐꢋꢘꢎꢐꢅꢆꢉꢃꢄꢙꢅ  
ꢀꢁꢂꢂꢂꢃꢄꢅꢆꢇꢈꢉꢆꢊꢋꢃꢌꢍꢎꢆꢈꢏꢐꢋꢑꢈꢒꢏꢇꢃꢓꢐꢒꢍꢇꢐꢅꢒꢆꢏꢐꢅꢊ  
ꢔꢍꢕꢖꢃꢗꢘꢃꢗꢙꢇꢆꢊꢃꢁꢂꢂꢂ  
ꢆꢒꢊꢍꢅꢆꢉꢏꢊꢄꢂꢅꢆ#ꢅꢐꢍꢆꢉꢁꢄꢌꢃꢁꢍꢆ0ꢆ1ꢊꢙꢅ&ꢘꢄ$  
6/  
ꢍꢂꢎꢅꢏꢌꢐꢑꢅ  
ꢂꢒꢏꢊꢋꢌ  
ꢄ,8  
 
ꢕꢃ.  
ꢃ,,ꢈ7  
ꢇꢈꢆ  
ꢗ,,ꢈ7  
2/  
6/  
 ꢒ  
 ꢋ  
ꢊꢋꢌ  
ꢗ#-  
ꢀꢁꢂꢃꢄꢅ  
ꢖꢅꢐꢁꢐꢍꢁꢙꢅꢆ"!ꢁꢍꢌꢏꢁꢎꢂꢆ#ꢅꢐꢍꢆꢉꢁꢄꢌꢃꢁꢍꢆ0ꢆ1ꢊꢙꢅ&ꢘꢄ$ꢐ  
)  
2/  
9,3  
2/  
22  
6/  
6  
ꢕ,3  
6/  
ꢊꢋꢌ  
ꢇꢈꢆ  
ꢄꢅꢆꢇꢈ  
ꢉ  
ꢄꢅꢆꢊꢊꢈ  
ꢊ  
 ꢆꢇ  
 ꢆꢊꢊ  
2ꢎꢌꢜꢊ$ꢋꢅꢛꢆ3ꢎꢛꢃꢌꢍꢁꢙꢅꢆ"!ꢁꢍꢌꢏꢁꢎꢂꢆ#ꢅꢐꢍꢆꢉꢁꢄꢌꢃꢁꢍꢆ0ꢆ1ꢊꢙꢅ&ꢘꢄ$ꢐ  
ꢚꢆ2//  
ꢘꢘꢘꢘꢘꢘꢘꢘꢘꢘꢘꢘꢘꢘꢘꢘꢘꢘꢘꢘ  
ꢚꢆ2//  22  
ꢘꢘꢘꢘ  
-/ꢀ  
 -/  
2/  
ꢚꢆ2//  
-/  
 2  
6  
22  
2ꢀꢛꢜꢝ  
22  
2/ꢀꢛꢜꢝ  
ꢊꢋꢌ  
ꢇꢈꢆ  
ꢍꢀꢋ  
ꢍꢀꢋ  
ꢌꢞꢎꢅ  
ꢀꢁꢂꢂꢂꢃꢄꢅꢆꢇꢈꢉꢆꢊꢋꢃꢌꢍꢎꢆꢈꢏꢐꢋꢑꢈꢒꢏꢇꢃꢓꢐꢒꢍꢇꢐꢅꢒꢆꢏꢐꢅꢊ  
ꢔꢍꢕꢖꢃꢗꢘꢃꢗꢙꢇꢆꢊꢃꢁꢂꢂꢂ  
ꢆ4ꢅꢊ.ꢆꢚꢁꢘꢛꢅꢆꢖꢅꢌꢘꢙꢅꢄ ꢆꢛꢙ5ꢛꢍꢆ#ꢅꢐꢍꢆꢉꢁꢄꢌꢃꢁꢍꢆ0ꢆ1ꢊꢙꢅ&ꢘꢄ$ꢐ  
 
ꢊꢋꢌ  
2/  
!
 /2  
ꢊꢃꢞ"ꢅꢃ  
6  
ꢞꢍꢆꢄꢁ#'ꢌꢄꢁ  
ꢍꢎꢁꢜ(#  
22  
6/  
 ꢁꢂꢃꢁꢄ ꢅꢆꢇꢄꢈꢆꢉꢉꢊꢁꢋꢌꢍꢋꢋꢎꢀ  
 ꢁꢂ ꢅꢆꢇꢄꢈꢆꢉꢉꢊꢁꢋꢌꢍꢋꢐꢑꢉꢒꢊꢋꢐꢊꢈꢓꢆꢁꢋ  
ꢞꢘꢄꢊꢋ  ꢑꢉꢒꢊꢋ!ꢓꢁꢄ"  
##########################  
ꢞꢘꢄꢊꢋ  ꢑꢉꢒꢊꢋ  ꢊꢈꢓꢆꢁ  
ꢆꢇ  
ꢕꢋꢝ  
ꢇꢈꢆ  
ꢈꢀꢆꢉꢊꢋꢌꢉꢀꢍ  
ꢃꢄ ꢛꢋꢔꢆꢁꢍꢋꢕꢓꢆꢁꢊꢋꢖꢆꢈꢗꢘꢈꢁꢋꢜꢑꢈꢈꢊꢇꢄ  
 ꢇꢈ  
ꢁꢓꢃꢁꢄ  
ꢈꢀꢆꢎꢏꢀꢍ  
ꢛ:  
ꢔꢆꢁꢍꢋꢕꢓꢆꢁꢊꢋꢎꢊꢂꢊꢈꢒꢊꢋꢜꢑꢈꢈꢊꢇꢄ  
ꢔꢆꢁꢍꢋꢕꢓꢆꢁꢊꢋꢎꢊꢅꢆꢂꢊꢈꢍ ꢁꢂꢃꢁꢄ  
/2  
ꢈꢇ  
ꢈꢀꢆꢎꢏꢀꢍ  
22  
ꢔꢆꢁꢍꢋꢕꢓꢆꢁꢊ  
ꢖꢆꢈꢗꢘꢈꢁꢋꢙꢆꢉꢄꢘꢚꢊꢋꢕꢈꢆꢐ  
ꢀꢁꢂꢂꢂꢃꢄꢅꢆꢇꢈꢉꢆꢊꢋꢃꢌꢍꢎꢆꢈꢏꢐꢋꢑꢈꢒꢏꢇꢃꢓꢐꢒꢍꢇꢐꢅꢒꢆꢏꢐꢅꢊ  
ꢔꢍꢕꢖꢃꢗꢘꢃꢗꢙꢇꢆꢊꢃꢁꢂꢂꢂ  
ꢞꢄꢉ ꢄꢘꢁꢆꢇꢊꢕꢁꢂꢈꢊꢍꢂꢈ  
ꢀꢁꢂꢃ  
6.60 ±0.20  
5.34 ±0.30  
2.30 ±0.10  
0.50 ±0.10  
(0.50)  
(4.34)  
(0.50)  
MAX0.96  
0.76 ±0.10  
0.50 ±0.10  
1.02 ±0.20  
2.30 ±0.20  
2.30TYP  
2.30TYP  
[2.30±0.20]  
[2.30±0.20]  
6.60 ±0.20  
(5.34)  
(5.04)  
(1.50)  
(2XR0.25)  
0.76 ±0.10  
ꢀꢁꢂꢂꢂꢃꢄꢅꢆꢇꢈꢉꢆꢊꢋꢃꢌꢍꢎꢆꢈꢏꢐꢋꢑꢈꢒꢏꢇꢃꢓꢐꢒꢍꢇꢐꢅꢒꢆꢏꢐꢅꢊ  
ꢔꢍꢕꢖꢃꢗꢘꢃꢗꢙꢇꢆꢊꢃꢁꢂꢂꢂ  
ꢞꢄꢉ ꢄꢘꢁꢆꢇꢊꢕꢁꢂꢈꢊꢍꢂꢈꢆꢆꢆꢉꢊꢁꢋꢂꢌꢋꢍꢃꢎꢏ  
ꢄꢁꢂꢃ  
2.30 ±0.20  
0.50 ±0.10  
6.60 ±0.20  
5.34 ±0.20  
(0.50)  
(4.34)  
(0.50)  
MAX0.96  
0.76 ±0.10  
0.50 ±0.10  
2.30TYP  
2.30TYP  
[2.30±0.20]  
[2.30±0.20]  
ꢀꢁꢂꢂꢂꢃꢄꢅꢆꢇꢈꢉꢆꢊꢋꢃꢌꢍꢎꢆꢈꢏꢐꢋꢑꢈꢒꢏꢇꢃꢓꢐꢒꢍꢇꢐꢅꢒꢆꢏꢐꢅꢊ  
ꢔꢍꢕꢖꢃꢗꢘꢃꢗꢙꢇꢆꢊꢃꢁꢂꢂꢂ  
ꢏꢐꢄꢆꢑꢒꢄꢐꢅꢓ  
ꢀꢁꢂꢄꢓꢎꢊꢊꢎꢑꢔꢉꢖꢄꢈꢒꢂꢄꢒꢂꢖꢔꢃꢍꢂꢒꢂꢏꢄꢈꢉꢏꢄꢕꢉꢒꢂꢖꢔꢃꢍꢂꢒꢂꢏꢄꢍꢒꢈꢏꢂꢌꢈꢒ=ꢃꢄꢗꢈꢔꢒꢋꢁꢔꢊꢏꢄꢞꢂꢌꢔꢋꢎꢉꢏꢕꢋꢍꢎꢒꢄꢎꢑꢉꢃꢄꢎꢒꢄꢔꢃꢄꢈꢕꢍꢁꢎꢒꢔ#ꢂꢏꢄꢍꢎꢄꢕꢃꢂꢄꢈꢉꢏꢄꢔꢃ  
ꢉꢎꢍꢄꢔꢉꢍꢂꢉꢏꢂꢏꢄꢍꢎꢄ"ꢂꢄꢈꢉꢄꢂAꢁꢈꢕꢃꢍꢔ!ꢂꢄꢊꢔꢃꢍꢄꢎꢓꢄꢈꢊꢊꢄꢃꢕꢋꢁꢄꢍꢒꢈꢏꢂꢌꢈꢒ=ꢃ  
ꢀꢈꢉꢊꢋ  
ꢝꢃꢖꢐꢈꢋ  
ꢖꢛꢁꢐꢂꢖꢕꢓꢋ'*  
ꢖ+$%ꢒꢉꢓꢋ  
ꢓꢃ$+ꢘꢍ,ꢃ%ꢋ  
-ꢝꢈꢋ  
ꢌꢍꢎꢎꢍꢏꢄꢐꢑꢑꢋ  
ꢈꢍꢍꢄꢒꢉꢓꢋ  
ꢈꢔꢕꢖꢖꢗꢕꢘꢓꢋ  
%ꢈꢙꢕꢖꢋ  
ꢒꢀꢈꢓꢋ  
ꢞꢖꢕ ꢘꢀ!ꢀꢔꢋ  
ꢙꢞꢈꢔꢕ"ꢞꢔꢉꢋ  
 ꢕ ꢋ  
 ꢍ#ꢐꢂꢓꢂꢐ$%&H  
ꢚꢒꢉꢓꢋ  
ꢚꢖꢋ  
ꢗꢈ.ꢋ  
ꢒꢀꢈꢓꢅꢚꢛꢃꢐꢎꢅꢖꢐꢂꢃꢐꢑꢋ  
ꢒꢀꢖꢓH  
ꢒꢀꢖꢓꢂꢋ  
ꢚꢛꢃꢐꢎꢅꢖꢐꢂꢃꢐꢑꢋ  
ꢖꢛꢁꢐꢂꢖꢕꢓꢋ'(  
ꢖꢛꢁꢐꢂꢖꢕꢓꢋ')  
ꢜꢓꢕꢋ  
ꢆꢁꢓꢔꢕꢄꢁꢒꢑꢐ  
ꢗ'4*ꢇE4/ꢛꢄꢞ;ꢜ4ꢇꢝꢅꢛIꢇꢀꢝ*ꢄ*;ꢞ;*);ꢞꢄꢀE;ꢄ*4:Eꢀꢄꢀꢝꢄꢜ'J;ꢄꢇE'ꢅ:;ꢞꢄ?4ꢀEꢝIꢀꢄꢗI*ꢀE;*ꢄꢅꢝꢀ4ꢇ;ꢄꢀꢝꢄ'ꢅK  
8*ꢝꢛIꢇꢀꢞE;*;4ꢅꢀꢝꢄ4ꢜ8*ꢝ);ꢄ*;/4'C4/4ꢀKꢚꢄꢗIꢅꢇꢀ4ꢝꢅꢄꢝ*ꢄꢛ;ꢞ4:ꢅ ꢄꢗ'4*ꢇE4/ꢛꢄꢛꢝ;ꢞꢄꢅꢝꢀꢄ'ꢞꢞIꢜ;ꢄ'ꢅK  
/4'C4/4ꢀK'*4ꢞ4ꢅ:ꢄꢝIꢀꢄꢝꢗꢄꢀE;ꢄ'88/4ꢇ'ꢀ4ꢝꢅꢄꢝ*ꢄIꢞ;ꢄꢝꢗꢄ'ꢅKꢄ8*ꢝꢛIꢇꢀꢄꢝ*ꢄꢇ4*ꢇI4ꢀꢄꢛ;ꢞꢇ*4C;ꢛꢄE;*;4ꢅL  
ꢅ;4ꢀE;*ꢄꢛꢝ;ꢞꢄ4ꢀꢄꢇꢝꢅ);Kꢄ'ꢅKꢄ/4ꢇ;ꢅꢞ;ꢄIꢅꢛ;*ꢄ4ꢀꢞꢄ8'ꢀ;ꢅꢀꢄ*4:Eꢀꢞꢚꢄꢅꢝ*ꢄꢀE;ꢄ*4:EꢀꢞꢄꢝꢗꢄꢝꢀE;*ꢞ  
ꢕꢁꢖꢑꢀꢓꢎꢃꢃꢗꢐꢏꢀꢃꢗꢕꢁꢔꢘ  
ꢗ'4*ꢇE4/ꢛꢘꢞꢄ 8*ꢝꢛIꢇꢀꢞꢄ '*;ꢄ ꢅꢝꢀꢄ 'IꢀEꢝ*4D;ꢛꢄ ꢗꢝ*ꢄ Iꢞ;ꢄ 'ꢞꢄ ꢇ*4ꢀ4ꢇ'/ꢄ ꢇꢝꢜ8ꢝꢅ;ꢅꢀꢞꢄ 4ꢅꢄ /4ꢗ;ꢄ ꢞI88ꢝ*ꢀ  
ꢛ;)4ꢇ;ꢞꢄ ꢝ*ꢄ ꢞKꢞꢀ;ꢜꢞꢄ ?4ꢀEꢝIꢀꢄ ꢀE;ꢄ ;M8*;ꢞꢞꢄ ?*4ꢀꢀ;ꢅꢄ '88*ꢝ)'/ꢄ ꢝꢗꢄ ꢗ'4*ꢇE4/ꢛꢄ ꢞ;ꢜ4ꢇꢝꢅꢛIꢇꢀꢝ*  
4ꢅꢀ;*ꢅ'ꢀ4ꢝꢅ'/  
'ꢃꢄꢕꢃꢂꢏꢄꢁꢂꢒꢂꢔꢉN  
ꢒꢂꢃꢕꢊꢍꢄꢔꢉꢄꢃꢔꢖꢉꢔꢓꢔꢋꢈꢉꢍꢄꢔꢉOꢕꢒꢙꢄꢍꢎꢄꢍꢁꢂꢄꢕꢃꢂꢒ  
. ꢄ/ꢔꢓꢂꢄꢃꢕꢐꢐꢎꢒꢍꢄꢏꢂ!ꢔꢋꢂꢃꢄꢎꢒꢄꢃꢙꢃꢍꢂꢌꢃꢄꢈꢒꢂꢄꢏꢂ!ꢔꢋꢂꢃꢄꢎꢒꢄꢃꢙꢃꢍꢂꢌꢃ  
ꢑꢁꢔꢋꢁꢚꢄ0ꢈ2ꢄꢈꢒꢂꢄꢔꢉꢍꢂꢉꢏꢂꢏꢄꢓꢎꢒꢄꢃꢕꢒꢖꢔꢋꢈꢊꢄꢔꢌꢐꢊꢈꢉꢍꢄꢔꢉꢍꢎꢄꢍꢁꢂꢄ"ꢎꢏꢙꢚ  
ꢎꢒꢄ0"2ꢄꢃꢕꢐꢐꢎꢒꢍꢄꢎꢒꢄꢃꢕꢃꢍꢈꢔꢉꢄꢊꢔꢓꢂꢚꢄꢎꢒꢄ0ꢋ2ꢄꢑꢁꢎꢃꢂꢄꢓꢈꢔꢊꢕꢒꢂꢄꢍꢎꢄꢐꢂꢒꢓꢎꢒꢌ  
ꢑꢁꢂꢉꢄꢐꢒꢎꢐꢂꢒꢊꢙꢄꢕꢃꢂꢏꢄꢔꢉꢄꢈꢋꢋꢎꢒꢏꢈꢉꢋꢂꢄꢑꢔꢍꢁꢄꢔꢉꢃꢍꢒꢕꢋꢍꢔꢎꢉꢃꢄꢓꢎꢒꢄꢕꢃꢂ  
ꢐꢒꢎ!ꢔꢏꢂꢏꢉꢄ ꢍꢁꢂꢄ ꢊꢈ"ꢂꢊꢔꢉꢖꢚꢈꢉꢄ "ꢂꢂꢈꢃꢎꢉꢈ"ꢊꢙꢄ ꢂAꢐꢂꢋꢍꢂꢏ  
% ꢄ'ꢄꢋꢒꢔꢍꢔꢋꢈꢊꢄꢋꢎꢌꢐꢎꢉꢂꢉꢍꢄꢔꢃꢄꢈꢉꢙꢄꢋꢎꢌꢐꢎꢉꢂꢉꢍꢄꢎꢓꢄꢈꢄꢊꢔꢓꢂꢄꢃꢕꢐꢐꢎꢒꢍ  
ꢏꢂ!ꢔꢋꢂꢄ ꢎꢒꢄ ꢃꢙꢃꢍꢂꢌꢄ ꢑꢁꢎꢃꢂꢄ ꢓꢈꢔꢊꢕꢒꢂꢄ ꢍꢎꢄ ꢐꢂꢒꢓꢎꢒꢌꢄ ꢋꢈꢉꢄ "ꢂ  
ꢒꢂꢈꢃꢎꢉꢈ"ꢊꢙꢄꢂAꢐꢂꢋꢍꢂꢏꢄꢍꢎꢄꢋꢈꢕꢃꢂꢄꢍꢁꢂꢄꢓꢈꢔꢊꢕꢒꢂꢄꢎꢓꢄꢍꢁꢂꢄꢊꢔꢓꢂꢄꢃꢕꢐꢐꢎꢒꢍ  
ꢏꢂ!ꢔꢋꢂꢄꢎꢒꢄꢃꢙꢃꢍꢂꢌꢚꢄꢎꢒꢄꢍꢎꢄꢈꢓꢓꢂꢋꢍꢄꢔꢍꢃꢄꢃꢈꢓꢂꢍꢙꢄꢎꢒꢄꢂꢓꢓꢂꢋꢍꢔ!ꢂꢉꢂꢃꢃ  
ꢃꢐꢗꢆꢎꢔꢏꢀꢓꢏꢄꢏꢎꢓꢀꢆꢑꢖꢁꢙꢁꢏꢁꢗꢙꢓ  
ꢆꢌꢚꢊꢛꢊꢜꢊꢝꢛꢀꢝꢚꢀꢏꢌꢉꢞ  
ꢏꢉꢌꢉꢙ ꢋꢋꢌꢔ!ꢞꢋꢗꢌꢘ"ꢘ#ꢉꢌꢘꢆꢗ  
ꢈꢊꢆꢞ$#ꢌꢔꢂꢌꢉꢌ$ꢙ  
ꢏꢋ"ꢘꢗꢘꢌꢘꢆꢗ  
'ꢏ!ꢈꢉꢋꢂꢄ4ꢉꢓꢎꢒꢌꢈꢍꢔꢎꢉ  
ꢗꢎꢒꢌꢈꢍꢔ!ꢂꢄꢎꢒꢄ4ꢉꢄ  
ꢛꢂꢃꢔꢖꢉ  
ꢀꢁꢔꢃꢄꢏꢈꢍꢈꢃꢁꢂꢂꢍꢄꢋꢎꢉꢍꢈꢔꢉꢃꢄꢍꢁꢂꢄꢏꢂꢃꢔꢖꢉꢄꢃꢐꢂꢋꢔꢓꢔꢋꢈꢍꢔꢎꢉꢃꢄꢓꢎꢒ  
ꢐꢒꢎꢏꢕꢋꢍꢄꢏꢂ!ꢂꢊꢎꢐꢌꢂꢉꢍ ꢄꢞꢐꢂꢋꢔꢓꢔꢋꢈꢍꢔꢎꢉꢃꢄꢌꢈꢙꢄꢋꢁꢈꢉꢖꢂꢄꢔꢉ  
ꢈꢉꢙꢄꢌꢈꢉꢉꢂꢒꢄꢑꢔꢍꢁꢎꢕꢍꢄꢉꢎꢍꢔꢋꢂ  
8ꢒꢂꢊꢔꢌꢔꢉꢈꢒꢙ  
ꢗꢔꢒꢃꢍꢄ8ꢒꢎꢏꢕꢋꢍꢔꢎꢉ  
ꢀꢁꢔꢃꢄꢏꢈꢍꢈꢃꢁꢂꢂꢍꢄꢋꢎꢉꢍꢈꢔꢉꢃꢄꢐꢒꢂꢊꢔꢌꢔꢉꢈꢒꢙꢄꢏꢈꢍꢈꢚꢄꢈꢉꢏ  
ꢃꢕꢐꢐꢊꢂꢌꢂꢉꢍꢈꢒꢙꢄꢏꢈꢍꢈꢄꢑꢔꢊꢊꢄ"ꢂꢄꢐꢕ"ꢊꢔꢃꢁꢂꢏꢄꢈꢍꢄꢈꢄꢊꢈꢍꢂꢒꢄꢏꢈꢍꢂ  
ꢗꢈꢔꢒꢋꢁꢔꢊꢏꢄꢞꢂꢌꢔꢋꢎꢉꢏꢕꢋꢍꢎꢒꢄꢒꢂꢃꢂꢒ!ꢂꢃꢄꢍꢁꢂꢄꢒꢔꢖꢁꢍꢄꢍꢎꢄꢌꢈ=ꢂ  
ꢋꢁꢈꢉꢖꢂꢃꢄꢈꢍꢄꢈꢉꢙꢄꢍꢔꢌꢂꢄꢑꢔꢍꢁꢎꢕꢍꢄꢉꢎꢍꢔꢋꢂꢄꢔꢉꢄꢎꢒꢏꢂꢒꢄꢍꢎꢄꢔꢌꢐꢒꢎ!ꢂ  
ꢏꢂꢃꢔꢖꢉ  
ꢅꢎꢄ4ꢏꢂꢉꢍꢔꢓꢔꢋꢈꢍꢔꢎꢉꢄꢅꢂꢂꢏꢂꢏ  
ꢗꢕꢊꢊꢄ8ꢒꢎꢏꢕꢋꢍꢔꢎꢉ  
ꢀꢁꢔꢃꢄꢏꢈꢍꢈꢃꢁꢂꢂꢍꢄꢋꢎꢉꢍꢈꢔꢉꢃꢄꢓꢔꢉꢈꢊꢄꢃꢐꢂꢋꢔꢓꢔꢋꢈꢍꢔꢎꢉꢃ ꢄꢗꢈꢔꢒꢋꢁꢔꢊꢏ  
ꢞꢂꢌꢔꢋꢎꢉꢏꢕꢋꢍꢎꢒꢄꢒꢂꢃꢂꢒ!ꢂꢃꢄꢍꢁꢂꢄꢒꢔꢖꢁꢍꢄꢍꢎꢄꢌꢈ=ꢂꢄꢋꢁꢈꢉꢖꢂꢃꢄꢈꢍ  
ꢈꢉꢙꢄꢍꢔꢌꢂꢄꢑꢔꢍꢁꢎꢕꢍꢄꢉꢎꢍꢔꢋꢂꢄꢔꢉꢄꢎꢒꢏꢂꢒꢄꢍꢎꢄꢔꢌꢐꢒꢎ!ꢂꢄꢏꢂꢃꢔꢖꢉ  
ꢝ"ꢃꢎꢊꢂꢍꢂ  
ꢅꢎꢍꢄ4ꢉꢄ8ꢒꢎꢏꢕꢋꢍꢔꢎꢉ  
ꢀꢁꢔꢃꢄꢏꢈꢍꢈꢃꢁꢂꢂꢍꢄꢋꢎꢉꢍꢈꢔꢉꢃꢄꢃꢐꢂꢋꢔꢓꢔꢋꢈꢍꢔꢎꢉꢃꢄꢎꢉꢄꢈꢄꢐꢒꢎꢏꢕꢋꢍ  
ꢍꢁꢈꢍꢄꢁꢈꢃꢄ"ꢂꢂꢉꢄꢏꢔꢃꢋꢎꢉꢍꢔꢉꢕꢂꢏꢄ"ꢙꢄꢗꢈꢔꢒꢋꢁꢔꢊꢏꢄꢃꢂꢌꢔꢋꢎꢉꢏꢕꢋꢍꢎꢒ  
ꢀꢁꢂꢄꢏꢈꢍꢈꢃꢁꢂꢂꢍꢄꢔꢃꢄꢐꢒꢔꢉꢍꢂꢏꢄꢓꢎꢒꢄꢒꢂꢓꢂꢒꢂꢉꢋꢂꢄꢔꢉꢓꢎꢒꢌꢈꢍꢔꢎꢉꢄꢎꢉꢊꢙ  
ꢀꢁꢂꢂꢂꢃꢄꢅꢆꢇꢈꢉꢆꢊꢋꢃꢌꢍꢎꢆꢈꢏꢐꢋꢑꢈꢒꢏꢇꢃꢓꢐꢒꢍꢇꢐꢅꢒꢆꢏꢐꢅꢊ  
ꢔꢍꢕꢖꢃꢗꢘꢃꢚꢅꢐꢑꢅꢇꢛꢃꢁꢂꢂꢂ  

相关型号:

SI9130DB

5- and 3.3-V Step-Down Synchronous Converters

Warning: Undefined variable $rtag in /www/wwwroot/website_ic37/www.icpdf.com/pdf/pdf/index.php on line 217
-
VISHAY

SI9135LG-T1

SMBus Multi-Output Power-Supply Controller

Warning: Undefined variable $rtag in /www/wwwroot/website_ic37/www.icpdf.com/pdf/pdf/index.php on line 217
-
VISHAY

SI9135LG-T1-E3

SMBus Multi-Output Power-Supply Controller

Warning: Undefined variable $rtag in /www/wwwroot/website_ic37/www.icpdf.com/pdf/pdf/index.php on line 217
-
VISHAY

SI9135_11

SMBus Multi-Output Power-Supply Controller

Warning: Undefined variable $rtag in /www/wwwroot/website_ic37/www.icpdf.com/pdf/pdf/index.php on line 217
-
VISHAY

SI9136_11

Multi-Output Power-Supply Controller

Warning: Undefined variable $rtag in /www/wwwroot/website_ic37/www.icpdf.com/pdf/pdf/index.php on line 217
-
VISHAY

SI9130CG-T1-E3

Pin-Programmable Dual Controller - Portable PCs

Warning: Undefined variable $rtag in /www/wwwroot/website_ic37/www.icpdf.com/pdf/pdf/index.php on line 217
-
VISHAY

SI9130LG-T1-E3

Pin-Programmable Dual Controller - Portable PCs

Warning: Undefined variable $rtag in /www/wwwroot/website_ic37/www.icpdf.com/pdf/pdf/index.php on line 217
-
VISHAY

SI9130_11

Pin-Programmable Dual Controller - Portable PCs

Warning: Undefined variable $rtag in /www/wwwroot/website_ic37/www.icpdf.com/pdf/pdf/index.php on line 217
-
VISHAY

SI9137

Multi-Output, Sequence Selectable Power-Supply Controller for Mobile Applications

Warning: Undefined variable $rtag in /www/wwwroot/website_ic37/www.icpdf.com/pdf/pdf/index.php on line 217
-
VISHAY

SI9137DB

Multi-Output, Sequence Selectable Power-Supply Controller for Mobile Applications

Warning: Undefined variable $rtag in /www/wwwroot/website_ic37/www.icpdf.com/pdf/pdf/index.php on line 217
-
VISHAY

SI9137LG

Multi-Output, Sequence Selectable Power-Supply Controller for Mobile Applications

Warning: Undefined variable $rtag in /www/wwwroot/website_ic37/www.icpdf.com/pdf/pdf/index.php on line 217
-
VISHAY

SI9122E

500-kHz Half-Bridge DC/DC Controller with Integrated Secondary Synchronous Rectification Drivers

Warning: Undefined variable $rtag in /www/wwwroot/website_ic37/www.icpdf.com/pdf/pdf/index.php on line 217
-
VISHAY