PIC18F2331 [MICROCHIP]

28/40/44-Pin Enhanced Flash Microcontrollers with nanoWatt Technology, High Performance PWM and A/D; 28 /40/ 44引脚增强型闪存微控制器采用纳瓦技术,高性能PWM和A / D
PIC18F2331
型号: PIC18F2331
厂家: MICROCHIP    MICROCHIP
描述:

28/40/44-Pin Enhanced Flash Microcontrollers with nanoWatt Technology, High Performance PWM and A/D
28 /40/ 44引脚增强型闪存微控制器采用纳瓦技术,高性能PWM和A / D

闪存 微控制器和处理器 外围集成电路 光电二极管
文件: 总396页 (文件大小:3661K)
中文:  中文翻译
下载:  下载PDF数据表文档文件
PIC18F2331/2431/4331/4431  
Data Sheet  
28/40/44-Pin Enhanced  
Flash Microcontrollers  
with nanoWatt Technology,  
High Performance PWM and A/D  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B  
Note the following details of the code protection feature on Microchip devices:  
Microchip products meet the specification contained in their particular Microchip Data Sheet.  
Microchip believes that its family of products is one of the most secure families of its kind on the market today, when used in the  
intended manner and under normal conditions.  
There are dishonest and possibly illegal methods used to breach the code protection feature. All of these methods, to our  
knowledge, require using the Microchip products in a manner outside the operating specifications contained in Microchip's Data  
Sheets. Most likely, the person doing so is engaged in theft of intellectual property.  
Microchip is willing to work with the customer who is concerned about the integrity of their code.  
Neither Microchip nor any other semiconductor manufacturer can guarantee the security of their code. Code protection does not  
mean that we are guaranteeing the product as “unbreakable.”  
Code protection is constantly evolving. We at Microchip are committed to continuously improving the code protection features of our  
products. Attempts to break microchip’s code protection feature may be a violation of the Digital Millennium Copyright Act. If such acts  
allow unauthorized access to your software or other copyrighted work, you may have a right to sue for relief under that Act.  
Information contained in this publication regarding device  
applications and the like is intended through suggestion only  
and may be superseded by updates. It is your responsibility to  
ensure that your application meets with your specifications.  
No representation or warranty is given and no liability is  
assumed by Microchip Technology Incorporated with respect  
to the accuracy or use of such information, or infringement of  
patents or other intellectual property rights arising from such  
use or otherwise. Use of Microchip’s products as critical  
components in life support systems is not authorized except  
with express written approval by Microchip. No licenses are  
conveyed, implicitly or otherwise, under any intellectual  
property rights.  
Trademarks  
The Microchip name and logo, the Microchip logo, Accuron,  
dsPIC, KEELOQ, MPLAB, PIC, PICmicro, PICSTART,  
PRO MATE and PowerSmart are registered trademarks of  
Microchip Technology Incorporated in the U.S.A. and other  
countries.  
AmpLab, FilterLab, microID, MXDEV, MXLAB, PICMASTER,  
SEEVAL, SmartShunt and The Embedded Control Solutions  
Company are registered trademarks of Microchip Technology  
Incorporated in the U.S.A.  
Application Maestro, dsPICDEM, dsPICDEM.net,  
dsPICworks, ECAN, ECONOMONITOR, FanSense,  
FlexROM, fuzzyLAB, In-Circuit Serial Programming, ICSP,  
ICEPIC, microPort, Migratable Memory, MPASM, MPLIB,  
MPLINK, MPSIM, PICkit, PICDEM, PICDEM.net, PICtail,  
PowerCal, PowerInfo, PowerMate, PowerTool, rfLAB, rfPIC,  
Select Mode, SmartSensor, SmartTel and Total Endurance  
are trademarks of Microchip Technology Incorporated in the  
U.S.A. and other countries.  
Serialized Quick Turn Programming (SQTP) is a service mark  
of Microchip Technology Incorporated in the U.S.A.  
All other trademarks mentioned herein are property of their  
respective companies.  
© 2003, Microchip Technology Incorporated, Printed in the  
U.S.A., All Rights Reserved.  
Printed on recycled paper.  
Microchip received ISO/TS-16949:2002 quality system certification for  
its worldwide headquarters, design and wafer fabrication facilities in  
Chandler and Tempe, Arizona and Mountain View, California in October  
2003 . The Company’s quality system processes and procedures are  
for its PICmicro® 8-bit MCUs, KEELOQ® code hopping devices, Serial  
EEPROMs, microperipherals, non-volatile memory and analog  
products. In addition, Microchip’s quality system for the design and  
manufacture of development systems is ISO 9001:2000 certified.  
DS39616B-page ii  
Preliminary  
2003 Microchip Technology Inc.  
PIC18F2331/2431/4331/4431  
28/40/44-Pin Enhanced Flash Microcontrollers with  
nanoWatt Technology, High Performance PWM and A/D  
14-bit Power Control PWM Module:  
Power-Managed Modes:  
• Up to 4 channels with complementary outputs  
• Edge- or center-aligned operation  
• Flexible dead-band generator  
• Run  
• Idle  
CPU on, peripherals on  
CPU off, peripherals on  
• Sleep CPU off, peripherals off  
• Hardware fault protection inputs  
• Simultaneous update of duty cycle and period:  
- Flexible special event trigger output  
• Idle mode currents down to 5.8 µA typical  
• Sleep current down to 0.1 µA typical  
• Timer1 oscillator, 1.8 µA typical, 32 kHz, 2V  
• Watchdog Timer (WDT), 2.1 µA typical  
• Two-Speed oscillator start-up  
Motion Feedback Module:  
• Three independent input capture channels:  
Peripheral Highlights:  
• High current sink/source 25 mA/25 mA  
• Three external interrupts  
• Two Capture/Compare/PWM (CCP) modules:  
- Capture is 16-bit, max. resolution 6.25 ns (TCY/16)  
- Compare is 16-bit, max. resolution 100 ns (TCY)  
- PWM output: PWM resolution is 1 to 10 bits  
• Enhanced USART module:  
- Supports RS-485, RS-232 and LIN 1.2  
- Auto-Wake-up on Start bit  
- Auto-Baud detect  
• RS-232 operation using internal oscillator block  
(no external crystal required)  
- Flexible operating modes for period and pulse  
width measurement  
- Special Hall Sensor interface module  
- Special event trigger output to other modules  
• Quadrature Encoder Interface:  
- 2 phase inputs and one index input from encoder  
- High and low position tracking with direction  
status and change of direction interrupt  
- Velocity measurement  
High-Speed, 200 Ksps 10-bit A/D Converter:  
• Up to 9 channels  
• Simultaneous two-channel sampling  
• Sequential sampling: 1, 2 or 4 selected channels  
• Auto-conversion capability  
Special Microcontroller Features:  
• 4-word FIFO with selectable interrupt frequency  
• Selectable external conversion triggers  
• Programmable acquisition time  
• 100,000 erase/write cycle enhanced Flash  
program memory typical  
• 1,000,000 erase/write cycle data EEPROM  
memory typical  
Flexible Oscillator Structure:  
• Four crystal modes up to 40 MHz  
• Flash/data EEPROM retention: 100 years  
• Self-programmable under software control  
• Priority levels for interrupts  
• 8 X 8 Single-cycle Hardware Multiplier  
• Extended Watchdog Timer (WDT):  
- Programmable period from 41 ms to 131s  
• Two external clock modes up to 40 MHz  
• Internal oscillator block:  
- 8 user selectable frequencies: 31 kHz to 8 MHz  
- OSCTUNE can compensate for frequency drift  
• Secondary oscillator using Timer1 @ 32 kHz  
• Fail-Safe Clock Monitor:  
• Single-supply In-Circuit Serial Programming™  
(ICSP™) via two pins  
• In-Circuit Debug (ICD) via two pins  
- Allows for safe shutdown of device if clock fails  
- Drives PWM outputs safely when debugging  
Program Memory  
Data Memory  
SSP  
10-bit  
A/D CCP  
(ch)  
14-bit  
PWM  
(ch)  
Timers  
8/16-bit  
Device  
I/O  
EUSART  
Flash # Single-Word SRAM EEPROM  
(bytes) Instructions (bytes) (bytes)  
Slave  
SPI  
2
I C™  
PIC18F2331 8192  
PIC18F2431 16384  
PIC18F4331 8192  
PIC18F4431 16384  
4096  
8192  
4096  
8192  
768  
768  
768  
768  
256  
256  
256  
256  
24  
24  
36  
36  
5
5
9
9
2
2
2
2
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
6
6
8
8
1/3  
1/3  
1/3  
1/3  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 1  
PIC18F2331/2431/4331/4431  
Pin Diagrams  
28-Pin SDIP, SOIC  
• 1  
2
28  
27  
26  
25  
24  
RB7/KBI3/PGD  
RB6/KBI2/PGC  
RB5/KBI1/PWM4/PGM  
RB4/KBI0/PWM5  
RB3/PWM3  
MCLR/VPP/RE3  
RA0/AN0  
(1)  
3
RA1/AN1  
4
RA2/AN2/VREF-/CAP1/INDX  
RA3/AN3/VREF+/CAP2/QEA  
RA4/AN4/CAP3/QEB  
AVDD  
5
RB2/PWM2  
6
7
8
23  
22  
21  
RB1/PWM1  
RB0/PWM0  
AVSS  
VDD  
OSC1/CLKI/RA7  
OSC2/CLKO/RA6  
RC0/T1OSO/T1CKI  
RC1/T1OSI/CCP2/FLTA  
RC2/CCP1/FLTB  
RC3/T0CKI/T5CKI/INT0  
9
20  
19  
18  
17  
16  
15  
VSS  
10  
11  
12  
13  
14  
RC7/RX/DT/SDO  
RC6/TX/CK/SS  
RC5/INT2/SCK/SCL  
RC4/INT1/SDI/SDA  
Note 1: Low-voltage programming must be enabled.  
40-Pin PDIP  
MCLR/VPP/RE3  
RA0/AN0  
1
2
3
4
5
6
7
8
40  
39  
38  
37  
36  
35  
34  
33  
32  
31  
30  
29  
28  
27  
26  
25  
24  
23  
22  
21  
RB7/KBI3/PGD  
RB6/KBI2/PGC  
RB5/KBI1/PWM4/PGM  
RB4/KBI0/PWM5  
RB3/PWM3  
(2)  
RA1/AN1  
RA2/AN2/VREF-/CAP1/INDX  
RA3/AN3/VREF+/CAP2/QEA  
RA4/AN4/CAP3/QEB  
RB2/PWM2  
RA5/AN5/LVDIN  
RE0/AN6  
RB1/PWM1  
RB0/PWM0  
VDD  
RE1/AN7  
RE2/AN8  
9
10  
11  
12  
13  
14  
15  
16  
17  
18  
19  
20  
VSS  
AVDD  
AVSS  
RD7/PWM7  
RD6/PWM6  
(4)  
OSC1/CLKI/RA7  
OSC2/CLKO/RA6  
RC0/T1OSO/T1CKI  
RC1/T1OSI/CCP2/FLTA  
RC2/CCP1/FLTB  
RD5/PWM4  
(3)  
RD4/FLTA  
(1)  
RC7/RX/DT/SDO  
RC6/TX/CK/SS  
(1)  
(1)  
RC5/INT2/SCK /SCL  
(1)  
(1)  
(1)  
(1)  
RC3/T0CKI /T5CKI /INT0  
RD0/T0CKI/T5CKI  
RD1/SDO  
RC4/INT1/SDI /SDA  
RD3/SCK/SCL  
RD2/SDI/SDA  
Note 1: RC3 is the alternate pin for T0CKI/T5CKI; RC4 is the alternate pin for SDI/SDA; RC5 is the alternate pin  
for SCK/SCL.  
2: Low-voltage programming must be enabled.  
3: RD4 is the alternate pin for FLTA.  
4: RD5 is the alternate pin for PWM4.  
DS39616B-page 2  
Preliminary  
2003 Microchip Technology Inc.  
PIC18F2331/2431/4331/4431  
Pin Diagrams (Continued)  
44-Pin TQFP  
RC7/RX/DT/SDO(1)  
RD4/FLTA(3)  
RD5/PWM4(4)  
RD6/PWM6  
RD7/PWM7  
VSS  
NC  
33  
32  
31  
30  
29  
28  
27  
26  
25  
24  
23  
1
2
3
4
5
6
7
8
9
RC0/T1OSO/T1CKI  
OSC2/CLKO/RA6  
OSC1/CLKI/RA7  
AVSS  
AVDD  
RE2/AN8  
RE1/AN7  
RE0/AN6  
RA5/AN5/LVDIN  
RA4/AN4/CAP3/QEB  
PIC18F4331  
PIC18F4431  
VDD  
RB0/PWM0  
RB1/PWM1  
RB2/PWM2  
RB3/PWM3  
10  
11  
Note 1: RC3 is the alternate pin for T0CKI/T5CKI; RC4 is the alternate pin for SDI/SDA; RC5 is the alternate pin  
for SCK/SCL.  
2: Low-voltage programming must be enabled.  
3: RD4 is the alternate pin for FLTA.  
4: RD5 is the alternate pin for PWM4.  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 3  
PIC18F2331/2431/4331/4431  
Pin Diagrams (Continued)  
44-Pin QFN  
RC7/RX/DT/SDO(1)  
RD4/FLTA(3)  
RD5/PWM4(4)  
RD6/PWM6  
RD7/PWM7  
VSS  
1
2
3
4
5
6
7
8
9
OSC2/CLKO/RA6  
OSC1/CLKI/RA7  
VSS  
33  
32  
31  
30  
29  
28  
27  
26  
AVSS  
PIC18F4331  
PIC18F4431  
AVDD  
VDD  
RE2/AN8  
RE1/AN7  
RE0/AN6  
RA5/AN5/LVDIN  
RA4/AN4/CAP3/QEB  
VDD  
AVDD  
RB0/PWM0  
RB1/PWM1  
RB2/PWM2  
25  
24  
23  
10  
11  
Note 1: RC3 is the alternate pin for T0CKI/T5CKI; RC4 is the alternate pin for SDI/SDA; RC5 is the alternate pin  
for SCK/SCL.  
2: Low-voltage programming must be enabled.  
3: RD4 is the alternate pin for FLTA.  
4: RD5 is the alternate pin for PWM4.  
DS39616B-page 4  
Preliminary  
2003 Microchip Technology Inc.  
PIC18F2331/2431/4331/4431  
Table of Contents  
1.0 Device Overview .......................................................................................................................................................................... 7  
2.0 Oscillator Configurations ............................................................................................................................................................ 21  
3.0 Power-Managed Modes ............................................................................................................................................................. 31  
4.0 Reset.......................................................................................................................................................................................... 45  
5.0 Memory Organization................................................................................................................................................................. 57  
6.0 Flash Program Memory.............................................................................................................................................................. 75  
7.0 Data EEPROM Memory ............................................................................................................................................................. 85  
8.0 8 X 8 Hardware Multiplier........................................................................................................................................................... 89  
9.0 Interrupts .................................................................................................................................................................................... 91  
10.0 I/O Ports ................................................................................................................................................................................... 107  
11.0 Timer0 Module ......................................................................................................................................................................... 133  
12.0 Timer1 Module ......................................................................................................................................................................... 137  
13.0 Timer2 Module ......................................................................................................................................................................... 143  
14.0 Timer5 Module ......................................................................................................................................................................... 145  
15.0 Capture/Compare/PWM (CCP) Modules ................................................................................................................................. 151  
16.0 Motion Feedback Module......................................................................................................................................................... 159  
17.0 Power Control PWM Module.................................................................................................................................................... 181  
18.0 Synchronous Serial Port (SSP) Module ................................................................................................................................... 211  
19.0 Enhanced Universal Synchronous Asynchronous Receiver Transmitter (EUSART)............................................................... 221  
20.0 10-bit High-Speed Analog-to-Digital Converter (A/D) Module.................................................................................................. 243  
21.0 Low-Voltage Detect.................................................................................................................................................................. 261  
22.0 Special Features of the CPU.................................................................................................................................................... 267  
23.0 Instruction Set Summary.......................................................................................................................................................... 287  
24.0 Development Support............................................................................................................................................................... 331  
25.0 Electrical Characteristics.......................................................................................................................................................... 337  
26.0 Preliminary DC and AC Characteristics Graphs and Tables.................................................................................................... 371  
27.0 Packaging Information.............................................................................................................................................................. 373  
Appendix A: Revision History............................................................................................................................................................. 379  
Appendix B: Device Differences ........................................................................................................................................................ 379  
Appendix C: Conversion Considerations ........................................................................................................................................... 380  
Appendix D: Migration from Baseline to Enhanced Devices.............................................................................................................. 380  
Appendix E: Migration from Mid-range to Enhanced Devices ........................................................................................................... 381  
Appendix F: Migration from High-end to Enhanced Devices ............................................................................................................. 381  
INDEX................................................................................................................................................................................................ 383  
On-Line Support................................................................................................................................................................................. 391  
Systems Information and Upgrade Hot Line ...................................................................................................................................... 391  
Reader Response.............................................................................................................................................................................. 392  
PIC18F2331/2431/4331/4431 Product Identification System ............................................................................................................ 393  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 5  
PIC18F2331/2431/4331/4431  
TO OUR VALUED CUSTOMERS  
It is our intention to provide our valued customers with the best documentation possible to ensure successful use of your Microchip  
products. To this end, we will continue to improve our publications to better suit your needs. Our publications will be refined and  
enhanced as new volumes and updates are introduced.  
If you have any questions or comments regarding this publication, please contact the Marketing Communications Department via  
E-mail at docerrors@mail.microchip.com or fax the Reader Response Form in the back of this data sheet to (480) 792-4150.  
We welcome your feedback.  
Most Current Data Sheet  
To obtain the most up-to-date version of this data sheet, please register at our Worldwide Web site at:  
http://www.microchip.com  
You can determine the version of a data sheet by examining its literature number found on the bottom outside corner of any page.  
The last character of the literature number is the version number, (e.g., DS30000A is version A of document DS30000).  
Errata  
An errata sheet, describing minor operational differences from the data sheet and recommended workarounds, may exist for current  
devices. As device/documentation issues become known to us, we will publish an errata sheet. The errata will specify the revision  
of silicon and revision of document to which it applies.  
To determine if an errata sheet exists for a particular device, please check with one of the following:  
• Microchip’s Worldwide Web site; http://www.microchip.com  
Your local Microchip sales office (see last page)  
• The Microchip Corporate Literature Center; U.S. FAX: (480) 792-7277  
When contacting a sales office or the literature center, please specify which device, revision of silicon and data sheet (include  
literature number) you are using.  
Customer Notification System  
Register on our Web site at www.microchip.com/cn to receive the most current information on all of our products.  
DS39616B-page 6  
Preliminary  
2003 Microchip Technology Inc.  
 
 
PIC18F2331/2431/4331/4431  
On-the-fly Mode Switching: The power-man-  
aged modes are invoked by user code during  
operation, allowing the user to incorporate power  
saving ideas into their application’s software  
design.  
1.0  
DEVICE OVERVIEW  
This document contains device specific information for  
the following devices:  
• PIC18F2331  
• PIC18F2431  
• PIC18F4331  
• PIC18F4431  
Lower Consumption in Key Modules: The  
power requirements for both Timer1 and the  
Watchdog Timer have been reduced by up to  
80%, with typical values of 1.1 and 2.1 µA,  
respectively.  
This family offers the advantages of all PIC18 micro-  
controllers – namely, high computational performance  
at an economical price, with the addition of high endur-  
ance enhanced Flash program memory and a high-  
speed 10-bit A/D converter. On top of these features,  
the PIC18F2331/2431/4331/4431 family introduces  
design enhancements that make these microcontrol-  
lers a logical choice for many high performance, power  
control and motor control applications. These special  
peripherals include:  
1.1.2  
MULTIPLE OSCILLATOR OPTIONS  
AND FEATURES  
All of the devices in the PIC18F2331/2431/4331/4431  
family offer nine different oscillator options, allowing  
users a wide range of choices in developing application  
hardware. These include:  
• 14-bit resolution Power Control PWM Module  
(PCPWM) with programmable dead time insertion  
• Four crystal modes, using crystals or ceramic  
resonators.  
• Motion Feedback Module (MFM), including a  
3-channel Input Capture (IC) Module and  
Quadrature Encoder Interface (QEI)  
• Two external clock modes, offering the option of  
using two pins (oscillator input and a divide-by-4  
clock output) or one pin (oscillator input, with the  
second pin reassigned as general I/O).  
• High-speed 10-bit A/D Converter (HSADC)  
The PCPWM can generate up to eight complementary  
PWM outputs with dead-band time insertion. Overdrive  
current is detected by off-chip analog comparators or  
the digital fault inputs (FLTA, FLTB).  
• Two external RC oscillator modes, with the same  
pin options as the external clock modes.  
• An internal oscillator block, which provides an  
8 MHz clock and an INTRC source (approxi-  
mately 31 kHz, stable over temperature and VDD),  
as well as a range of 6 user-selectable clock fre-  
quencies (from 125 kHz to 4 MHz) for a total of 8  
clock frequencies.  
The MFM Quadrature Encoder Interface provides  
precise rotor position feedback and/or velocity  
measurement. The MFM 3 X input capture or external  
interrupts can be used to detect the rotor state for  
electrically commutated motor applications using Hall  
Sensor feedback, such as BLDC motor drives.  
Besides its availability as a clock source, the internal  
oscillator block provides a stable reference source that  
gives the family additional features for robust  
operation:  
PIC18F2331/2431/4331/4431 devices also feature  
Flash program memory and an internal RC oscillator  
with built-in LP modes.  
Fail-Safe Clock Monitor: This option constantly  
monitors the main clock source against a  
reference signal provided by the internal  
oscillator. If a clock failure occurs, the controller is  
switched to the internal oscillator block, allowing  
for continued low speed operation or a safe  
application shutdown.  
1.1  
New Core Features  
1.1.1  
nanoWatt TECHNOLOGY  
All of the devices in the PIC18F2331/2431/4331/4431  
family incorporate a range of features that can signifi-  
cantly reduce power consumption during operation.  
Key items include:  
Two-Speed Start-up: This option allows the  
internal oscillator to serve as the clock source  
from Power-on Reset or wake-up from Sleep  
mode, until the primary clock source is available.  
This allows for code execution during what would  
otherwise be the clock start-up interval, and can  
even allow an application to perform routine  
background activities and return to Sleep without  
returning to full power operation.  
Alternate Run Modes: By clocking the controller  
from the Timer1 source or the internal oscillator  
block, power consumption during code execution  
can be reduced by as much as 90%.  
Multiple Idle Modes: The controller can also run  
with its CPU core disabled, but the peripherals are  
still active. In these states, power consumption  
can be reduced even further, to as little as 4% of  
normal operation requirements.  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 7  
 
 
PIC18F2331/2431/4331/4431  
High-speed 10-bit A/D Converter: This module  
incorporates Programmable Acquisition Time,  
allowing for a channel to be selected and a  
conversion to be initiated without waiting for a  
sampling period and thus, reducing code  
overhead.  
1.2  
Other Special Features  
Memory Endurance: The enhanced Flash cells  
for both program memory and data EEPROM are  
rated to last for many thousands of erase/write  
cycles – up to 100,000 for program memory and  
1,000,000 for EEPROM. Data retention without  
refresh is conservatively estimated to be greater  
than 100 years.  
Motion Feedback Module (MFM): This module  
features a Quadrature Encoder Interface (QEI)  
and an Input Capture (IC) module. The QEI  
accepts two phase inputs (QEA, QEB) and one  
index input (INDX) from an incremental encoder.  
The QEI supports high and low precision position  
tracking, direction status and change of direction  
interrupt, and velocity measurement. The input  
capture features 3 channels of independent input  
capture with Timer5 as the time base, a special  
event trigger to other modules, and an adjustable  
noise filter on each IC input.  
Self-programmability: These devices can write  
to their own program memory spaces under inter-  
nal software control. By using a bootloader routine  
located in the protected Boot Block at the top of  
program memory, it becomes possible to create  
an application that can update itself in the field.  
Power Control PWM Module: In PWM mode,  
this module provides 1, 2 or 4 modulated outputs  
for controlling half-bridge and full-bridge drivers.  
Other features include Auto-Shutdown on fault  
detection and Auto-Restart to reactivate outputs  
once the condition has cleared.  
Extended Watchdog Timer (WDT): This  
enhanced version incorporates a 16-bit prescaler,  
allowing a time-out range from 4 ms to over 2  
minutes, that is stable across operating voltage  
and temperature.  
Enhanced USART: This serial communication  
module is capable of standard RS-232 operation  
using the internal oscillator block, removing the  
need for an external crystal (and its accompany-  
ing power requirement) in applications that talk to  
the outside world. This module also includes auto-  
baud detect and LIN capability.  
DS39616B-page 8  
Preliminary  
2003 Microchip Technology Inc.  
 
PIC18F2331/2431/4331/4431  
The devices are differentiated from each other in three  
ways:  
1.3  
Details on Individual Family  
Members  
1. Flash program memory (8 Kbytes for  
Devices in the PIC18F2331/2431/4331/4431 family are  
available in 28-pin (PIC18F2X31) and 40/44-pin  
(PIC18F4X31) packages. The block diagram for the  
two groups is shown in Figure 1-1.  
PIC18F2X31  
devices,  
16 Kbytes  
for  
PIC18F4X31).  
2. A/D channels (5 for PIC18F2X31 devices, 9 for  
PIC18F4X31 devices).  
3. I/O ports (3 bidirectional ports on PIC18F2X31  
devices, 5 bidirectional ports on PIC18F4X31  
devices).  
All other features for devices in this family are identical.  
These are summarized in Table 1-1.  
The pinouts for all devices are listed in Table 1-2 and  
Table 1-3.  
TABLE 1-1:  
DEVICE FEATURES  
Features  
PIC18F2331  
PIC18F2431  
PIC18F4331  
PIC18F4431  
Operating Frequency  
DC – 40 MHz  
DC – 40 MHz  
DC – 40 MHz  
DC – 40 MHz  
16384  
8192  
Program Memory (Bytes)  
Program Memory (Instructions)  
Data Memory (Bytes)  
Data EEPROM Memory (Bytes)  
Interrupt Sources  
8192  
16384  
8192  
4096  
768  
256  
34  
4096  
8192  
768  
768  
768  
256  
256  
256  
22  
22  
34  
I/O Ports  
Ports A, B, C  
Ports A, B, C  
Ports A, B, C, D, E Ports A, B, C, D, E  
Timers  
4
4
4
4
Capture/Compare/PWM modules  
14-bit Power Control PWM  
2
2
2
2
(6 Channels)  
(6 Channels)  
(8 Channels)  
(8 Channels)  
Motion Feedback module  
(Input Capture/Quadrature Encoder  
Interface)  
1 QEI  
or  
3x IC  
1 QEI  
or  
3x IC  
1 QEI  
or  
3x IC  
1 QEI  
or  
3x IC  
Serial Communications  
SSP,  
SSP,  
SSP,  
SSP,  
Enhanced USART Enhanced USART Enhanced USART Enhanced USART  
10-bit High-Speed  
Analog-to-Digital Converter module  
5 Input Channels 5 Input Channels 9 Input Channels 9 Input Channels  
Resets (and Delays)  
POR, BOR,  
POR, BOR,  
POR, BOR,  
POR, BOR,  
RESETInstruction, RESETInstruction, RESETInstruction, RESETInstruction,  
Stack Full,  
Stack Underflow  
(PWRT, OST),  
Stack Full,  
Stack Underflow  
(PWRT, OST),  
Stack Full,  
Stack Underflow  
(PWRT, OST),  
Stack Full,  
Stack Underflow  
(PWRT, OST),  
MCLR (optional), MCLR (optional), MCLR (optional), MCLR (optional),  
WDT  
WDT  
WDT  
WDT  
Programmable Low-voltage Detect  
Programmable Brown-out Reset  
Instruction Set  
Yes  
Yes  
Yes  
Yes  
Yes  
Yes  
Yes  
Yes  
75 Instructions  
75 Instructions  
75 Instructions  
75 Instructions  
Packages  
40-pin DIP  
44-pin TQFP  
44-pin QFN  
40-pin DIP  
44-pin TQFP  
44-pin QFN  
28-pin SDIP  
28-pin SOIC  
28-pin SDIP  
28-pin SOIC  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 9  
 
 
PIC18F2331/2431/4331/4431  
FIGURE 1-1:  
PIC18F2331/2431 BLOCK DIAGRAM  
Data Bus<8>  
PORTA  
PORTB  
PORTC  
RA0/AN0  
RA1/AN1  
RA2/AN2/VREF-/CAP1/INDX  
RA3/AN3/VREF+/CAP2/QEA  
RA4/AN4/CAP3/QEB  
OSC2/CLKO/RA6  
Table Pointer<21>  
inc/dec logic  
Data Latch  
Data RAM  
21  
8
8
(768 bytes)  
21  
21  
Address Latch  
12  
OSC1/CLKI/RA7  
20  
PCLATU PCLATH  
Address Latch  
Program Memory  
Address<12>  
PCU PCH PCL  
Program Counter  
4
12  
4
RB0/PWM0  
RB1/PWM1  
RB2/PWM2  
RB3/PWM3  
RB4/KBI0/PWM5  
RB5/KBI1/PWM4/PGM  
RB6/KBI2/PGC  
RB7/KBI3/PGD  
Data Latch  
BSR  
Bank0, F  
FSR0  
FSR1  
FSR2  
31 Level Stack  
12  
16  
inc/dec  
logic  
Decode  
TABLELATCH  
8
ROMLATCH  
IR  
RC0/T1OSO/T1CKI  
RC1/T1OSI/CCP2/FLTA  
RC2/CCP1/FLTB  
RC3/T0CKI/T5CKI/INT0  
RC4/INT1/SDI/SDA  
RC5/INT2/SCK/SCL  
RC6/TX/CK/SS  
8
Instruction  
Decode &  
Control  
RC7/RX/DT/SDO  
PRODH PRODL  
8 x 8 Multiply  
3
Power-up  
Timer  
OSC2/CLKO  
OSC1/CLKI  
T1OSI  
8
Timing  
W
8
BITOP  
8
Oscillator  
Start-up Timer  
Generation  
8
Power-on  
Reset  
8
T1OSO  
4X PLL  
ALU<8>  
Watchdog  
Timer  
8
Precision  
Band Gap  
Reference  
Brown-out  
Reset  
PORTE  
Power  
Managed  
Mode Logic  
MCLR/VPP  
INTRC  
OSC  
MCLR/VPP/RE3(1, 2)  
VDD, VSS  
Timer0  
AVDD, AVSS  
HS 10-bit  
ADC  
Timer1  
Timer2  
Timer5  
Synchronous  
Serial Port  
CCP1  
CCP2  
EUSART  
Data EE  
PCPWM  
MFM  
Note 1: RE3 input pin is only enabled when MCLRE fuse is programmed to ‘0’.  
2: RE3 is available only when MCLR is disabled.  
DS39616B-page 10  
Preliminary  
2003 Microchip Technology Inc.  
 
PIC18F2331/2431/4331/4431  
FIGURE 1-2:  
PIC18F4331/4431 BLOCK DIAGRAM  
Data Bus<8>  
PORTA  
PORTB  
PORTC  
RA0/AN0  
RA1/AN1  
Table Pointer<21>  
inc/dec logic  
Data Latch  
21  
RA2/AN2/VREF-/CAP1/INDX  
RA3/AN3/VREF+/CAP2/QEA  
RA4/AN4/CAP3/QEB  
RA5/AN5/LVDIN  
OSC2/CLKO/RA6  
OSC1/CLKI/RA7  
8
8
Data RAM  
(768 bytes)  
21  
21  
Address Latch  
12  
20  
PCLATU PCLATH  
Address Latch  
Program Memory  
Address<12>  
PCU PCH PCL  
Program Counter  
4
12  
4
RB0/PWM0  
RB1/PWM1  
RB2/PWM2  
RB3/PWM3  
RB4/KBI0/PWM5  
RB5/KBI1/PWM4/PGM(4)  
RB6/KBI2/PGC  
RB7/KBI3/PGD  
Data Latch  
BSR  
Bank0, F  
FSR0  
FSR1  
FSR2  
31 Level Stack  
12  
16  
inc/dec  
logic  
Decode  
TABLELATCH  
8
ROMLATCH  
IR  
RC0/T1OSO/T1CKI  
RC1/T1OSI/CCP2/FLTA(2)  
RC2/CCP1/FLTB  
RC3/T0CKI/T5CKI/INT0(3)  
RC4/INT1/SDI/SDA(3)  
8
RC5/INT2/SCK/SCL(3)  
RC6/TX/CK/SS  
Instruction  
Decode &  
Control  
RC7/RX/DT/SDO*  
PRODH PRODL  
8 x 8 Multiply  
3
Power-up  
Timer  
OSC2/CLKO  
OSC1/CLKI  
T1OSI  
8
PORTD  
RD0/IT0CKI/T5CKI  
RD1/SDO  
Timing  
Generation  
W
8
BITOP  
8
Oscillator  
Start-up Timer  
8
RD2/SDI/SDA  
RD3/SCK/SCL  
RD4/FLTA(2)  
RD5/PWM4(4)  
RD6/PWM6  
Power-on  
Reset  
8
T1OSO  
4X PLL  
ALU<8>  
Watchdog  
Timer  
RD7/PWM7  
8
Precision  
Band Gap  
Reference  
Brown-out  
Reset  
PORTE  
Power  
Managed  
RE0/AN6  
MCLR/VPP  
Mode Logic  
RE1/AN7  
RE2/AN8  
INTRC  
OSC  
MCLR/VPP/RE3(1)  
VDD, VSS  
Timer0  
AVDD, AVSS  
HS 10-bit  
ADC  
Timer1  
Timer2  
Timer5  
Synchronous  
Serial Port  
CCP1  
CCP2  
EUSART  
Data EE  
PCPWM  
MFM  
Note 1: RE3 is available only when MCLR is disabled.  
2: RD4 is the alternate pin for FLTA.  
3: RC3, RC4 and RC5 are alternate pins for T0CKI/T5CKI, SDI/SDA, SCK/SCL  
respectively.  
4: RD5 is the alternate pin for PWM4.  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 11  
 
PIC18F2331/2431/4331/4431  
TABLE 1-2:  
PIC18F2331/2431 PINOUT I/O DESCRIPTIONS  
Pin  
Pin Buffer  
Number  
Pin Name  
Description  
Type Type  
DIP SOIC  
MCLR/VPP/RE3  
MCLR  
1
9
1
9
Master Clear (input) or programming voltage (input).  
Master Clear (Reset) input. This pin is an active-low  
Reset to the device.  
I
ST  
ST  
VPP  
RE3  
P
I
High-voltage ICSP programming enable pin.  
Digital input. Available only when MCLR is disabled.  
OSC1/CLKI/RA7  
OSC1  
Oscillator crystal or external clock input.  
Oscillator crystal input or external clock source input.  
ST buffer when configured in RC mode, CMOS otherwise.  
External clock source input. Always associated with pin  
function OSC1. (See related OSC1/CLKI, OSC2/CLKO  
pins.)  
I
I
ST  
CLKI  
CMOS  
RA7  
I/O  
TTL  
General purpose I/O pin.  
OSC2/CLKO/RA6  
OSC2  
10  
10  
Oscillator crystal or clock output.  
O
O
Oscillator crystal output. Connects to crystal or resonator  
in Crystal Oscillator mode.  
In RC mode, OSC2 pin outputs CLKO, which has 1/4 the  
frequency of OSC1 and denotes the instruction cycle rate.  
General purpose I/O pin.  
CLKO  
RA6  
I/O  
TTL  
PORTA is a bidirectional I/O port.  
RA0/AN0  
RA0  
2
3
4
2
3
4
I/O  
I
TTL  
Analog  
Digital I/O.  
Analog input 0.  
AN0  
RA1/AN1  
RA1  
I/O  
I
TTL  
Analog  
Digital I/O.  
Analog input 1.  
AN1  
RA2/AN2/VREF-/CAP1/INDX  
RA2  
AN2  
VREF-  
CAP1  
INDX  
I/O  
TTL  
Analog  
Analog  
ST  
Digital I/O.  
Analog input 2.  
A/D Reference Voltage (Low) input.  
Input capture pin 1.  
Quadrature Encoder Interface index input pin.  
I
I
I
I
ST  
RA3/AN3/VREF+/CAP2/QEA  
5
6
5
6
RA3  
AN3  
VREF+  
CAP2  
QEA  
I/O  
TTL  
Analog  
Analog  
ST  
Digital I/O.  
Analog input 3.  
A/D Reference Voltage (High) input.  
Input capture pin 2.  
Quadrature Encoder Interface channel A input pin.  
I
I
I
I
ST  
RA4/AN4/CAP3/QEB  
RA4  
AN4  
CAP3  
QEB  
I/O  
TTL  
Analog  
ST  
Digital I/O.  
Analog input 4.  
Input capture pin 3.  
Quadrature Encoder Interface channel B input pin.  
I
I
I
ST  
Legend: TTL = TTL compatible input  
CMOS = CMOS compatible input or output  
ST  
O
= Schmitt Trigger input with CMOS levels  
= Output  
I
P
= Input  
= Power  
OD = Open-Drain (no diode to VDD)  
DS39616B-page 12  
Preliminary  
2003 Microchip Technology Inc.  
 
 
 
 
 
 
 
 
 
PIC18F2331/2431/4331/4431  
TABLE 1-2:  
PIC18F2331/2431 PINOUT I/O DESCRIPTIONS (CONTINUED)  
Pin  
Number  
Pin Buffer  
Type Type  
Pin Name  
Description  
DIP SOIC  
PORTB is a bidirectional I/O port. PORTB can be software  
programmed for internal weak pull-ups on all inputs.  
RB0/PWM0  
RB0  
21  
22  
23  
24  
25  
21  
22  
23  
24  
25  
I/O  
O
TTL  
TTL  
Digital I/O.  
PWM output 0.  
PWM0  
RB1/PWM1  
RB1  
I/O  
O
TTL  
TTL  
Digital I/O.  
PWM output 1.  
PWM1  
RB2/PWM2  
RB2  
I/O  
O
TTL  
TTL  
Digital I/O.  
PWM output 2.  
PWM2  
RB3/PWM3  
RB3  
I/O  
O
TTL  
TTL  
Digital I/O.  
PWM output 3.  
PWM3  
RB4/KBI0/PWM5  
RB4  
I/O  
I
O
TTL  
TTL  
TTL  
Digital I/O.  
Interrupt-on-change pin.  
PWM output 5.  
KBI0  
PWM5  
RB5/KBI1/PWM4/PGM  
26  
26  
RB5  
I/O  
I
O
TTL  
TTL  
TTL  
ST  
Digital I/O.  
Interrupt-on-change pin.  
PWM output 4.  
KBI1  
PWM4  
PGM  
I/O  
Low-voltage ICSP programming entry pin.  
RB6/KBI2/PGC  
RB6  
27  
28  
27  
28  
I/O  
I
I/O  
TTL  
TTL  
ST  
Digital I/O.  
Interrupt-on-change pin.  
In-Circuit Debugger and ICSP programming clock pin.  
KBI2  
PGC  
RB7/KBI3/PGD  
RB7  
I/O  
I
I/O  
TTL  
TTL  
ST  
Digital I/O.  
Interrupt-on-change pin.  
In-Circuit Debugger and ICSP programming data pin.  
KBI3  
PGD  
Legend: TTL = TTL compatible input  
CMOS = CMOS compatible input or output  
ST  
O
= Schmitt Trigger input with CMOS levels  
= Output  
I
P
= Input  
= Power  
OD = Open-Drain (no diode to VDD)  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 13  
 
 
 
 
 
 
 
 
PIC18F2331/2431/4331/4431  
TABLE 1-2:  
PIC18F2331/2431 PINOUT I/O DESCRIPTIONS (CONTINUED)  
Pin  
Pin Buffer  
Number  
Pin Name  
Description  
Type Type  
DIP SOIC  
PORTC is a bidirectional I/O port.  
RC0/T1OSO/T1CKI  
RC0  
11  
12  
11  
12  
I/O  
O
I
ST  
ST  
Digital I/O.  
Timer1 oscillator output.  
Timer1 external clock input.  
T1OSO  
T1CKI  
RC1/T1OSI/CCP2/FLTA  
RC1  
I/O  
ST  
CMOS  
ST  
Digital I/O.  
Timer1 oscillator input.  
Capture2 input, Compare2 output, PWM2 output.  
Fault interrupt input pin.  
T1OSI  
CCP2  
FLTA  
I
I/O  
I
ST  
RC2/CCP1/FLTB  
RC2  
13  
14  
13  
14  
I/O  
I/O  
I
ST  
ST  
ST  
Digital I/O.  
CCP1  
FLTB  
Capture1 input/Compare1 output/PWM1 output.  
Fault interrupt input pin,.  
RC3/T0CKI/T5CKI/INT0  
RC3  
I/O  
ST  
ST  
ST  
ST  
Digital I/O.  
T0CKI  
T5CKI  
INT0  
I
I
I
Timer0 alternate clock input.  
Timer5 alternate clock input.  
External interrupt 0.  
RC4/INT1/SDI/SDA  
15  
16  
17  
18  
15  
16  
17  
18  
RC4  
INT1  
SDI  
I/O  
I
I
ST  
ST  
ST  
ST  
Digital I/O.  
External interrupt 1.  
SPI™ data in.  
I2C™ data I/O.  
SDA  
I/O  
RC5/INT2/SCK/SCL  
RC5  
INT2  
SCK  
SCL  
I/O  
I
I/O  
I/O  
ST  
ST  
ST  
ST  
Digital I/O.  
External interrupt 2.  
Synchronous serial clock input/output for SPI mode.  
Synchronous serial clock input/output for I2C mode.  
RC6/TX/CK/SS  
RC6  
TX  
CK  
SS  
I/O  
O
I/O  
I
ST  
ST  
TTL  
Digital I/O.  
USART Asynchronous Transmit.  
USART Synchronous Clock (see related RX/DT).  
SPI Slave Select input.  
RC7/RX/DT/SDO  
RC7  
RX  
DT  
I/O  
I
I/O  
O
ST  
ST  
ST  
Digital I/O.  
USART Asynchronous Receive.  
USART Synchronous Data (see related TX/CK).  
SPI data out.  
SDO  
VSS  
VDD  
8, 19 8, 19  
7, 20 7, 20  
P
P
Ground reference for logic and I/O pins.  
Positive supply for logic and I/O pins.  
CMOS = CMOS compatible input or output  
Legend: TTL = TTL compatible input  
ST  
O
= Schmitt Trigger input with CMOS levels  
= Output  
I
P
= Input  
= Power  
OD = Open-Drain (no diode to VDD)  
DS39616B-page 14  
Preliminary  
2003 Microchip Technology Inc.  
 
 
 
 
 
 
 
 
 
 
PIC18F2331/2431/4331/4431  
TABLE 1-3:  
Pin Name  
PIC18F4331/4431 PINOUT I/O DESCRIPTIONS  
Pin Number  
Pin Buffer  
Type Type  
Description  
DIP TQFP QFN  
MCLR/VPP/RE3  
MCLR  
1
18  
18  
Master Clear (input) or programming voltage (input).  
Master Clear (Reset) input. This pin is an active-low.  
Reset to the device.  
I
ST  
ST  
VPP  
RE3  
P
I
Programming voltage input.  
Digital input. Available only when MCLR is disabled.  
OSC1/CLKI/RA7  
OSC1  
13  
30  
32  
Oscillator crystal or external clock input.  
I
I
ST  
CMOS  
TTL  
Oscillator crystal input or external clock source input.  
ST buffer when configured in RC mode, CMOS otherwise.  
External clock source input. Always associated with pin  
function OSC1. (See related OSC1/CLKI, OSC2/CLKO pins.)  
General purpose I/O pin.  
CLKI  
RA7  
I/O  
OSC2/CLKO/RA6  
OSC2  
14  
31  
33  
Oscillator crystal or clock output.  
O
O
Oscillator crystal output. Connects to crystal or resonator  
in Crystal Oscillator mode.  
In RC mode, OSC2 pin outputs CLKO, which has 1/4 the  
frequency of OSC1 and denotes the instruction cycle rate.  
General purpose I/O pin.  
CLKO  
RA6  
I/O  
TTL  
PORTA is a bidirectional I/O port.  
RA0/AN0  
RA0  
2
3
4
19  
20  
21  
19  
20  
21  
I/O  
I
TTL  
Analog  
Digital I/O.  
Analog input 0.  
AN0  
RA1/AN1  
RA1  
I/O  
I
TTL  
Analog  
Digital I/O.  
Analog input 1.  
AN1  
RA2/AN2/VREF-/CAP1/  
INDX  
RA2  
I/O  
TTL  
Analog  
Analog  
ST  
Digital I/O.  
Analog input 2.  
A/D Reference Voltage (Low) input.  
Input capture pin 1.  
Quadrature Encoder Interface index input pin.  
AN2  
I
I
I
I
VREF-  
CAP1  
INDX  
ST  
RA3/AN3/VREF+/  
CAP2/QEA  
RA3  
5
22  
22  
I/O  
TTL  
Analog  
Analog  
ST  
Digital I/O.  
Analog input 3.  
A/D Reference Voltage (High) input.  
Input capture pin 2.  
Quadrature Encoder Interface channel A input pin.  
AN3  
VREF+  
CAP2  
QEA  
I
I
I
I
ST  
RA4/AN4/CAP3/QEB  
6
7
23  
24  
23  
24  
RA4  
AN4  
CAP3  
QEB  
I/O  
TTL  
Analog  
ST  
Digital I/O.  
Analog input 4.  
Input capture pin 3.  
Quadrature Encoder Interface channel B input pin.  
I
I
I
ST  
RA5/AN5/LVDIN  
RA5  
I/O  
TTL  
Digital I/O.  
AN5  
LVDIN  
I
I
Analog  
Analog  
Analog input 5.  
Low-voltage Detect input.  
Legend: TTL = TTL compatible input  
CMOS = CMOS compatible input or output  
ST  
O
= Schmitt Trigger input with CMOS levels  
= Output  
I
P
= Input  
= Power  
OD = Open-Drain (no diode to VDD)  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 15  
 
 
 
 
 
 
 
 
 
 
PIC18F2331/2431/4331/4431  
TABLE 1-3:  
Pin Name  
PIC18F4331/4431 PINOUT I/O DESCRIPTIONS (CONTINUED)  
Pin Number  
Pin Buffer  
Type Type  
Description  
DIP TQFP QFN  
PORTB is a bidirectional I/O port. PORTB can be software  
programmed for internal weak pull-ups on all inputs.  
RB0/PWM0  
RB0  
33  
34  
35  
36  
37  
8
9
I/O  
O
TTL  
TTL  
Digital I/O.  
PWM output 0.  
PWM0  
RB1/PWM1  
RB1  
9
10  
11  
12  
14  
I/O  
O
TTL  
TTL  
Digital I/O.  
PWM output 1.  
PWM1  
RB2/PWM2  
RB2  
10  
11  
14  
I/O  
O
TTL  
TTL  
Digital I/O.  
PWM output 2.  
PWM2  
RB3/PWM3  
RB3  
I/O  
O
TTL  
TTL  
Digital I/O.  
PWM output 3.  
PWM3  
RB4/KBI0/PWM5  
RB4  
I/O  
I
O
TTL  
TTL  
TTL  
Digital I/O.  
Interrupt-on-change pin.  
PWM output 5.  
KBI0  
PWM5  
RB5/KBI1/PWM4/  
PGM  
38  
15  
15  
RB5  
KBI1  
PWM4  
PGM  
I/O  
I
O
TTL  
TTL  
TTL  
ST  
Digital I/O.  
Interrupt-on-change pin.  
PWM output 4.  
I/O  
Low-voltage ICSP programming entry pin.  
RB6/KBI2/PGC  
RB6  
39  
40  
16  
17  
16  
17  
I/O  
I
I/O  
TTL  
TTL  
ST  
Digital I/O.  
Interrupt-on-change pin.  
In-Circuit Debugger and ICSP programming clock pin.  
KBI2  
PGC  
RB7/KBI3/PGD  
RB7  
I/O  
I
I/O  
TTL  
TTL  
ST  
Digital I/O.  
Interrupt-on-change pin.  
In-Circuit Debugger and ICSP programming data pin.  
KBI3  
PGD  
Legend: TTL = TTL compatible input  
CMOS = CMOS compatible input or output  
ST  
O
= Schmitt Trigger input with CMOS levels  
= Output  
I
P
= Input  
= Power  
OD = Open-Drain (no diode to VDD)  
DS39616B-page 16  
Preliminary  
2003 Microchip Technology Inc.  
 
 
 
 
 
 
 
 
PIC18F2331/2431/4331/4431  
TABLE 1-3:  
Pin Name  
PIC18F4331/4431 PINOUT I/O DESCRIPTIONS (CONTINUED)  
Pin Number  
Pin Buffer  
Type Type  
Description  
DIP TQFP QFN  
PORTC is a bidirectional I/O port.  
RC0/T1OSO/T1CKI  
RC0  
15  
16  
32  
35  
34  
35  
I/O  
O
I
ST  
ST  
Digital I/O.  
Timer1 oscillator output.  
Timer1 external clock input.  
T1OSO  
T1CKI  
RC1/T1OSI/CCP2/  
FLTA  
RC1  
I/O  
ST  
CMOS  
ST  
Digital I/O.  
Timer1 oscillator input.  
Capture2 input, Compare2 output, PWM2 output.  
Fault interrupt input pin.  
T1OSI  
CCP2  
FLTA  
I
I/O  
I
ST  
RC2/CCP1/FLTB  
RC2  
17  
18  
36  
37  
36  
37  
I/O  
I/O  
I
ST  
ST  
ST  
Digital I/O.  
CCP1  
FLTB  
Capture1 input/Compare1 output/PWM1 output.  
Fault interrupt input pin.  
RC3/T0CKI/T5CKI/  
INT0  
RC3  
I/O  
ST  
ST  
ST  
ST  
Digital I/O.  
T0CKI  
T5CKI  
INT0  
I
I
I
Timer0 alternate clock input.  
Timer5 alternate clock input.  
External interrupt 0.  
RC4/INT1/SDI/SDA  
23  
24  
25  
26  
42  
43  
44  
1
42  
43  
44  
1
RC4  
INT1  
SDI  
I/O  
I
I
ST  
ST  
ST  
ST  
Digital I/O.  
External interrupt 1.  
SPI Data in.  
SDA  
I/O  
I2C Data I/O.  
RC5/INT2/SCK/SCL  
RC5  
INT2  
SCK  
SCL  
I/O  
I
I/O  
I/O  
ST  
ST  
ST  
ST  
Digital I/O.  
External interrupt 2.  
Synchronous serial clock input/output for SPI mode.  
Synchronous serial clock input/output for I2C mode.  
RC6/TX/CK/SS  
RC6  
TX  
CK  
SS  
I/O  
O
I/O  
I
ST  
ST  
ST  
Digital I/O.  
USART Asynchronous Transmit.  
USART Synchronous Clock (see related RX/DT).  
SPI Slave Select input.  
RC7/RX/DT/SDO  
RC7  
RX  
DT  
I/O  
I
I/O  
O
ST  
ST  
ST  
Digital I/O.  
USART Asynchronous Receive.  
USART Synchronous Data (see related TX/CK).  
SPI Data out.  
SDO  
Legend: TTL = TTL compatible input  
CMOS = CMOS compatible input or output  
ST  
O
= Schmitt Trigger input with CMOS levels  
= Output  
I
P
= Input  
= Power  
OD = Open-Drain (no diode to VDD)  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 17  
 
 
 
 
 
 
 
 
PIC18F2331/2431/4331/4431  
TABLE 1-3:  
Pin Name  
PIC18F4331/4431 PINOUT I/O DESCRIPTIONS (CONTINUED)  
Pin Number  
Pin Buffer  
Type Type  
Description  
DIP TQFP QFN  
PORTD is a bidirectional I/O port, or a Parallel Slave Port  
(PSP) for interfacing to a microprocessor port. These pins  
have TTL input buffers when PSP module is enabled.  
RD0/T0CKI/T5CKI  
RD0  
19  
38  
38  
I/O  
I
I
ST  
ST  
ST  
Digital I/O.  
Timer0 external clock input.  
Timer5 input clock.  
T0CKI  
T5CKI  
RD1/SDO  
RD1  
20  
21  
39  
40  
39  
40  
I/O  
O
ST  
Digital I/O.  
SPI Data out.  
SDO  
RD2/SDI/SDA  
RD2  
I/O  
I
I/O  
ST  
ST  
ST  
Digital I/O.  
SDI  
SDA  
SPI Data in.  
I2C Data I/O.  
RD3/SCK/SCL  
RD3  
22  
41  
41  
I/O  
I/O  
I/O  
ST  
ST  
ST  
Digital I/O.  
SCK  
SCL  
Synchronous serial clock input/output for SPI mode.  
Synchronous serial clock input/output for I2C mode.  
RD4/FLTA  
RD4  
27  
28  
29  
30  
2
3
4
5
2
3
4
5
I/O  
I
ST  
ST  
Digital I/O.  
Fault interrupt input pin.  
FLTA  
RD5/PWM4  
RD5  
I/O  
O
ST  
TTL  
Digital I/O.  
PWM output 4.  
PWM4  
RD6/PWM6  
RD6  
I/O  
O
ST  
TTL  
Digital I/O.  
PWM output 6.  
PWM6  
RD7/PWM7  
RD7  
I/O  
O
ST  
TTL  
Digital I/O.  
PWM output 7.  
PWM7  
Legend: TTL = TTL compatible input  
CMOS = CMOS compatible input or output  
ST  
O
= Schmitt Trigger input with CMOS levels  
= Output  
I
P
= Input  
= Power  
OD = Open-Drain (no diode to VDD)  
DS39616B-page 18  
Preliminary  
2003 Microchip Technology Inc.  
 
 
 
 
 
 
 
 
PIC18F2331/2431/4331/4431  
TABLE 1-3:  
Pin Name  
PIC18F4331/4431 PINOUT I/O DESCRIPTIONS (CONTINUED)  
Pin Number  
Pin Buffer  
Type Type  
Description  
DIP TQFP QFN  
PORTE is a bidirectional I/O port.  
RE0/AN6  
RE0  
8
9
25  
26  
27  
25  
26  
27  
I/O  
I
ST  
Analog  
Digital I/O.  
Analog input 6.  
AN6  
RE1/AN7  
RE1  
I/O  
I
ST  
Analog  
Digital I/O.  
Analog input 7.  
AN7  
RE2/AN8  
RE2  
10  
I/O  
I
ST  
Analog  
Digital I/O.  
Analog input 8.  
AN8  
VSS  
VDD  
12, 6, 29 6, 30,  
31 31  
P
Ground reference for logic and I/O pins.  
11, 32 7, 28 7, 8,  
P
Positive supply for logic and I/O pins.  
28,  
29  
NC  
12,  
13,  
13  
NC  
NC No connect  
33, 34  
Legend: TTL = TTL compatible input  
CMOS = CMOS compatible input or output  
ST  
O
= Schmitt Trigger input with CMOS levels  
= Output  
I
P
= Input  
= Power  
OD = Open-Drain (no diode to VDD)  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 19  
 
 
 
 
 
PIC18F2331/2431/4331/4431  
NOTES:  
DS39616B-page 20  
Preliminary  
2003 Microchip Technology Inc.  
PIC18F2331/2431/4331/4431  
FIGURE 2-1:  
CRYSTAL/CERAMIC  
RESONATOROPERATION  
(XT, LP, HS OR HSPLL  
CONFIGURATION)  
2.0  
2.1  
OSCILLATOR  
CONFIGURATIONS  
Oscillator Types  
(1)  
C1  
OSC1  
The PIC18F2331/2431/4331/4431 devices can be  
operated in 10 different oscillator modes. The user can  
program the configuration bits FOSC3:FOSC0 in Config-  
uration register 1H to select one of these 10 modes:  
To  
Internal  
Logic  
(3)  
RF  
XTAL  
1. LP  
Low-power Crystal  
Sleep  
(2)  
RS  
2. XT  
Crystal/Resonator  
(1)  
PIC18FXXXX  
C2  
OSC2  
3. HS  
High-speed Crystal/Resonator  
4. HSPLL  
High-speed Crystal/Resonator  
with PLL enabled  
Note 1: See Table 2-1 and Table 2-2 for initial values of  
C1 and C2.  
5. RC  
External Resistor/Capacitor with  
FOSC/4 output on RA6  
2: A series resistor (RS) may be required for AT  
strip cut crystals.  
6. RCIO  
7. INTIO1  
8. INTIO2  
External Resistor/Capacitor with  
I/O on RA6  
3: RF varies with the oscillator mode chosen.  
Internal Oscillator with FOSC/4  
output on RA6 and I/O on RA7  
TABLE 2-1:  
CAPACITOR SELECTION FOR  
CERAMIC RESONATORS  
Internal Oscillator with I/O on RA6  
and RA7  
Typical Capacitor Values Used:  
9. EC  
External Clock with FOSC/4 output  
External Clock with I/O on RA6  
Mode  
Freq  
OSC1  
OSC2  
10. ECIO  
XT  
455 kHz  
2.0 MHz  
4.0 MHz  
56 pF  
47 pF  
33 pF  
56 pF  
47 pF  
33 pF  
2.2  
Crystal Oscillator/Ceramic  
Resonators  
HS  
8.0 MHz  
16.0 MHz  
27 pF  
22 pF  
27 pF  
22 pF  
In XT, LP, HS or HSPLL oscillator modes, a crystal or  
ceramic resonator is connected to the OSC1 and  
OSC2 pins to establish oscillation. Figure 2-1 shows  
the pin connections.  
Capacitor values are for design guidance only.  
These capacitors were tested with the resonators  
listed below for basic start-up and operation. These  
values are not optimized.  
The oscillator design requires the use of a parallel cut  
crystal.  
Different capacitor values may be required to produce  
acceptable oscillator operation. The user should test  
the performance of the oscillator over the expected  
VDD and temperature range for the application.  
Note:  
Use of a series cut crystal may give a  
frequency out of the crystal  
manufacturers’ specifications.  
See the notes on page 22 for additional information.  
Resonators Used:  
455 kHz  
2.0 MHz  
4.0 MHz  
8.0 MHz  
16.0 MHz  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 21  
 
 
 
 
 
 
 
 
 
 
 
 
 
 
PIC18F2331/2431/4331/4431  
An external clock source may also be connected to the  
OSC1 pin in the HS mode, as shown in Figure 2-2.  
TABLE 2-2:  
CAPACITOR SELECTION FOR  
CRYSTAL OSCILLATOR  
Typical Capacitor Values  
FIGURE 2-2:  
EXTERNAL CLOCK INPUT  
OPERATION (HS OSC  
CONFIGURATION)  
Crystal  
Freq  
Tested:  
Osc Type  
C1  
C2  
LP  
XT  
HS  
32 kHz  
200 kHz  
1 MHz  
4 MHz  
4 MHz  
8 MHz  
20 MHz  
33 pF  
15 pF  
33 pF  
27 pF  
27 pF  
22 pF  
15 pF  
33 pF  
15 pF  
33 pF  
27 pF  
27 pF  
22 pF  
15 pF  
OSC1  
Clock from  
Ext. System  
PIC18FXXXX  
(HS Mode)  
OSC2  
Open  
Capacitor values are for design guidance only.  
2.3  
HSPLL  
These capacitors were tested with the crystals listed  
below for basic start-up and operation. These values  
are not optimized.  
A Phase Locked Loop (PLL) circuit is provided as an  
option for users who wish to use a lower frequency  
crystal oscillator circuit, or to clock the device up to its  
highest rated frequency from a crystal oscillator. This  
may be useful for customers who are concerned with  
EMI due to high-frequency crystals.  
Different capacitor values may be required to produce  
acceptable oscillator operation. The user should test  
the performance of the oscillator over the expected  
VDD and temperature range for the application.  
The HSPLL mode makes use of the HS mode oscillator  
for frequencies up to 10 MHz. A PLL then multiplies the  
oscillator output frequency by 4 to produce an internal  
clock frequency up to 40 MHz.  
See the notes following this table for additional  
information.  
Crystals Used:  
The PLL is enabled only when the oscillator configura-  
tion bits are programmed for HSPLL mode. If  
programmed for any other mode, the PLL is not  
enabled.  
32 kHz  
200 kHz  
1 MHz  
4 MHz  
8 MHz  
20 MHz  
FIGURE 2-3:  
PLL BLOCK DIAGRAM  
Note 1: Higher capacitance increases the stability  
of oscillator, but also increases the start-  
up time.  
HS Osc Enable  
PLL Enable  
2: When operating below 3V VDD, or when  
using certain ceramic resonators at any  
voltage, it may be necessary to use the  
HS mode or switch to a crystal oscillator.  
(from Configuration Register 1H)  
OSC2  
OSC1  
Phase  
Comparator  
HS Mode  
Crystal  
Osc  
FIN  
3: Since each resonator/crystal has its own  
characteristics, the user should consult  
the resonator/crystal manufacturer for  
FOUT  
Loop  
Filter  
appropriate  
components.  
values  
of  
external  
4: Rs may be required to avoid overdriving  
crystals with low drive level specification.  
÷4  
VCO  
SYSCLK  
5: Always verify oscillator performance over  
the VDD and temperature range that is  
expected for the application.  
DS39616B-page 22  
Preliminary  
2003 Microchip Technology Inc.  
 
 
 
PIC18F2331/2431/4331/4431  
2.4  
External Clock Input  
2.5  
RC Oscillator  
The EC and ECIO oscillator modes require an external  
clock source to be connected to the OSC1 pin. There is  
no oscillator start-up time required after a Power-on  
Reset or after an exit from Sleep mode.  
For timing insensitive applications, the “RC” and  
“RCIO” device options offer additional cost savings.  
The RC oscillator frequency is a function of the supply  
voltage, the resistor (REXT) and capacitor (CEXT)  
values and the operating temperature. In addition to  
this, the oscillator frequency will vary from unit to unit  
due to normal manufacturing variation. Furthermore,  
the difference in lead frame capacitance between  
package types will also affect the oscillation frequency,  
especially for low CEXT values. The user also needs to  
take into account variation due to tolerance of external  
R and C components used. Figure 2-6 shows how the  
R/C combination is connected.  
In the EC Oscillator mode, the oscillator frequency  
divided by 4 is available on the OSC2 pin. This signal  
may be used for test purposes or to synchronize other  
logic. Figure 2-4 shows the pin connections for the EC  
Oscillator mode.  
FIGURE 2-4:  
EXTERNAL CLOCK INPUT  
OPERATION  
(EC CONFIGURATION)  
In the RC Oscillator mode, the oscillator frequency  
divided by 4 is available on the OSC2 pin. This signal  
may be used for test purposes or to synchronize other  
logic.  
OSC1/CLKI  
Clock from  
Ext. System  
PIC18FXXXX  
OSC2/CLKO  
FOSC/4  
FIGURE 2-6:  
RC OSCILLATOR MODE  
VDD  
The ECIO Oscillator mode functions like the EC mode,  
except that the OSC2 pin becomes an additional  
general purpose I/O pin. The I/O pin becomes bit 6 of  
PORTA (RA6). Figure 2-5 shows the pin connections  
for the ECIO Oscillator mode.  
REXT  
Internal  
OSC1  
Clock  
CEXT  
VSS  
PIC18FXXXX  
FIGURE 2-5:  
EXTERNAL CLOCK INPUT  
OPERATION  
(ECIO CONFIGURATION)  
OSC2/CLKO  
FOSC/4  
Recommended values: 3 kΩ ≤ REXT 100 kΩ  
CEXT > 20 pF  
OSC1/CLKI  
PIC18FXXXX  
I/O (OSC2)  
Clock from  
Ext. System  
The RCIO Oscillator mode (Figure 2-7) functions like  
the RC mode, except that the OSC2 pin becomes an  
additional general purpose I/O pin. The I/O pin  
becomes bit 6 of PORTA (RA6).  
RA6  
FIGURE 2-7:  
RCIO OSCILLATOR MODE  
VDD  
REXT  
Internal  
OSC1  
Clock  
CEXT  
PIC18FXXXX  
VSS  
I/O (OSC2)  
RA6  
Recommended values: 3 kΩ ≤ REXT 100 kΩ  
CEXT > 20 pF  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 23  
 
 
 
 
 
 
 
PIC18F2331/2431/4331/4431  
2.6.2  
INTRC OUTPUT FREQUENCY  
2.6  
Internal Oscillator Block  
The internal oscillator block is calibrated at the factory  
to produce an INTOSC output frequency of 8.0 MHz.  
This changes the frequency of the INTRC source from  
its nominal 31.25 kHz. Peripherals and features that  
depend on the INTRC source will be affected by this  
shift in frequency.  
The PIC18F2331/2431/4331/4431 devices include an  
internal oscillator block, which generates two different  
clock signals; either can be used as the system’s clock  
source. This can eliminate the need for external  
oscillator circuits on the OSC1 and/or OSC2 pins.  
The main output (INTOSC) is an 8 MHz clock source,  
which can be used to directly drive the system clock. It  
also drives a postscaler, which can provide a range of  
clock frequencies from 125 kHz to 4 MHz. The  
INTOSC output is enabled when a system clock  
frequency from 125 kHz to 8 MHz is selected.  
2.6.3  
OSCTUNE REGISTER  
The internal oscillator’s output has been calibrated at  
the factory, but can be adjusted in the user's applica-  
tion. This is done by writing to the OSCTUNE register  
(Register 2-1). The tuning sensitivity is constant  
throughout the tuning range.  
The other clock source is the internal RC oscillator  
(INTRC), which provides a 31 kHz output. The INTRC  
oscillator is enabled by selecting the internal oscillator  
block as the system clock source, or when any of the  
following are enabled:  
When the OSCTUNE register is modified, the INTOSC  
and INTRC frequencies will begin shifting to the new  
frequency. The INTRC clock will reach the new fre-  
quency within 8 clock cycles (approximately  
8 * 32 µs = 256 µs). The INTOSC clock will stabilize  
within 1 ms. Code execution continues during this shift.  
There is no indication that the shift has occurred. Oper-  
ation of features that depend on the INTRC clock  
source frequency, such as the WDT, Fail-Safe Clock  
Monitor and peripherals, will also be affected by the  
change in frequency.  
• Power-up Timer  
• Fail-Safe Clock Monitor  
• Watchdog Timer  
• Two-Speed Start-up  
These features are discussed in greater detail in  
Section 22.0 “Special Features of the CPU”.  
The clock source frequency (INTOSC direct, INTRC  
direct or INTOSC postscaler) is selected by configuring  
the IRCF bits of the OSCCON register (Register 2-2).  
2.6.1  
INTIO MODES  
Using the internal oscillator as the clock source can  
eliminate the need for up to two external oscillator pins,  
which can then be used for digital I/O. Two distinct  
configurations are available:  
• In INTIO1 mode, the OSC2 pin outputs FOSC/4,  
while OSC1 functions as RA7 for digital input and  
output.  
• In INTIO2 mode, OSC1 functions as RA7 and  
OSC2 functions as RA6, both for digital input and  
output.  
DS39616B-page 24  
Preliminary  
2003 Microchip Technology Inc.  
 
 
 
 
 
 
PIC18F2331/2431/4331/4431  
REGISTER 2-1:  
OSCTUNE: OSCILLATOR TUNING REGISTER  
U-0  
U-0  
R/W-0  
TUN5  
R/W-0  
TUN4  
R/W-0  
TUN3  
R/W-0  
TUN2  
R/W-0  
TUN1  
R/W-0  
TUN0  
bit 7  
bit 0  
bit 7, 6  
bit 5-0  
Unimplemented: Read as ‘0’  
TUN<5:0>: Frequency Tuning bits  
011111= Maximum frequency  
000001  
000000= Center frequency. Oscillator module is running at the calibrated frequency.  
111111  
100000= Minimum frequency  
Legend:  
R = Readable bit  
-n = Value at POR  
W = Writable bit  
U = Unimplemented bit, read as ‘0’  
‘0’ = Bit is cleared x = Bit is unknown  
‘1’ = Bit is set  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 25  
 
PIC18F2331/2431/4331/4431  
2.7.1  
OSCILLATOR CONTROL REGISTER  
2.7  
Clock Sources and Oscillator  
Switching  
The OSCCON register (Register 2-2) controls several  
aspects of the system clock’s operation, both in full  
power operation and in power-managed modes.  
Like previous PIC18 devices, the PIC18F2331/2431/  
4331/4431 devices include a feature that allows the  
system clock source to be switched from the main  
oscillator to an alternate low frequency clock source.  
PIC18F2331/2431/4331/4431 devices offer two alter-  
nate clock sources. When enabled, these give addi-  
tional options for switching to the various power-  
managed operating modes.  
The System Clock Select bits, SCS1:SCS0, select the  
clock source that is used when the device is operating  
in power-managed modes. The available clock sources  
are the primary clock (defined in Configuration register  
1H), the secondary clock (Timer1 oscillator) and the  
internal oscillator block. The clock selection has no  
effect until a SLEEP instruction is executed and the  
device enters a power-managed mode of operation.  
The SCS bits are cleared on all forms of Reset.  
Essentially, there are three clock sources for these  
devices:  
• Primary oscillators  
The Internal Oscillator Select bits, IRCF2:IRCF0, select  
the frequency output of the internal oscillator block that  
is used to drive the system clock. The choices are the  
INTRC source, the INTOSC source (8 MHz) or one of  
the six frequencies derived from the INTOSC  
postscaler (125 kHz to 4 MHz). If the internal oscillator  
block is supplying the system clock, changing the  
states of these bits will have an immediate change on  
the internal oscillator’s output.  
• Secondary oscillators  
• Internal oscillator block  
The primary oscillators include the external crystal  
and resonator modes, the external RC modes, the  
external clock modes and the internal oscillator block.  
The particular mode is defined on POR by the contents  
of Configuration Register 1H. The details of these  
modes are covered earlier in this chapter.  
The OSTS, IOFS and T1RUN bits indicate which clock  
source is currently providing the system clock. The  
OSTS indicates that the Oscillator Start-up Timer has  
timed out, and the primary clock is providing the system  
clock in primary clock modes. The IOFS bit indicates  
when the internal oscillator block has stabilized, and is  
providing the system clock in RC clock modes. The  
T1RUN bit (T1CON<6>) indicates when the Timer1  
oscillator is providing the system clock in secondary  
clock modes. In power-managed modes, only one of  
these three bits will be set at any time. If none of these  
bits are set, the INTRC is providing the system clock, or  
the internal oscillator block has just started and is not  
yet stable.  
The secondary oscillators are those external sources  
not connected to the OSC1 or OSC2 pins. These  
sources may continue to operate even after the  
controller is placed in a power-managed mode.  
PIC18F2331/2431/4331/4431 devices offer only the  
Timer1 oscillator as a secondary oscillator. This  
oscillator, in all power-managed modes, is often the  
time base for functions such as a real-time clock.  
Most often, a 32.768 kHz watch crystal is connected  
between the RC0/T1OSO and RC1/T1OSI pins. Like  
the LP mode oscillator circuit, loading capacitors are  
also connected from each pin to ground.  
The Timer1 oscillator is discussed in greater detail in  
Section 12.2 “Timer1 Oscillator”.  
The IDLEN bit controls the selective shut down of the  
controller’s CPU in power-managed modes. The use of  
these bits is discussed in more detail in Section 3.0  
“Power-Managed Modes”  
In addition to being a primary clock source, the internal  
oscillator block is available as a power-managed  
mode clock source. The INTRC source is also used as  
the clock source for several special features, such as  
the WDT and Fail-Safe Clock Monitor.  
Note 1: The Timer1 oscillator must be enabled to  
select the secondary clock source. The  
Timer1 oscillator is enabled by setting the  
T1OSCEN bit in the Timer1 Control regis-  
ter (T1CON<3>). If the Timer1 oscillator  
is not enabled, then any attempt to select  
a secondary clock source when execut-  
ing a SLEEPinstruction will be ignored.  
The clock sources for the PIC18F2331/2431/4331/  
4431 devices are shown in Figure 2-8. See  
Section 12.0 “Timer1 Module” for further details of  
the Timer1 oscillator. See Section 22.1 “Configura-  
tion Bits” for Configuration register details.  
2: It is recommended that the Timer1 oscil-  
lator be operating and stable before exe-  
cuting the SLEEP instruction, or a very  
long delay may occur while the Timer1  
oscillator starts.  
DS39616B-page 26  
Preliminary  
2003 Microchip Technology Inc.  
 
 
 
PIC18F2331/2431/4331/4431  
FIGURE 2-8:  
PIC18F2331/2431/4331/4431 CLOCK DIAGRAM  
Clock  
Control  
PIC18F2331/2431/4331/4431  
C4NFIG1H <3:0>  
HSPLL  
OSCCON<1:0>  
Peripherals  
Primary Oscillator  
OSC2  
4 x PLL  
Sleep  
LP, XT, HS, RC, EC  
OSC1  
Secondary Oscillator  
T1OSC  
T1OSO  
Clock Source Option  
for Other Modules  
T1OSCEN  
Enable  
Oscillator  
T1OSI  
OSCCON<6:4>  
Internal Oscillator  
CPU  
8 MHz  
OSCCON<6:4>  
111  
110  
101  
4 MHz  
2 MHz  
Internal  
Oscillator  
Block  
IDLEN  
1 MHz  
100  
011  
010  
001  
000  
500 kHz  
250 kHz  
125 kHz  
31 kHz  
8 MHz  
(INTOSC)  
INTRC  
Source  
WDT, FSCM  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 27  
 
PIC18F2331/2431/4331/4431  
REGISTER 2-2:  
OSCCON REGISTER  
R/W-0  
IDLEN  
R/W-0  
IRCF2  
R/W-0  
IRCF1  
R/W-0  
IRCF0  
R(1)  
OSTS  
R-0  
IOFS  
R/W-0  
SCS1  
R/W-0  
SCS0  
bit 7  
bit 0  
bit 7  
IDLEN: Idle Enable bit  
1= Idle mode enabled; CPU core is not clocked in power-managed modes  
0= Run mode enabled; CPU core is clocked in power-managed modes  
bit 6-4 IRCF2:IRCF0: Internal Oscillator Frequency Select bits  
111= 8 MHz (8 MHz source drives clock directly)  
110= 4 MHz  
101= 2 MHz  
100= 1 MHz  
011= 500 kHz  
010= 250 kHz  
001= 125 kHz  
000= 31 kHz (INTRC source drives clock directly)  
bit 3  
bit 2  
OSTS: Oscillator Start-up Time-out Status bit  
1= Oscillator start-up time-out timer has expired; primary oscillator is running  
0= Oscillator start-up time-out timer is running; primary oscillator is not ready  
IOFS: INTOSC Frequency Stable bit  
1= INTOSC frequency is stable  
0= INTOSC frequency is not stable  
bit 1-0 SCS1:SCS0: System Clock Select bits  
1x= Internal oscillator block (RC modes)  
01= Timer1 oscillator (Secondary modes)  
00= Primary oscillator (Sleep and PRI_IDLE modes)  
Note 1: Depends on state of the IESO bit in Configuration Register 1H.  
Legend:  
R = Readable bit  
W = Writable bit  
‘1’ = Bit is set  
U = Unimplemented bit, read as ‘0’  
‘0’ = Bit is cleared x = Bit is unknown  
- n = Value at POR  
2.7.2  
OSCILLATOR TRANSITIONS  
The PIC18F2331/2431/4331/4431 devices contain  
circuitry to prevent clocking “glitches” when switching  
between clock sources. A short pause in the system  
clock occurs during the clock switch. The length of this  
pause is between 8 and 9 clock periods of the new  
clock source. This ensures that the new clock source is  
stable and that its pulse width will not be less than the  
shortest pulse width of the two clock sources.  
Clock transitions are discussed in greater detail in  
Section 3.1.2 “Entering Power-Managed Modes”.  
DS39616B-page 28  
Preliminary  
2003 Microchip Technology Inc.  
 
 
PIC18F2331/2431/4331/4431  
2.8  
Effects of Power-Managed Modes  
on the Various Clock Sources  
2.9  
Power-up Delays  
Power-up delays are controlled by two timers, so that  
no external Reset circuitry is required for most  
applications. The delays ensure that the device is kept  
in Reset until the device power supply is stable under  
normal circumstances, and the primary clock is  
operating and stable. For additional information on  
power-up delays, see Sections 4.1 through 4.5.  
When the device executes a SLEEP instruction, the  
system is switched to one of the power-managed  
modes, depending on the state of the IDLEN and  
SCS1:SCS0 bits of the OSCCON register. See  
Section 3.0 “Power-Managed Modes” for details.  
When PRI_IDLE mode is selected, the designated  
primary oscillator continues to run without interruption.  
For all other power-managed modes, the oscillator  
using the OSC1 pin is disabled. The OSC1 pin (and  
OSC2 pin, if used by the oscillator) will stop oscillating.  
The first timer is the Power-up Timer (PWRT), which  
provides a fixed delay on power-up (parameter 33,  
Table 25-8), if enabled, in Configuration register 2L.  
The second timer is the Oscillator Start-up Timer  
(OST), intended to keep the chip in Reset until the crys-  
tal oscillator is stable (LP, XT and HS modes). The OST  
does this by counting 1024 oscillator cycles before  
allowing the oscillator to clock the device.  
In secondary clock modes (SEC_RUN and  
SEC_IDLE), the Timer1 oscillator is operating and  
providing the system clock. The Timer1 oscillator may  
also run in all power-managed modes if required to  
clock Timer1.  
When the HSPLL Oscillator mode is selected, the  
device is kept in Reset for an additional 2 ms, following  
the HS mode OST delay, so the PLL can lock to the  
incoming clock frequency.  
In internal oscillator modes (RC_RUN and RC_IDLE),  
the internal oscillator block provides the system clock  
source. The INTRC output can be used directly to  
provide the system clock, and may be enabled to  
support various special features, regardless of the  
power-managed mode (see Sections 22.2 through  
22.4). The INTOSC output at 8 MHz may be used  
directly to clock the system, or may be divided down  
first. The INTOSC output is disabled if the system clock  
is provided directly from the INTRC output.  
There is a delay of 5 to 10 µs following POR, while the  
controller becomes ready to execute instructions. This  
delay runs concurrently with any other delays. This  
may be the only delay that occurs when any of the EC,  
RC or INTIO modes are used as the primary clock  
source.  
If the Sleep mode is selected, all clock sources are  
stopped. Since all the transistor switching currents  
have been stopped, Sleep mode achieves the lowest  
current consumption of the device (only leakage  
currents).  
Enabling any on-chip feature that will operate during  
Sleep will increase the current consumed during Sleep.  
The INTRC is required to support WDT operation. The  
Timer1 oscillator may be operating to support a real-  
time clock. Other features may be operating that do not  
require a system clock source (i.e., SSP slave, PSP,  
INTn pins, A/D conversions and others).  
TABLE 2-3:  
OSC1 AND OSC2 PIN STATES IN SLEEP MODE  
OSC Mode  
OSC1 Pin  
OSC2 Pin  
RC, INTIO1  
Floating, external resistor  
should pull high  
At logic low (clock/4 output)  
RCIO, INTIO2  
Floating, external resistor  
should pull high  
Configured as PORTA, bit 6  
ECIO  
Floating, pulled by external clock  
Floating, pulled by external clock  
Configured as PORTA, bit 6  
At logic low (clock/4 output)  
EC  
LP, XT, and HS  
Feedback inverter disabled, at  
quiescent voltage level  
Feedback inverter disabled, at  
quiescent voltage level  
Note:  
See Table 4-1 in the Section 4.0 “Reset”, for time-outs due to Sleep and MCLR Reset.  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 29  
 
 
 
 
PIC18F2331/2431/4331/4431  
NOTES:  
DS39616B-page 30  
Preliminary  
2003 Microchip Technology Inc.  
PIC18F2331/2431/4331/4431  
3.1  
Selecting Power-Managed Modes  
3.0  
POWER-MANAGED MODES  
Selecting a power-managed mode requires deciding if  
the CPU is to be clocked or not, and selecting a clock  
source. The IDLEN bit controls CPU clocking, while the  
SC1:SCS0 bits select a clock source. The individual  
modes, bit settings, clock sources and affected  
modules are summarized in Table 3-1.  
The PIC18F2331/2431/4331/4431 devices offer a total  
of six operating modes for more efficient power  
management (see Table 3-1). These operating modes  
provide a variety of options for selective power  
conservation in applications where resources may be  
limited (i.e., battery-powered devices).  
There are three categories of power-managed modes:  
3.1.1  
CLOCK SOURCES  
• Sleep mode  
• Idle modes  
• Run modes  
The clock source is selected by setting the SCS bits of  
the OSCCON register. Three clock sources are avail-  
able for use in power-managed idle modes: the primary  
clock (as configured in Configuration Register 1H), the  
secondary clock (Timer1 oscillator), and the internal  
oscillator block. The secondary and internal oscillator  
block sources are available for the power-managed  
modes (PRI_RUN mode is the normal full power exe-  
cution mode; the CPU and peripherals are clocked by  
the primary oscillator source).  
These categories define which portions of the device  
are clocked and sometimes, what speed. The run and  
idle modes may use any of the three available clock  
sources (Primary, Secondary or INTOSC multiplexer);  
the Sleep mode does not use a clock source.  
The clock switching feature offered in other PIC18  
devices (i.e., using the Timer1 oscillator in place of the  
primary oscillator), and the Sleep mode offered by all  
PICmicro® devices (where all system clocks are  
stopped) are both offered in the PIC18F2331/2431/  
4331/4431 devices (SEC_RUN and Sleep modes,  
respectively). However, additional power-managed  
modes are available that allow the user greater flexibil-  
ity in determining what portions of the device are oper-  
ating. The power-managed modes are event driven;  
that is, some specific event must occur for the device to  
enter or (more particularly) exit these operating modes.  
For PIC18F2331/2431/4331/4431 devices, the power-  
managed modes are invoked by using the existing  
SLEEP instruction. All modes exit to PRI_RUN mode  
when triggered by an interrupt, a Reset or a WDT time-  
out (PRI_RUN mode is the normal full power execution  
mode; the CPU and peripherals are clocked by the pri-  
mary oscillator source). In addition, power-managed  
run modes may also exit to Sleep mode or their  
corresponding idle mode.  
TABLE 3-1:  
Mode  
POWER-MANAGED MODES  
OSCCON bits  
Module Clocking  
Available Clock and Oscillator Source  
IDLEN SCS1:SCS0  
CPU  
Peripherals  
<7>  
<1:0>  
Sleep  
Off  
Off  
None – All clocks are disabled  
Primary – LP, XT, HS, HSPLL, RC, EC, INTRC(1)  
This is the normal full power execution mode.  
0
0
00  
00  
PRI_RUN  
Clocked  
Clocked  
SEC_RUN  
RC_RUN  
PRI_IDLE  
SEC_IDLE  
RC_IDLE  
0
0
1
1
1
01  
1x  
00  
01  
1x  
Clocked  
Clocked  
Off  
Clocked  
Clocked  
Clocked  
Clocked  
Clocked  
Secondary – Timer1 Oscillator  
Internal Oscillator Block(1)  
Primary – LP, XT, HS, HSPLL, RC, EC  
Secondary – Timer1 Oscillator  
Internal Oscillator Block(1)  
Off  
Off  
Note 1: Includes INTOSC and INTOSC postscaler, as well as the INTRC source.  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 31  
 
 
 
 
PIC18F2331/2431/4331/4431  
3.1.2  
ENTERING POWER-MANAGED  
MODES  
Note 1: Caution should be used when modifying a  
single IRCF bit. If VDD is less than 3V, it is  
possible to select a higher clock speed  
than is supported by the low VDD.  
Improper device operation may result if  
the VDD/FOSC specifications are violated.  
In general, entry, exit and switching between power-  
managed clock sources requires clock source switch-  
ing. In each case, the sequence of events is the same.  
Any change in the power-managed mode begins with  
loading the OSCCON register and executing a SLEEP  
instruction. The SCS1:SCS0 bits select one of three  
power-managed clock sources; the primary clock (as  
defined in Configuration Register 1H), the secondary  
clock (the Timer1 oscillator) and the internal oscillator  
block (used in RC modes). Modifying the SCS bits will  
have no effect until a SLEEP instruction is executed.  
Entry to the power-managed mode is triggered by the  
execution of a SLEEPinstruction.  
2: Executing a SLEEP instruction does not  
necessarily place the device into Sleep  
mode; executing a SLEEP instruction is  
simply a trigger to place the controller into  
a power-managed mode selected by the  
OSCCON register, one of which is Sleep  
mode.  
3.1.3  
MULTIPLE SLEEP COMMANDS  
The power-managed mode that is invoked with the  
SLEEPinstruction is determined by the settings of the  
IDLEN and SCS bits at the time the instruction is exe-  
cuted. If another SLEEP instruction is executed, the  
device will enter the power-managed mode specified  
by these same bits at that time. If the bits have  
changed, the device will enter the new power-managed  
mode specified by the new bit settings.  
Figure 3-5 shows how the system is clocked while  
switching from the primary clock to the Timer1 oscilla-  
tor. When the SLEEPinstruction is executed, clocks to  
the device are stopped at the beginning of the next  
instruction cycle. Eight clock cycles from the new clock  
source are counted to synchronize with the new clock  
source. After eight clock pulses from the new clock  
source are counted, clocks from the new clock source  
resume clocking the system. The actual length of the  
pause is between eight and nine clock periods from the  
new clock source. This ensures that the new clock  
source is stable and that its pulse width will not be less  
than the shortest pulse width of the two clock sources.  
3.1.4  
COMPARISONS BETWEEN RUN  
AND IDLE MODES  
Clock source selection for the run modes is identical to  
the corresponding idle modes. When a SLEEPinstruc-  
tion is executed, the SCS bits in the OSCCON register  
are used to switch to a different clock source. As a  
result, if there is a change of clock source at the time a  
SLEEPinstruction is executed, a clock switch will occur.  
Three bits indicate the current clock source: OSTS and  
IOFS in the OSCCON register, and T1RUN in the  
T1CON register. Only one of these bits will be set while  
in a power-managed mode other than PRI_RUN. When  
the OSTS bit is set, the primary clock is providing the  
system clock. When the IOFS bit is set, the INTOSC  
output is providing a stable 8 MHz clock source and is  
providing the system clock. When the T1RUN bit is set,  
the Timer1 oscillator is providing the system clock. If  
none of these bits are set, then either the INTRC clock  
source is clocking the system, or the INTOSC source is  
not yet stable.  
In idle modes, the CPU is not clocked and is not run-  
ning. In run modes, the CPU is clocked and executing  
code. This difference modifies the operation of the  
WDT when it times out. In idle modes, a WDT time-out  
results in a wake from power-managed modes. In run  
modes, a WDT time-out results in a WDT Reset (see  
Table 3-2).  
During a wake-up from an idle mode, the CPU starts  
executing code by entering the corresponding run  
mode, until the primary clock becomes ready. When the  
primary clock becomes ready, the clock source is auto-  
matically switched to the primary clock. The IDLEN and  
SCS bits are unchanged during and after the wake-up.  
If the internal oscillator block is configured as the pri-  
mary clock source in Configuration Register 1H, then  
both the OSTS and IOFS bits may be set when in  
PRI_RUN or PRI_IDLE modes. This indicates that the  
primary clock (INTOSC output) is generating a stable  
8 MHz output. Entering an RC power-managed mode  
(same frequency) would clear the OSTS bit.  
Figure 3-2 shows how the system is clocked during the  
clock source switch. The example assumes the device  
was in SEC_IDLE or SEC_RUN mode when a wake is  
triggered (the primary clock was configured in HSPLL  
mode).  
DS39616B-page 32  
Preliminary  
2003 Microchip Technology Inc.  
 
 
PIC18F2331/2431/4331/4431  
TABLE 3-2:  
COMPARISON BETWEEN POWER-MANAGED MODES  
Power  
Managed  
Mode  
Clock during wake-up  
(while primary becomes  
ready)  
WDT time-out  
causes a ...  
Peripherals are  
clocked by ...  
CPU is clocked by ...  
Sleep  
Not clocked (not running) Wake-up  
Not clocked  
None or INTOSC multiplexer  
if Two-Speed Start-up or  
Fail-Safe Clock Monitor are  
enabled.  
Any idle mode Not clocked (not running) Wake-up  
Primary, Secondary or Unchanged from Idle mode  
INTOSC multiplexer  
(CPU operates as in  
corresponding Run mode).  
Any run mode  
Secondary, or INTOSC  
multiplexer  
Reset  
Secondary or INTOSC Unchanged from Run mode.  
multiplexer  
3.2  
Sleep Mode  
3.3  
Idle Modes  
The power-managed Sleep mode in the PIC18F2331/  
2431/4331/4431 devices is identical to that offered in  
all other PICmicro® controllers. It is entered by clearing  
the IDLEN and SCS1:SCS0 bits (this is the Reset  
state), and executing the SLEEPinstruction. This shuts  
down the primary oscillator and the OSTS bit is cleared  
(see Figure 3-1).  
The IDLEN bit allows the controller’s CPU to be selec-  
tively shut down while the peripherals continue to oper-  
ate. Clearing IDLEN allows the CPU to be clocked.  
Setting IDLEN disables clocks to the CPU, effectively  
stopping program execution (see Register 2-2). The  
peripherals continue to be clocked regardless of the  
setting of the IDLEN bit.  
When a wake event occurs in Sleep mode (by interrupt,  
Reset, or WDT time-out), the system will not be clocked  
until the primary clock source becomes ready (see  
Figure 3-2), or it will be clocked from the internal oscil-  
lator block if either the Two-Speed Start-up or the Fail-  
Safe Clock Monitor are enabled (see Section 22.0  
“Special Features of the CPU”). In either case, the  
OSTS bit is set when the primary clock provides the  
system clocks. The IDLEN and SCS bits are not  
affected by the wake-up.  
There is one exception to how the IDLEN bit functions.  
When all the low-power OSCCON bits are cleared  
(IDLEN:SCS1:SCS0 = 000), the device enters Sleep  
mode upon the execution of the SLEEPinstruction. This  
is both the Reset state of the OSCCON register and the  
setting that selects Sleep mode. This maintains com-  
patibility with other PICmicro devices that do not offer  
power-managed modes.  
If the Idle Enable bit, IDLEN (OSCCON<7>), is set to a  
1’ when a SLEEP instruction is executed, the  
peripherals will be clocked from the clock source  
selected using the SCS1:SCS0 bits; however, the CPU  
will not be clocked. Since the CPU is not executing  
instructions, the only exits from any of the idle modes  
are by interrupt, WDT time-out or a Reset.  
When a wake event occurs, CPU execution is delayed  
approximately 10 µs while it becomes ready to execute  
code. When the CPU begins executing code, it is  
clocked by the same clock source as was selected in  
the power-managed mode (i.e., when waking from  
RC_IDLE mode, the internal oscillator block will clock  
the CPU and peripherals until the primary clock source  
becomes ready – this is essentially RC_RUN mode).  
This continues until the primary clock source becomes  
ready. When the primary clock becomes ready, the  
OSTS bit is set, and the system clock source is  
switched to the primary clock (see Figure 3-4). The  
IDLEN and SCS bits are not affected by the wake-up.  
While in any idle mode or the Sleep mode, a WDT time-  
out will result in a WDT wake-up to full power operation.  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 33  
 
 
 
 
PIC18F2331/2431/4331/4431  
FIGURE 3-1:  
TIMING TRANSITION FOR ENTRY TO SLEEP MODE  
Q1 Q2 Q3 Q4 Q1  
OSC1  
CPU  
Clock  
Peripheral  
Clock  
Sleep  
Program  
Counter  
PC  
PC + 2  
FIGURE 3-2:  
TRANSITION TIMING FOR WAKE FROM SLEEP (HSPLL)  
Q3 Q4 Q1 Q2 Q3 Q4 Q1 Q2 Q3 Q4  
Q1 Q2 Q3 Q4 Q1 Q2  
OSC1  
(1)  
(1)  
TOST  
TPLL  
PLL Clock  
Output  
CPU Clock  
Peripheral  
Clock  
Program  
Counter  
PC + 4  
PC + 6  
PC  
PC + 2  
PC + 8  
Wake Event  
OSTS bit Set  
Note 1: TOST = 1024 TOSC; TPLL = 2 ms (approx). These intervals are not shown to scale.  
DS39616B-page 34  
Preliminary  
2003 Microchip Technology Inc.  
 
 
PIC18F2331/2431/4331/4431  
When a wake event occurs, the CPU is clocked from the  
primary clock source. A delay of approximately 10 µs is  
required between the wake event and when code exe-  
cution starts. This is required to allow the CPU to  
become ready to execute instructions. After the wake-  
up, the OSTS bit remains set. The IDLEN and SCS bits  
are not affected by the wake-up (see Figure 3-4).  
3.3.1  
PRI_IDLE MODE  
This mode is unique among the three low-power idle  
modes, in that it does not disable the primary system  
clock. For timing sensitive applications, this allows for  
the fastest resumption of device operation with its more  
accurate primary clock source, since the clock source  
does not have to “warm up” or transition from another  
oscillator.  
PRI_IDLE mode is entered by setting the IDLEN bit,  
clearing the SCS bits, and executing a SLEEPinstruc-  
tion. Although the CPU is disabled, the peripherals  
continue to be clocked from the primary clock source  
specified in Configuration Register 1H. The OSTS bit  
remains set in PRI_IDLE mode (see Figure 3-3).  
FIGURE 3-3:  
TRANSITION TIMING TO PRI_IDLE MODE  
Q3  
Q4  
Q1  
Q1  
Q2  
OSC1  
CPU Clock  
Peripheral  
Clock  
Program  
Counter  
PC  
PC + 2  
FIGURE 3-4:  
TRANSITION TIMING FOR WAKE FROM PRI_IDLE MODE  
Q1  
Q3  
Q4  
Q2  
OSC1  
CPU Start-up Delay  
CPU Clock  
Peripheral  
Clock  
Program  
Counter  
PC + 2  
PC  
Wake Event  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 35  
 
 
 
 
PIC18F2331/2431/4331/4431  
When a wake event occurs, the peripherals continue to  
be clocked from the Timer1 oscillator. After a 10 µs  
delay following the wake event, the CPU begins execut-  
ing code, being clocked by the Timer1 oscillator. The  
microcontroller operates in SEC_RUN mode until the  
primary clock becomes ready. When the primary clock  
becomes ready, a clock switch back to the primary clock  
occurs (see Figure 3-6). When the clock switch is com-  
plete, the T1RUN bit is cleared, the OSTS bit is set and  
the primary clock is providing the system clock. The  
IDLEN and SCS bits are not affected by the wake-up;  
the Timer1 oscillator continues to run.  
3.3.2  
SEC_IDLE MODE  
In SEC_IDLE mode, the CPU is disabled, but the  
peripherals continue to be clocked from the Timer1  
oscillator. This mode is entered by setting the Idle bit,  
modifying to SCS1:SCS0 = 01, and executing a SLEEP  
instruction. When the clock source is switched (see  
Figure 3-5) to the Timer1 oscillator, the primary oscilla-  
tor is shut down, the OSTS bit is cleared and the  
T1RUN bit is set.  
Note:  
The Timer1 oscillator should already be  
running prior to entering SEC_IDLE mode.  
If the T1OSCEN bit is not set when the  
SLEEP instruction is executed, a forced  
NOPwill be executed instead and entry to  
SEC_IDLE mode will not occur. If the  
Timer1 oscillator is enabled, but not yet  
running, peripheral clocks will be delayed  
until the oscillator has started; in such sit-  
uations, initial oscillator operation is far  
from stable and unpredictable operation  
may result.  
FIGURE 3-5:  
TIMING TRANSITION FOR ENTRY TO SEC_IDLE MODE  
Q1 Q2 Q3 Q4 Q1  
1
2
3
4
5
6
7
8
T1OSI  
OSC1  
Clock Transition  
CPU  
Clock  
Peripheral  
Clock  
Program  
Counter  
PC  
PC + 2  
FIGURE 3-6:  
TIMING TRANSITION FOR WAKE FROM SEC_RUN MODE (HSPLL)  
Q3  
Q4  
Q1  
Q2 Q3 Q4 Q1 Q2 Q3  
Q1  
Q2  
T1OSI  
OSC1  
(1)  
(1)  
TOST  
TPLL  
PLL Clock  
Output  
1
2
3
4
5
6
7
8
Clock Transition  
CPU Clock  
Peripheral  
Clock  
Program  
Counter  
PC + 4  
PC + 6  
PC  
PC + 2  
OSTS bit Set  
Note 1: TOST = 1024 TOSC; TPLL = 2 ms (approx). These intervals are not shown to scale.  
Wake from Interrupt Event  
DS39616B-page 36  
Preliminary  
2003 Microchip Technology Inc.  
 
 
 
 
PIC18F2331/2431/4331/4431  
was executed, and the INTOSC source was already  
stable, the IOFS bit will remain set. If the IRCF bits are  
all clear, the INTOSC output is not enabled and the  
IOFS bit will remain clear; there will be no indication of  
the current clock source.  
3.3.3  
RC_IDLE MODE  
In RC_IDLE mode, the CPU is disabled, but the periph-  
erals continue to be clocked from the internal oscillator  
block using the INTOSC multiplexer. This mode allows  
for controllable power conservation during Idle periods.  
When a wake event occurs, the peripherals continue to  
be clocked from the INTOSC multiplexer. After a 10 µs  
delay following the wake event, the CPU begins exe-  
cuting code, being clocked by the INTOSC multiplexer.  
The microcontroller operates in RC_RUN mode until  
the primary clock becomes ready. When the primary  
clock becomes ready, a clock switch back to the pri-  
mary clock occurs (see Figure 3-8). When the clock  
switch is complete, the IOFS bit is cleared, the OSTS  
bit is set, and the primary clock is providing the system  
clock. The IDLEN and SCS bits are not affected by the  
wake-up. The INTRC source will continue to run if  
either the WDT or the Fail-Safe Clock Monitor is  
enabled.  
This mode is entered by setting the IDLEN bit, setting  
SCS1 (SCS0 is ignored), and executing a SLEEP  
instruction. The INTOSC multiplexer may be used to  
select a higher clock frequency by modifying the IRCF  
bits before executing the SLEEPinstruction. When the  
clock source is switched to the INTOSC multiplexer  
(see Figure 3-7), the primary oscillator is shut down,  
and the OSTS bit is cleared.  
If the IRCF bits are set to a non-zero value (thus  
enabling the INTOSC output), the IOFS bit becomes  
set after the INTOSC output becomes stable, in about  
1 ms. Clocks to the peripherals continue while the  
INTOSC source stabilizes. If the IRCF bits were previ-  
ously at a non-zero value before the SLEEPinstruction  
FIGURE 3-7:  
TIMING TRANSITION TO RC_IDLE MODE  
Q1 Q2 Q3 Q4 Q1  
1
2
3
4
5
6
7
8
INTRC  
OSC1  
Clock Transition  
CPU  
Clock  
Peripheral  
Clock  
Program  
Counter  
PC  
PC + 2  
FIGURE 3-8:  
TIMING TRANSITION FOR WAKE FROM RC_RUN MODE (RC_RUN TO PRI_RUN)  
Q3  
Q4  
Q1  
Q2 Q3 Q4 Q1 Q2 Q3  
Q4  
Q1  
Q2  
INTOSC  
Multiplexer  
OSC1  
(1)  
(1)  
TOST  
TPLL  
PLL Clock  
Output  
1
2
3
4
5
6
7
8
Clock Transition  
CPU Clock  
Peripheral  
Clock  
Program  
Counter  
PC + 4  
PC + 6  
PC  
PC + 2  
OSTS bit Set  
Note 1: TOST = 1024 TOSC; TPLL = 2 ms (approx). These intervals are not shown to scale.  
Wake from Interrupt Event  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 37  
 
 
 
 
PIC18F2331/2431/4331/4431  
SEC_RUN mode is entered by clearing the IDLEN bit,  
setting SCS1:SCS0 = 01, and executing a SLEEP  
instruction. The system clock source is switched to the  
Timer1 oscillator (see Figure 3-9), the primary oscilla-  
tor is shut down, the T1RUN bit (T1CON<6>) is set and  
the OSTS bit is cleared.  
3.4  
Run Modes  
If the IDLEN bit is clear when a SLEEP instruction is  
executed, the CPU and peripherals are both clocked  
from the source selected using the SCS1:SCS0 bits.  
While these operating modes may not afford the power  
conservation of Idle or Sleep modes, they do allow the  
device to continue executing instructions by using a  
lower frequency clock source. RC_RUN mode also  
offers the possibility of executing code at a frequency  
greater than the primary clock.  
Note:  
The Timer1 oscillator should already be  
running prior to entering SEC_RUN mode.  
If the T1OSCEN bit is not set when the  
SLEEP instruction is executed, a forced  
NOPwill be executed instead and entry to  
SEC_IDLE mode will not occur. If the  
Timer1 oscillator is enabled, but not yet  
running, system clocks will be delayed  
until the oscillator has started. In such  
situations, initial oscillator operation is far  
from stable and unpredictable operation  
may result.  
Wake-up from a power-managed run mode can be trig-  
gered by an interrupt, or any Reset, to return to full  
power operation. As the CPU is executing code in run  
modes, several additional exits from run modes are  
possible. They include exit to Sleep mode, exit to a cor-  
responding idle mode, and exit by executing a RESET  
instruction. While the device is in any of the power-  
managed run modes, a WDT time-out will result in a  
WDT Reset.  
When a wake event occurs, the peripherals and CPU  
continue to be clocked from the Timer1 oscillator while  
the primary clock is started. When the primary clock  
becomes ready, a clock switch back to the primary clock  
occurs (see Figure 3-6). When the clock switch is com-  
plete, the T1RUN bit is cleared, the OSTS bit is set, and  
the primary clock is providing the system clock. The  
IDLEN and SCS bits are not affected by the wake-up;  
the Timer1 oscillator continues to run.  
3.4.1  
PRI_RUN MODE  
The PRI_RUN mode is the normal full power execution  
mode. If the SLEEPinstruction is never executed, the  
microcontroller operates in this mode (a SLEEPinstruc-  
tion is executed to enter all other power-managed  
modes). All other power-managed modes exit to  
PRI_RUN mode when an interrupt or WDT time-out  
occur.  
Firmware can force an exit from SEC_RUN mode. By  
clearing the T1OSCEN bit (T1CON<3>), an exit from  
SEC_RUN back to normal full power operation is trig-  
gered. The Timer1 oscillator will continue to run and  
provide the system clock even though the T1OSCEN bit  
is cleared. The primary clock is started. When the pri-  
mary clock becomes ready, a clock switch back to the  
primary clock occurs (see Figure 3-6). When the clock  
switch is complete, the Timer1 oscillator is disabled, the  
T1RUN bit is cleared, the OSTS bit is set and the pri-  
mary clock provides the system clock. The IDLEN and  
SCS bits are not affected by the wake-up.  
There is no entry to PRI_RUN mode. The OSTS bit is  
set. The IOFS bit may be set if the internal oscillator  
block is the primary clock source (see Section 2.7.1  
“Oscillator Control Register”).  
3.4.2  
SEC_RUN MODE  
The SEC_RUN mode is the compatible mode to the  
“clock switching” feature offered in other PIC18  
devices. In this mode, the CPU and peripherals are  
clocked from the Timer1 oscillator. This gives users the  
option of lower power consumption while still using a  
high accuracy clock source.  
FIGURE 3-9:  
TIMING TRANSITION FOR ENTRY TO SEC_RUN MODE  
Q1 Q2 Q3 Q4 Q1  
Q2  
Q3  
Q4  
Q1  
Q2  
Q3  
1
2
3
4
5
6
7
8
T1OSI  
OSC1  
Clock Transition  
CPU  
Clock  
Peripheral  
Clock  
Program  
Counter  
PC  
PC + 2  
PC + 2  
DS39616B-page 38  
Preliminary  
2003 Microchip Technology Inc.  
 
 
 
 
PIC18F2331/2431/4331/4431  
3.4.3  
RC_RUN MODE  
Note:  
Caution should be used when modifying a  
single IRCF bit. If VDD is less than 3V, it is  
possible to select a higher clock speed  
than is supported by the low VDD.  
Improper device operation may result if  
the VDD/FOSC specifications are violated.  
In RC_RUN mode, the CPU and peripherals are  
clocked from the internal oscillator block using the  
INTOSC multiplexer, and the primary clock is shut  
down. When using the INTRC source, this mode pro-  
vides the best power conservation of all the run modes,  
while still executing code. This mode works well for  
user applications that are not highly timing sensitive, or  
do not require high-speed clocks at all times.  
If the IRCF bits are all clear, the INTOSC output is not  
enabled, and the IOFS bit will remain clear; there will  
be no indication of the current clock source. The INTRC  
source is providing the system clocks.  
If the primary clock source is the internal oscillator  
block (either of the INTIO1 or INTIO2 oscillators), there  
are no distinguishable differences between PRI_RUN  
and RC_RUN modes during execution. However, a  
clock switch delay will occur during entry to, and exit  
from, RC_RUN mode. Therefore, if the primary clock  
source is the internal oscillator block, the use of  
RC_RUN mode is not recommended.  
If the IRCF bits are changed from all clear (thus  
enabling the INTOSC output), the IOFS bit becomes  
set after the INTOSC output becomes stable. Clocks to  
the system continue while the INTOSC source  
stabilizes in approximately 1 ms.  
If the IRCF bits were previously at a non-zero value  
before the SLEEP instruction was executed, and the  
INTOSC source was already stable, the IOFS bit will  
remain set.  
This mode is entered by clearing the IDLEN bit, setting  
SCS1 (SCS0 is ignored) and executing a SLEEP  
instruction. The IRCF bits may select the clock  
frequency before the SLEEP instruction is executed.  
When the clock source is switched to the INTOSC  
multiplexer (see Figure 3-10), the primary oscillator is  
shut down and the OSTS bit is cleared.  
When a wake event occurs, the system continues to be  
clocked from the INTOSC multiplexer while the primary  
clock is started. When the primary clock becomes  
ready, a clock switch to the primary clock occurs (see  
Figure 3-8). When the clock switch is complete, the  
IOFS bit is cleared, the OSTS bit is set and the primary  
clock provides the system clock. The IDLEN and SCS  
bits are not affected by the wake-up. The INTRC  
source will continue to run if either the WDT or the  
Fail-Safe Clock Monitor is enabled.  
The IRCF bits may be modified at any time to immedi-  
ately change the system clock speed. Executing a  
SLEEPinstruction is not required to select a new clock  
frequency from the INTOSC multiplexer.  
FIGURE 3-10:  
TIMING TRANSITION TO RC_RUN MODE  
Q4 Q1 Q2 Q3 Q4 Q1  
Q2  
Q3  
Q4  
Q1  
Q2  
Q3  
1
2
3
4
5
6
7
8
INTRC  
OSC1  
Clock Transition  
CPU  
Clock  
Peripheral  
Clock  
Program  
Counter  
PC  
PC + 2  
PC + 4  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 39  
 
 
PIC18F2331/2431/4331/4431  
3.4.4  
EXIT TO IDLE MODE  
3.5  
Wake From Power-Managed  
Modes  
An exit from a power-managed run mode to its corre-  
sponding idle mode is executed by setting the IDLEN  
bit and executing a SLEEP instruction. The CPU is  
halted at the beginning of the instruction following the  
SLEEPinstruction. There are no changes to any of the  
clock source status bits (OSTS, IOFS, or T1RUN).  
While the CPU is halted, the peripherals continue to be  
clocked from the previously selected clock source.  
An exit from any of the power-managed modes is trig-  
gered by an interrupt, a Reset or a WDT time-out. This  
section discusses the triggers that cause exits from  
power-managed modes. The clocking subsystem  
actions are discussed in each of the power-managed  
modes (see Sections 3.2 through 3.4).  
Note:  
If application code is timing sensitive, it  
should wait for the OSTS bit to become set  
before continuing. Use the interval during  
the Low-power exit sequence (before  
OSTS is set) to perform timing insensitive  
“housekeeping” tasks.  
3.4.5  
EXIT TO SLEEP MODE  
An exit from a power-managed run mode to Sleep  
mode is executed by clearing the IDLEN and  
SCS1:SCS0 bits and executing a SLEEP instruction.  
The code is no different than the method used to invoke  
Sleep mode from the normal operating (full power)  
mode.  
Device behavior during Low-power mode exits is  
summarized in Table 3-3.  
The primary clock and internal oscillator block are dis-  
abled. The INTRC will continue to operate if the WDT  
is enabled. The Timer1 oscillator will continue to run, if  
enabled, in the T1CON register. All clock source status  
bits are cleared (OSTS, IOFS and T1RUN).  
3.5.1  
EXIT BY INTERRUPT  
Any of the available interrupt sources can cause the  
device to exit a power-managed mode and resume full  
power operation. To enable this functionality, an inter-  
rupt source must be enabled by setting its enable bit in  
one of the INTCON or PIE registers. The exit sequence  
is initiated when the corresponding interrupt flag bit is  
set. On all exits from Low-power mode by interrupt,  
code execution branches to the interrupt vector if the  
GIE/GIEH bit (INTCON<7>) is set. Otherwise, code  
execution continues or resumes without branching  
(see Section 9.0 “Interrupts”).  
DS39616B-page 40  
Preliminary  
2003 Microchip Technology Inc.  
 
PIC18F2331/2431/4331/4431  
TABLE 3-3:  
ACTIVITY AND EXIT DELAY ON WAKE FROM SLEEP MODE OR ANY IDLE MODE  
(BY CLOCK SOURCES)  
Power-  
Managed  
Mode Exit  
Delay  
Activity During Wake from  
Power-Managed Mode  
ClockReady  
Status bit  
(OSCCON)  
Clock in Power- Primary System  
Managed Mode  
Clock  
Exit by Interrupt  
Exit by Reset  
LP, XT, HS  
CPU and peripherals Not clocked, or  
OSTS  
Primary System  
Clock  
(PRI_IDLE mode)  
clocked by primary  
clock and executing  
instructions.  
Two-Speed Start-up  
(if enabled)(3)  
HSPLL  
5-10 µs(5)  
.
EC, RC, INTRC(1)  
INTOSC(2)  
LP, XT, HS  
HSPLL  
EC, RC, INTRC(1)  
INTOSC(2)  
LP, XT, HS  
HSPLL  
EC, RC, INTRC(1)  
INTOSC(2)  
LP, XT, HS  
HSPLL  
EC, RC, INTRC(1)  
INTOSC(2)  
IOFS  
OST  
OST + 2 ms  
5-10 µs(5)  
1 ms(4)  
CPU and peripherals  
clocked by selected  
power-managed mode  
clock and executing  
instructions until  
OSTS  
T1OSC or  
INTRC(1)  
IOFS  
primary clock source  
becomes ready.  
OST  
OSTS  
OST + 2 ms  
5-10 µs(5)  
None  
INTOSC(2)  
IOFS  
OST  
Not clocked or  
OSTS  
Two-Speed Start-up (if  
enabled) until primary  
clock source becomes  
OST + 2 ms  
5-10 µs(5)  
1 ms(4)  
Sleep mode  
ready(3)  
.
IOFS  
Note 1: In this instance, refers specifically to the INTRC clock source.  
2: Includes both the INTOSC 8 MHz source and postscaler derived frequencies.  
3: Two-Speed Start-up is covered in greater detail in Section 22.3 “Two-Speed Start-up”.  
4: Execution continues during the INTOSC stabilization period.  
5: Required delay when waking from Sleep and all idle modes. This delay runs concurrently with any other  
required delays (see Section 3.3 “Idle Modes”).  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 41  
PIC18F2331/2431/4331/4431  
3.5.2  
EXIT BY RESET  
3.5.4  
EXIT WITHOUT AN OSCILLATOR  
START-UP DELAY  
Normally, the device is held in Reset by the Oscillator  
Start-up Timer (OST) until the primary clock (defined in  
Configuration register 1H) becomes ready. At that time,  
the OSTS bit is set and the device begins executing  
code.  
Certain exits from power-managed modes do not  
invoke the OST at all. These are:  
• PRI_IDLE mode where the primary clock source  
is not stopped; and  
Code execution can begin before the primary clock  
becomes ready. If either the Two-Speed Start-up (see  
Section 22.3 “Two-Speed Start-up”) or Fail-Safe  
Clock Monitor (see Section 22.4 “Fail-Safe Clock  
Monitor”) are enabled in Configuration Register 1H,  
the device may begin execution as soon as the Reset  
source has cleared. Execution is clocked by the  
INTOSC multiplexer driven by the internal oscillator  
block. Since the OSCCON register is cleared following  
all Resets, the INTRC clock source is selected. A  
higher speed clock may be selected by modifying the  
IRCF bits in the OSCCON register. Execution is  
clocked by the internal oscillator block until either the  
primary clock becomes ready, or a power-managed  
mode is entered before the primary clock becomes  
ready; the primary clock is then shut down.  
• the primary clock source is not any of LP, XT, HS  
or HSPLL modes.  
In these cases, the primary clock source either does  
not require an oscillator start-up delay, since it is  
already running (PRI_IDLE), or normally does not  
require an oscillator start-up delay (RC, EC, and INTIO  
oscillator modes).  
However, a fixed delay (approximately 10 µs) following  
the wake event is required when leaving Sleep and idle  
modes. This delay is required for the CPU to prepare  
for execution. Instruction execution resumes on the first  
clock cycle following this delay.  
3.6  
INTOSC Frequency Drift  
The factory calibrates the internal oscillator block out-  
put (INTOSC) for 8 MHz. However, this frequency may  
drift as VDD or temperature changes, which can affect  
the controller operation in a variety of ways.  
3.5.3  
EXIT BY WDT TIME-OUT  
A WDT time-out will cause different actions depending  
on which power-managed mode the device is in when  
the time-out occurs.  
It is possible to adjust the INTOSC frequency by modi-  
fying the value in the OSCTUNE register. This has the  
side effect that the INTRC clock source frequency is  
also affected. However, the features that use the  
INTRC source often do not require an exact frequency.  
These features include the Fail-Safe Clock Monitor, the  
Watchdog Timer and the RC_RUN/RC_IDLE modes  
when the INTRC clock source is selected.  
If the device is not executing code (all idle modes and  
Sleep mode), the time-out will result in a wake from the  
power-managed mode (see Section 3.2 “Sleep  
Mode” through Section 3.4 “Run Modes”).  
If the device is executing code (all run modes), the  
time-out will result in a WDT Reset (see Section 22.2  
“Watchdog Timer (WDT)”).  
Being able to adjust the INTOSC requires knowing  
when an adjustment is required, in which direction it  
should be made, and in some cases, how large a  
change is needed. Three examples follow, but other  
techniques may be used.  
The WDT timer and postscaler are cleared by execut-  
ing a SLEEPor CLRWDTinstruction, the loss of a cur-  
rently selected clock source (if the Fail-Safe Clock  
Monitor is enabled), and modifying the IRCF bits in the  
OSCCON register if the internal oscillator block is the  
system clock source.  
DS39616B-page 42  
Preliminary  
2003 Microchip Technology Inc.  
 
 
PIC18F2331/2431/4331/4431  
3.6.1  
EXAMPLE USART  
3.6.3  
EXAMPLE CCP IN CAPTURE  
MODE  
An adjustment may be indicated when the USART  
begins to generate framing errors, or receives data with  
errors while in Asynchronous mode. Framing errors  
indicate that the system clock frequency is too high –  
try decrementing the value in the OSCTUNE register to  
reduce the system clock frequency. Errors in data  
may suggest that the system clock speed is too low –  
increment OSCTUNE.  
A CCP module can use free running Timer1, clocked by  
the internal oscillator block and an external event with  
a known period (i.e., AC power frequency). The time of  
the first event is captured in the CCPRxH:CCPRxL  
registers and is recorded for use later. When the  
second event causes a capture, the time of the first  
event is subtracted from the time of the second event.  
Since the period of the external event is known, the  
time difference between events can be calculated.  
3.6.2  
EXAMPLE TIMERS  
This technique compares system clock speed to some  
reference clock. Two timers may be used; one timer is  
clocked by the peripheral clock, while the other is  
clocked by a fixed reference source, such as the  
Timer1 oscillator.  
If the measured time is much greater than the  
calculated time, the internal oscillator block is running  
too fast – decrement OSCTUNE. If the measured time  
is much less than the calculated time, the internal  
oscillator block is running too slow – increment  
OSCTUNE.  
Both timers are cleared, but the timer clocked by the  
reference generates interrupts. When an interrupt  
occurs, the internally clocked timer is read and both  
timers are cleared. If the internally clocked timer value  
is greater than expected, then the internal oscillator  
block is running too fast – decrement OSCTUNE.  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 43  
PIC18F2331/2431/4331/4431  
NOTES:  
DS39616B-page 44  
Preliminary  
2003 Microchip Technology Inc.  
PIC18F2331/2431/4331/4431  
Most registers are not affected by a WDT wake-up,  
since this is viewed as the resumption of normal  
4.0  
RESET  
The PIC18F2331/2431/4331/4431 devices differenti-  
ate between various kinds of Reset:  
operation. Status bits from the RCON register, RI, TO,  
PD, POR and BOR, are set or cleared differently in  
different Reset situations, as indicated in Table 4-2.  
These bits are used in software to determine the nature  
of the Reset. See Table 4-3 for a full description of the  
Reset states of all registers.  
a) Power-on Reset (POR)  
b) MCLR Reset during normal operation  
c) MCLR Reset during Sleep  
d) Watchdog Timer (WDT) Reset (during  
execution)  
A simplified block diagram of the On-Chip Reset Circuit  
is shown in Figure 4-1.  
e) Programmable Brown-out Reset (BOR)  
f) RESETInstruction  
The enhanced MCU devices have a MCLR noise filter  
in the MCLR Reset path. The filter will detect and  
ignore small pulses.  
g) Stack Full Reset  
h) Stack Underflow Reset  
The MCLR pin is not driven low by any internal Resets,  
including the WDT.  
Most registers are unaffected by a Reset. Their status  
is unknown on POR and unchanged by all other  
Resets. The other registers are forced to a “Reset  
state” depending on the type of Reset that occurred.  
The MCLR input provided by the MCLR pin can be dis-  
abled with the MCLRE bit in Configuration Register 3H  
(CONFIG3H<7>). See Section 22.1 “Configuration  
Bits” for more information.  
FIGURE 4-1:  
SIMPLIFIED BLOCK DIAGRAM OF ON-CHIP RESET CIRCUIT  
RESET  
Instruction  
Stack  
Pointer  
Stack Full/Underflow Reset  
External Reset  
MCLRE  
MCLR  
( )_IDLE  
Sleep  
WDT  
Time-out  
VDD Rise  
Detect  
POR Pulse  
BOREN  
VDD  
Brown-out  
Reset  
S
OST/PWRT  
OST  
10-bit Ripple Counter  
1024 Cycles  
Chip_Reset  
R
Q
OSC1  
32 µs  
65.5 ms  
PWRT  
11-bit Ripple Counter  
INTRC(1)  
Enable PWRT  
(2)  
Enable OST  
Note 1: This is the INTRC source from the internal oscillator block, and is separate from the RC oscillator of the CLKI pin.  
2: See Table 4-1 for time-out situations.  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 45  
 
 
 
PIC18F2331/2431/4331/4431  
4.1  
Power-on Reset (POR)  
4.3  
Oscillator Start-up Timer (OST)  
A Power-on Reset pulse is generated on-chip when  
VDD rise is detected. To take advantage of the POR cir-  
cuitry, just tie the MCLR pin through a resistor (1k to  
10 k) to VDD. This will eliminate external RC compo-  
nents usually needed to create a Power-on Reset  
delay. A minimum rise rate for VDD is specified  
(parameter D004). For a slow rise time, see Figure 4-2.  
The Oscillator Start-up Timer (OST) provides a 1024  
oscillator cycle (from OSC1 input) delay after the  
PWRT delay is over (parameter #33). This ensures that  
the crystal oscillator or resonator has started and  
stabilized.  
The OST time-out is invoked only for XT, LP, HS and  
HSPLL modes, and only on Power-on Reset or on exit  
from most power-managed modes.  
When the device starts normal operation (i.e., exits the  
Reset condition), device operating parameters (volt-  
age, frequency, temperature, etc.) must be met to  
ensure operation. If these conditions are not met, the  
device must be held in Reset until the operating  
conditions are met.  
4.4  
PLL Lock Time-out  
With the PLL enabled in its PLL mode, the time-out  
sequence following a Power-on Reset is slightly differ-  
ent from other oscillator modes. A portion of the Power-  
up Timer is used to provide a fixed time-out that is suf-  
ficient for the PLL to lock to the main oscillator fre-  
quency. This PLL lock time-out (TPLL) is typically 2 ms  
and follows the oscillator start-up time-out.  
FIGURE 4-2:  
EXTERNAL POWER-ON  
RESET CIRCUIT (FOR  
SLOW VDD POWER-UP)  
VDD  
VDD  
D
4.5  
Brown-out Reset (BOR)  
A configuration bit, BOREN, can disable (if clear/  
programmed) or enable (if set) the Brown-out Reset cir-  
cuitry. If VDD falls below VBOR (parameter D005) for  
greater than TBOR (parameter #35), the brown-out situ-  
ation will reset the chip. A Reset may not occur if VDD  
falls below VBOR for less than TBOR. The chip will  
remain in Brown-out Reset until VDD rises above VBOR.  
If the Power-up Timer is enabled, it will be invoked after  
VDD rises above VBOR; it then will keep the chip in  
Reset for an additional time delay TPWRT (parameter  
#33). If VDD drops below VBOR while the Power-up  
Timer is running, the chip will go back into a Brown-out  
Reset and the Power-up Timer will be initialized. Once  
VDD rises above VBOR, the Power-up Timer will execute  
the additional time delay. Enabling BOR Reset does  
not automatically enable the PWRT.  
R
R1  
MCLR  
PIC18FXXXX  
C
Note 1: External Power-on Reset circuit is  
required only if the VDD power-up slope  
is too slow. The diode D helps discharge  
the capacitor quickly when VDD powers  
down.  
2: R < 40 kis recommended to make  
sure that the voltage drop across R does  
not violate the device’s electrical specifi-  
cation.  
3: R1 1 kwill limit any current flowing  
into MCLR from external capacitor C, in  
the event of MCLR/VPP pin breakdown,  
due to Electrostatic Discharge (ESD) or  
Electrical Overstress (EOS).  
4.6  
Time-out Sequence  
On power-up, the time-out sequence is as follows:  
First, after the POR pulse has cleared, PWRT time-out  
is invoked (if enabled). Then, the OST is activated. The  
total time-out will vary based on oscillator configuration  
and the status of the PWRT. For example, in RC mode  
with the PWRT disabled, there will be no time-out at all.  
Figures 4-3 through 4-7 depict time-out sequences on  
power-up.  
4.2  
Power-up Timer (PWRT)  
The Power-up Timer (PWRT) of the PIC18F2331/2431/  
4331/4431 devices is an 11-bit counter, which uses the  
INTRC source as the clock input. This yields a count of  
2048 x 32 µs = 65.6 ms. While the PWRT is counting,  
the device is held in Reset.  
Since the time-outs occur from the POR pulse, if MCLR  
is kept low long enough, all time-outs will expire. Bring-  
ing MCLR high will begin execution immediately  
(Figure 4-5). This is useful for testing purposes or to  
synchronize more than one PIC18FXXXX device  
operating in parallel.  
The power-up time delay depends on the INTRC clock  
and will vary from chip-to-chip due to temperature and  
process variation. See DC parameter #33 for details.  
Table 4-2 shows the Reset conditions for some Special  
Function registers, while Table 4-3 shows the Reset  
conditions for all the registers.  
The PWRT is enabled by clearing configuration bit  
PWRTEN.  
DS39616B-page 46  
Preliminary  
2003 Microchip Technology Inc.  
 
 
 
 
 
 
 
 
 
 
PIC18F2331/2431/4331/4431  
TABLE 4-1:  
Oscillator  
TIME-OUT IN VARIOUS SITUATIONS  
Power-up(2) and Brown-out  
Exit from  
Configuration  
Power-Managed Mode  
PWRTEN = 0  
PWRTEN = 1  
HSPLL  
66 ms(1) + 1024 TOSC + 2 ms(2)  
66 ms(1) + 1024 TOSC  
66 ms(1)  
1024 TOSC + 2 ms(2)  
1024 TOSC + 2 ms(2)  
HS, XT, LP  
EC, ECIO  
1024 TOSC  
1024 TOSC  
RC, RCIO  
66 ms(1)  
66 ms(1)  
INTIO1, INTIO2  
Note 1: 66 ms (65.5 ms) is the nominal Power-up Timer (PWRT) delay.  
2: 2 ms is the nominal time required for the 4x PLL to lock.  
REGISTER 4-1:  
RCON REGISTER BITS AND POSITIONS  
R/W-0  
IPEN  
U-0  
U-0  
R/W-1  
RI  
R-1  
TO  
R-1  
PD  
R/W-1  
POR  
R/W-1  
BOR  
bit 7  
Note:  
bit 0  
Refer to Section 5.14 “RCON Register” for bit definitions.  
TABLE 4-2:  
STATUS BITS, THEIR SIGNIFICANCE AND THE INITIALIZATION CONDITION FOR  
RCON REGISTER  
Program  
Counter  
RCON  
Register  
Condition  
RI TO PD POR BOR STKFUL STKUNF  
Power-on Reset  
RESETInstruction  
Brown-out  
0000h  
0000h  
0000h  
0000h  
0--1 1100  
0--0 uuuu  
0--1 11u-  
0--u 1uuu  
1
0
1
u
1
u
1
1
1
u
1
u
0
u
u
u
0
u
0
u
0
u
u
u
0
u
u
u
MCLR during power-managed  
run modes  
MCLR during power-managed  
idle modes and Sleep  
0000h  
0000h  
0--u 10uu  
0--u 0uuu  
u
u
1
0
0
u
u
u
u
u
u
u
u
u
WDT Time-out during full power  
or power-managed Run  
MCLR during full power  
execution  
u
u
Stack Full Reset (STVREN = 1)  
0000h  
0--u uuuu  
u
u
u
u
u
1
u
u
1
Stack Underflow Reset  
(STVREN = 1)  
Stack Underflow Error (not an  
actual Reset, STVREN = 0)  
0000h  
PC + 2  
u--u uuuu  
u--u 00uu  
u--u u0uu  
u
u
u
u
0
u
u
0
0
u
u
u
u
u
u
u
u
u
1
u
u
WDT Time-out during power-  
managed Idle or Sleep  
Interrupt Exit from power-man-  
aged modes  
PC + 2(1)  
Legend: u= unchanged, x= unknown, - = unimplemented bit, read as ‘0’.  
Note 1: When the wake-up is due to an interrupt and the GIEH or GIEL bits are set, the PC is loaded with the  
interrupt vector (0x000008h or 0x000018h).  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 47  
 
 
 
 
PIC18F2331/2431/4331/4431  
TABLE 4-3:  
INITIALIZATION CONDITIONS FOR ALL REGISTERS  
MCLR Resets  
Power-on Reset,  
Brown-out Reset  
WDT Reset  
RESET Instruction  
Wake-up via WDT  
or Interrupt  
Register  
Applicable Devices  
Stack Resets  
TOSU  
2331 2431 4331 4431  
2331 2431 4331 4431  
2331 2431 4331 4431  
2331 2431 4331 4431  
2331 2431 4331 4431  
2331 2431 4331 4431  
2331 2431 4331 4431  
2331 2431 4331 4431  
2331 2431 4331 4431  
2331 2431 4331 4431  
2331 2431 4331 4431  
2331 2431 4331 4431  
2331 2431 4331 4431  
2331 2431 4331 4431  
2331 2431 4331 4431  
2331 2431 4331 4431  
2331 2431 4331 4431  
2331 2431 4331 4431  
---0 0000  
0000 0000  
0000 0000  
00-0 0000  
---0 0000  
0000 0000  
0000 0000  
--00 0000  
0000 0000  
0000 0000  
0000 0000  
xxxx xxxx  
xxxx xxxx  
0000 000x  
1111 -1-1  
11-0 0-00  
N/A  
---0 0000  
0000 0000  
0000 0000  
uu-0 0000  
---0 0000  
0000 0000  
0000 0000  
--00 0000  
0000 0000  
0000 0000  
0000 0000  
uuuu uuuu  
uuuu uuuu  
0000 000u  
1111 -1-1  
11-0 0-00  
N/A  
---0 uuuu(3)  
uuuu uuuu(3)  
uuuu uuuu(3)  
uu-u uuuu(3)  
---u uuuu  
uuuu uuuu  
PC + 2(2)  
--uu uuuu  
uuuu uuuu  
uuuu uuuu  
uuuu uuuu  
uuuu uuuu  
uuuu uuuu  
uuuu uuuu(1)  
uuuu -u-u(1)  
uu-u u-uu(1)  
N/A  
TOSH  
TOSL  
STKPTR  
PCLATU  
PCLATH  
PCL  
TBLPTRU  
TBLPTRH  
TBLPTRL  
TABLAT  
PRODH  
PRODL  
INTCON  
INTCON2  
INTCON3  
INDF0  
POSTINC0  
N/A  
N/A  
N/A  
POSTDEC0 2331 2431 4331 4431  
N/A  
N/A  
N/A  
PREINC0  
PLUSW0  
FSR0H  
2331 2431 4331 4431  
2331 2431 4331 4431  
2331 2431 4331 4431  
2331 2431 4331 4431  
2331 2431 4331 4431  
2331 2431 4331 4431  
2331 2431 4331 4431  
N/A  
N/A  
N/A  
N/A  
N/A  
N/A  
---- xxxx  
xxxx xxxx  
xxxx xxxx  
N/A  
---- uuuu  
uuuu uuuu  
uuuu uuuu  
N/A  
---- uuuu  
uuuu uuuu  
uuuu uuuu  
N/A  
FSR0L  
WREG  
INDF1  
POSTINC1  
N/A  
N/A  
N/A  
POSTDEC1 2331 2431 4331 4431  
N/A  
N/A  
N/A  
PREINC1  
PLUSW1  
2331 2431 4331 4431  
2331 2431 4331 4431  
N/A  
N/A  
N/A  
N/A  
N/A  
N/A  
Legend: u= unchanged, x= unknown, -= unimplemented bit, read as ‘0’, q= value depends on condition.  
Shaded cells indicate conditions do not apply for the designated device.  
Note 1: One or more bits in the INTCONx or PIRx registers will be affected (to cause wake-up).  
2: When the wake-up is due to an interrupt and the GIEL or GIEH bit is set, the PC is loaded with the interrupt  
vector (0008h or 0018h).  
3: When the wake-up is due to an interrupt and the GIEL or GIEH bit is set, the TOSU, TOSH and TOSL are  
updated with the current value of the PC. The STKPTR is modified to point to the next location in the  
hardware stack.  
4: See Table 4-2 for Reset value for specific condition.  
5: Bits 6 and 7 of PORTA, LATA and TRISA are enabled, depending on the Oscillator mode selected. When  
not enabled as PORTA pins, they are disabled and read ‘0’.  
6: Bit 3 of PORTE and LATE are enabled if MCLR functionality is disabled. When not enabled as the PORTE  
pin, they are disabled and read as ‘0’. The 28-pin devices have only RE3 on PORTE when MCLR is  
disabled.  
DS39616B-page 48  
Preliminary  
2003 Microchip Technology Inc.  
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
PIC18F2331/2431/4331/4431  
TABLE 4-3:  
Register  
INITIALIZATION CONDITIONS FOR ALL REGISTERS (CONTINUED)  
MCLR Resets  
WDT Reset  
Power-on Reset,  
Brown-out Reset  
Wake-up via WDT  
or Interrupt  
Applicable Devices  
RESET Instruction  
Stack Resets  
FSR1H  
FSR1L  
BSR  
2331 2431 4331 4431  
2331 2431 4331 4431  
2331 2431 4331 4431  
2331 2431 4331 4431  
2331 2431 4331 4431  
---- xxxx  
xxxx xxxx  
---- 0000  
N/A  
---- uuuu  
uuuu uuuu  
---- 0000  
N/A  
---- uuuu  
uuuu uuuu  
---- uuuu  
N/A  
INDF2  
POSTINC2  
N/A  
N/A  
N/A  
POSTDEC2 2331 2431 4331 4431  
N/A  
N/A  
N/A  
PREINC2  
PLUSW2  
FSR2H  
2331 2431 4331 4431  
2331 2431 4331 4431  
2331 2431 4331 4431  
2331 2431 4331 4431  
2331 2431 4331 4431  
2331 2431 4331 4431  
2331 2431 4331 4431  
2331 2431 4331 4431  
2331 2431 4331 4431  
2331 2431 4331 4431  
2331 2431 4331 4431  
2331 2431 4331 4431  
2331 2431 4331 4431  
2331 2431 4331 4431  
2331 2431 4331 4431  
2331 2431 4331 4431  
2331 2431 4331 4431  
2331 2431 4331 4431  
2331 2431 4331 4431  
2331 2431 4331 4431  
2331 2431 4331 4431  
2331 2431 4331 4431  
N/A  
N/A  
N/A  
N/A  
N/A  
N/A  
---- xxxx  
xxxx xxxx  
---x xxxx  
0000 0000  
xxxx xxxx  
11-- 1111  
0000 0000  
--00 0101  
---- ---0  
0--1 11q0  
xxxx xxxx  
xxxx xxxx  
0000 0000  
0000 0000  
1111 1111  
-000 0000  
xxxx xxxx  
0000 0000  
0000 0000  
0000 0000  
---- uuuu  
uuuu uuuu  
---u uuuu  
0000 0000  
uuuu uuuu  
11-- 1111  
0000 0000  
--00 0101  
---- ---0  
0--q qquu  
uuuu uuuu  
uuuu uuuu  
u0uu uuuu  
0000 0000  
1111 1111  
-000 0000  
uuuu uuuu  
0000 0000  
0000 0000  
0000 0000  
---- uuuu  
uuuu uuuu  
---u uuuu  
uuuu uuuu  
uuuu uuuu  
uu-- uuuu  
uuuu uuuu  
--uu uuuu  
---- ---u  
u--u qquu  
uuuu uuuu  
uuuu uuuu  
uuuu uuuu  
uuuu uuuu  
1111 1111  
-uuu uuuu  
uuuu uuuu  
uuuu uuuu  
uuuu uuuu  
uuuu uuuu  
FSR2L  
STATUS  
TMR0H  
TMR0L  
T0CON  
OSCCON  
LVDCON  
WDTCON  
RCON(4)  
TMR1H  
TMR1L  
T1CON  
TMR2  
PR2  
T2CON  
SSPBUF  
SSPADD  
SSPSTAT  
SSPCON  
Legend: u= unchanged, x= unknown, -= unimplemented bit, read as ‘0’, q= value depends on condition.  
Shaded cells indicate conditions do not apply for the designated device.  
Note 1: One or more bits in the INTCONx or PIRx registers will be affected (to cause wake-up).  
2: When the wake-up is due to an interrupt and the GIEL or GIEH bit is set, the PC is loaded with the interrupt  
vector (0008h or 0018h).  
3: When the wake-up is due to an interrupt and the GIEL or GIEH bit is set, the TOSU, TOSH and TOSL are  
updated with the current value of the PC. The STKPTR is modified to point to the next location in the  
hardware stack.  
4: See Table 4-2 for Reset value for specific condition.  
5: Bits 6 and 7 of PORTA, LATA and TRISA are enabled, depending on the Oscillator mode selected. When  
not enabled as PORTA pins, they are disabled and read ‘0’.  
6: Bit 3 of PORTE and LATE are enabled if MCLR functionality is disabled. When not enabled as the PORTE  
pin, they are disabled and read as ‘0’. The 28-pin devices have only RE3 on PORTE when MCLR is  
disabled.  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 49  
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
PIC18F2331/2431/4331/4431  
TABLE 4-3:  
INITIALIZATION CONDITIONS FOR ALL REGISTERS (CONTINUED)  
MCLR Resets  
Power-on Reset,  
Brown-out Reset  
WDT Reset  
RESET Instruction  
Stack Resets  
Wake-up via WDT  
or Interrupt  
Register  
Applicable Devices  
ADRESH  
ADRESL  
ADCON0  
ADCON1  
ADCON2  
CCPR1H  
CCPR1L  
CCP1CON  
CCPR2H  
CCPR2L  
CCP2CON  
ANSEL0  
ANSEL1  
T5CON  
2331 2431 4331 4431  
2331 2431 4331 4431  
2331 2431 4331 4431  
2331 2431 4331 4431  
2331 2431 4331 4431  
2331 2431 4331 4431  
2331 2431 4331 4431  
2331 2431 4331 4431  
2331 2431 4331 4431  
2331 2431 4331 4431  
2331 2431 4331 4431  
2331 2431 4331 4431  
2331 2431 4331 4431  
2331 2431 4331 4431  
2331 2431 4331 4431  
2331 2431 4331 4431  
2331 2431 4331 4431  
2331 2431 4331 4431  
2331 2431 4331 4431  
2331 2431 4331 4431  
2331 2431 4331 4431  
2331 2431 4331 4431  
2331 2431 4331 4431  
2331 2431 4331 4431  
2331 2431 4331 4431  
2331 2431 4331 4431  
2331 2431 4331 4431  
2331 2431 4331 4431  
2331 2431 4331 4431  
xxxx xxxx  
xxxx xxxx  
--00 0000  
00-0 1000  
0000 0000  
xxxx xxxx  
xxxx xxxx  
--00 0000  
xxxx xxxx  
xxxx xxxx  
--00 0000  
1111 1111  
---- ---0  
0000 0000  
0000 0000  
0000 0000  
0000 0000  
0000 0000  
0000 0000  
0000 -010  
0000 000x  
-1-1 0-00  
0000 0000  
0000 0000  
xx-0 x000  
0000 0000  
---1 1111  
---0 0000  
---0 0000  
uuuu uuuu  
uuuu uuuu  
--00 0000  
00-- 1000  
0000 0000  
uuuu uuuu  
uuuu uuuu  
--00 0000  
uuuu uuuu  
uuuu uuuu  
--00 0000  
1111 1111  
---- ---0  
0000 0000  
0000 0000  
0000 0000  
0000 0000  
0000 0000  
0000 0000  
0000 -010  
0000 000x  
-1-1 0-00  
0000 0000  
0000 0000  
uu-0 u000  
0000 0000  
---1 1111  
---0 0000  
---0 0000  
uuuu uuuu  
uuuu uuuu  
--uu uuuu  
uu-u uuuu  
uuuu uuuu  
uuuu uuuu  
uuuu uuuu  
--uu uuuu  
uuuu uuuu  
uuuu uuuu  
--uu uuuu  
uuuu uuuu  
---- ---u  
uuuu uuuu  
uuuu uuuu  
uuuu uuuu  
uuuu uuuu  
uuuu uuuu  
uuuu uuuu  
uuuu -uuu  
uuuu uuuu  
-u-u u-uu  
uuuu uuuu  
uuuu uuuu  
uu-0 u000  
0000 0000  
---u uuuu  
---u uuuu  
---u uuuu  
QEICON  
SPBRGH  
SPBRG  
RCREG  
TXREG  
TXSTA  
RCSTA  
BAUDCTL  
EEADR  
EEDATA  
EECON1  
EECON2  
IPR3  
PIE3  
PIR3  
Legend: u= unchanged, x= unknown, -= unimplemented bit, read as ‘0’, q= value depends on condition.  
Shaded cells indicate conditions do not apply for the designated device.  
Note 1: One or more bits in the INTCONx or PIRx registers will be affected (to cause wake-up).  
2: When the wake-up is due to an interrupt and the GIEL or GIEH bit is set, the PC is loaded with the interrupt  
vector (0008h or 0018h).  
3: When the wake-up is due to an interrupt and the GIEL or GIEH bit is set, the TOSU, TOSH and TOSL are  
updated with the current value of the PC. The STKPTR is modified to point to the next location in the  
hardware stack.  
4: See Table 4-2 for Reset value for specific condition.  
5: Bits 6 and 7 of PORTA, LATA and TRISA are enabled, depending on the Oscillator mode selected. When  
not enabled as PORTA pins, they are disabled and read ‘0’.  
6: Bit 3 of PORTE and LATE are enabled if MCLR functionality is disabled. When not enabled as the PORTE  
pin, they are disabled and read as ‘0’. The 28-pin devices have only RE3 on PORTE when MCLR is  
disabled.  
DS39616B-page 50  
Preliminary  
2003 Microchip Technology Inc.  
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
PIC18F2331/2431/4331/4431  
TABLE 4-3:  
Register  
INITIALIZATION CONDITIONS FOR ALL REGISTERS (CONTINUED)  
MCLR Resets  
WDT Reset  
Power-on Reset,  
Brown-out Reset  
Wake-up via WDT  
or Interrupt  
Applicable Devices  
RESET Instruction  
Stack Resets  
IPR2  
PIR2  
PIE2  
2331 2431 4331 4431  
2331 2431 4331 4431  
2331 2431 4331 4431  
2331 2431 4331 4431  
2331 2431 4331 4431  
2331 2431 4331 4431  
2331 2431 4331 4431  
2331 2431 4331 4431  
2331 2431 4331 4431  
2331 2431 4331 4431  
2331 2431 4331 4431  
2331 2431 4331 4431  
2331 2431 4331 4431  
2331 2431 4331 4431  
2331 2431 4331 4431  
2331 2431 4331 4431  
2331 2431 4331 4431  
2331 2431 4331 4431  
2331 2431 4331 4431  
2331 2431 4331 4431  
2331 2431 4331 4431  
2331 2431 4331 4431  
2331 2431 4331 4431  
2331 2431 4331 4431  
2331 2431 4331 4431  
2331 2431 4331 4431  
2331 2431 4331 4431  
2331 2431 4331 4431  
2331 2431 4331 4431  
2331 2431 4331 4431  
2331 2431 4331 4431  
1--1 -1-1  
0--0 -0-0  
0--0 -0-0  
1111 1111  
-111 1111  
-000 0000  
-000 0000  
0000 0000  
-000 0000  
--00 0000  
00-0 0000  
0000 0000  
---- -111  
1111 1111  
1111 1111  
1111 1111  
1111 1111(5)  
1111 1111  
1111 1111  
---- -xxx  
xxxx xxxx  
xxxx xxxx  
xxxx xxxx  
xxxx xxxx(5)  
xxxx xxxx  
xxxx xxxx  
---- xxxx  
xxxx xxxx  
xxxx xxxx  
xxxx xxxx  
xx0x 0000(5)  
1--1 -1-1  
0--0 -0-0  
0--0 -0-0  
1111 1111  
-111 1111  
-000 0000  
-000 0000  
0000 0000  
-000 0000  
--00 0000  
00-0 0000  
0000 0000  
---- -111  
1111 1111  
1111 1111  
1111 1111  
1111 1111(5)  
1111 1111  
1111 1111  
---- -uuu  
uuuu uuuu  
uuuu uuuu  
uuuu uuuu  
uuuu uuuu(5)  
uuuu uuuu  
uuuu uuuu  
---- xxxx  
uuuu uuuu  
uuuu uuuu  
uuuu uuuu  
uu0u 0000(5)  
u--u -u-u  
u--u -u-u  
u--u -u-u  
uuuu uuuu  
-uuu uuuu  
-uuu uuuu(1)  
-uuu uuuu(1)  
uuuu uuuu  
-uuu uuuu  
--uu uuuu  
uu-u uuuu  
uuuu uuuu  
---- -uuu  
uuuu uuuu  
uuuu uuuu  
uuuu uuuu  
uuuu uuuu(5)  
uuuu uuuu  
uuuu uuuu  
---- -uuu  
uuuu uuuu  
uuuu uuuu  
uuuu uuuu  
uuuu uuuu(5)  
uuuu uuuu  
uuuu uuuu  
---- uuuu  
uuuu uuuu  
uuuu uuuu  
uuuu uuuu  
uuuu uuuu(5)  
IPR1  
PIR1  
PIE1  
OSCTUNE  
ADCON3  
ADCHS  
TRISE(6)  
TRISD  
TRISC  
TRISB  
TRISA(5)  
PR5H  
PR5L  
LATE(6)  
LATD  
LATC  
LATB  
LATA(5)  
TMR5H  
TMR5L  
PORTE(6)  
PORTD  
PORTC  
PORTB  
PORTA(5)  
Legend: u= unchanged, x= unknown, -= unimplemented bit, read as ‘0’, q= value depends on condition.  
Shaded cells indicate conditions do not apply for the designated device.  
Note 1: One or more bits in the INTCONx or PIRx registers will be affected (to cause wake-up).  
2: When the wake-up is due to an interrupt and the GIEL or GIEH bit is set, the PC is loaded with the interrupt  
vector (0008h or 0018h).  
3: When the wake-up is due to an interrupt and the GIEL or GIEH bit is set, the TOSU, TOSH and TOSL are  
updated with the current value of the PC. The STKPTR is modified to point to the next location in the  
hardware stack.  
4: See Table 4-2 for Reset value for specific condition.  
5: Bits 6 and 7 of PORTA, LATA and TRISA are enabled, depending on the Oscillator mode selected. When  
not enabled as PORTA pins, they are disabled and read ‘0’.  
6: Bit 3 of PORTE and LATE are enabled if MCLR functionality is disabled. When not enabled as the PORTE  
pin, they are disabled and read as ‘0’. The 28-pin devices have only RE3 on PORTE when MCLR is  
disabled.  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 51  
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
PIC18F2331/2431/4331/4431  
TABLE 4-3:  
INITIALIZATION CONDITIONS FOR ALL REGISTERS (CONTINUED)  
MCLR Resets  
Power-on Reset,  
Brown-out Reset  
WDT Reset  
RESET Instruction  
Stack Resets  
Wake-up via WDT  
or Interrupt  
Register  
Applicable Devices  
PTCON0  
2331 2431 4331 4431  
uuuu uuuu  
0000 0000  
00-- ----  
0000 0000  
---- 0000  
1111 1111  
---- 1111  
--00 0000  
0000 0000  
0000 0000  
--00 0000  
0000 0000  
--00 0000  
0000 0000  
--00 0000  
0000 0000  
---- 0000  
-101 0000  
0000 0-00  
0000 0000  
-000 0000  
1111 1111  
0000 0000  
uuuu uuuu  
00-- ----  
0000 0000  
---- 0000  
1111 1111  
---- 1111  
--00 0000  
0000 0000  
0000 0000  
--00 0000  
0000 0000  
--00 0000  
0000 0000  
--00 0000  
0000 0000  
---- 0000  
-101 0000  
0000 0-00  
0000 0000  
-000 0000  
1111 1111  
0000 0000  
PTCON1  
PTMRL  
PTMRH  
PTPERL  
PTPERH  
PDC0L  
PDC0H  
PDC1L  
PDC1H  
PDC2L  
PDC2H  
PDC3L  
PDC3H  
2331 2431 4331 4431  
2331 2431 4331 4431  
2331 2431 4331 4431  
2331 2431 4331 4431  
2331 2431 4331 4431  
2331 2431 4331 4431  
2331 2431 4331 4431  
2331 2431 4331 4431  
2331 2431 4331 4431  
2331 2431 4331 4431  
2331 2431 4331 4431  
2331 2431 4331 4431  
2331 2431 4331 4431  
uu-- ----  
uuuu uuuu  
---- uuuu  
uuuu uuuu  
---- uuuu  
--uu uuuu  
uuuu uuuu  
uuuu uuuu  
--uu uuuu  
uuuu uuuu  
--uu uuuu  
uuuu uuuu  
--uu uuuu  
uuuu uuuu  
---- uuuu  
-uuu uuuu  
uuuu u-uu  
uuuu uuuu  
-uuu uuuu  
uuuu uuuu  
uuuu uuuu  
SEVTCMPL 2331 2431 4331 4431  
SEVTCMPH 2331 2431 4331 4431  
PWMCON0 2331 2431 4331 4431  
PWMCON1 2331 2431 4331 4431  
DTCON  
2331 2431 4331 4431  
FLTCONFIG 2331 2431 4331 4431  
OVDCOND 2331 2431 4331 4431  
OVDCONS  
2331 2431 4331 4431  
CAP1BUFH/ 2331 2431 4331 4431  
VELRH  
xxxx xxxx  
xxxx xxxx  
xxxx xxxx  
xxxx xxxx  
uuuu uuuu  
uuuu uuuu  
uuuu uuuu  
uuuu uuuu  
uuuu uuuu  
uuuu uuuu  
uuuu uuuu  
uuuu uuuu  
CAP1BUFL/ 2331 2431 4331 4431  
VELRL  
CAP2BUFH/ 2331 2431 4331 4431  
POSCNTH  
CAP2BUFL/ 2331 2431 4331 4431  
POSCNTL  
Legend: u= unchanged, x= unknown, -= unimplemented bit, read as ‘0’, q= value depends on condition.  
Shaded cells indicate conditions do not apply for the designated device.  
Note 1: One or more bits in the INTCONx or PIRx registers will be affected (to cause wake-up).  
2: When the wake-up is due to an interrupt and the GIEL or GIEH bit is set, the PC is loaded with the interrupt  
vector (0008h or 0018h).  
3: When the wake-up is due to an interrupt and the GIEL or GIEH bit is set, the TOSU, TOSH and TOSL are  
updated with the current value of the PC. The STKPTR is modified to point to the next location in the  
hardware stack.  
4: See Table 4-2 for Reset value for specific condition.  
5: Bits 6 and 7 of PORTA, LATA and TRISA are enabled, depending on the Oscillator mode selected. When  
not enabled as PORTA pins, they are disabled and read ‘0’.  
6: Bit 3 of PORTE and LATE are enabled if MCLR functionality is disabled. When not enabled as the PORTE  
pin, they are disabled and read as ‘0’. The 28-pin devices have only RE3 on PORTE when MCLR is  
disabled.  
DS39616B-page 52  
Preliminary  
2003 Microchip Technology Inc.  
 
 
 
 
 
 
 
 
 
 
 
 
PIC18F2331/2431/4331/4431  
TABLE 4-3:  
Register  
INITIALIZATION CONDITIONS FOR ALL REGISTERS (CONTINUED)  
MCLR Resets  
WDT Reset  
Power-on Reset,  
Brown-out Reset  
Wake-up via WDT  
or Interrupt  
Applicable Devices  
RESET Instruction  
Stack Resets  
CAP3BUFH/ 2331 2431 4331 4431  
MAXCNTH  
xxxx xxxx  
xxxx xxxx  
uuuu uuuu  
uuuu uuuu  
uuuu uuuu  
uuuu uuuu  
CAP3BUFL/ 2331 2431 4331 4431  
MAXCNTL  
CAP1CON  
CAP2CON  
CAP3CON  
DFLTCON  
2331 2431 4331 4431  
2331 2431 4331 4431  
2331 2431 4331 4431  
2331 2431 4331 4431  
-0-- 0000  
-0-- 0000  
-0-- 0000  
-000 0000  
-0-- 0000  
-0-- 0000  
-0-- 0000  
-000 0000  
-u-- uuuu  
-u-- uuuu  
-u-- uuuu  
-uuu uuuu  
Legend: u= unchanged, x= unknown, -= unimplemented bit, read as ‘0’, q= value depends on condition.  
Shaded cells indicate conditions do not apply for the designated device.  
Note 1: One or more bits in the INTCONx or PIRx registers will be affected (to cause wake-up).  
2: When the wake-up is due to an interrupt and the GIEL or GIEH bit is set, the PC is loaded with the interrupt  
vector (0008h or 0018h).  
3: When the wake-up is due to an interrupt and the GIEL or GIEH bit is set, the TOSU, TOSH and TOSL are  
updated with the current value of the PC. The STKPTR is modified to point to the next location in the  
hardware stack.  
4: See Table 4-2 for Reset value for specific condition.  
5: Bits 6 and 7 of PORTA, LATA and TRISA are enabled, depending on the Oscillator mode selected. When  
not enabled as PORTA pins, they are disabled and read ‘0’.  
6: Bit 3 of PORTE and LATE are enabled if MCLR functionality is disabled. When not enabled as the PORTE  
pin, they are disabled and read as ‘0’. The 28-pin devices have only RE3 on PORTE when MCLR is  
disabled.  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 53  
 
 
 
 
 
 
PIC18F2331/2431/4331/4431  
FIGURE 4-3:  
TIME-OUT SEQUENCE ON POWER-UP (MCLR TIED TO VDD, VDD RISE < TPWRT)  
VDD  
MCLR  
INTERNAL POR  
TPWRT  
PWRT TIME-OUT  
OST TIME-OUT  
TOST  
INTERNAL RESET  
FIGURE 4-4:  
TIME-OUT SEQUENCE ON POWER-UP (MCLR NOT TIED TO VDD): CASE 1  
VDD  
MCLR  
INTERNAL POR  
TPWRT  
PWRT TIME-OUT  
OST TIME-OUT  
TOST  
INTERNAL RESET  
FIGURE 4-5:  
TIME-OUT SEQUENCE ON POWER-UP (MCLR NOT TIED TO VDD): CASE 2  
VDD  
MCLR  
INTERNAL POR  
TPWRT  
PWRT TIME-OUT  
OST TIME-OUT  
TOST  
INTERNAL RESET  
DS39616B-page 54  
Preliminary  
2003 Microchip Technology Inc.  
 
 
 
PIC18F2331/2431/4331/4431  
FIGURE 4-6:  
SLOW RISE TIME (MCLR TIED TO VDD, VDD RISE > TPWRT)  
5V  
1V  
0V  
VDD  
MCLR  
INTERNAL POR  
TPWRT  
PWRT TIME-OUT  
TOST  
OST TIME-OUT  
INTERNAL RESET  
FIGURE 4-7:  
TIME-OUT SEQUENCE ON POR W/ PLL ENABLED (MCLR TIED TO VDD)  
VDD  
MCLR  
INTERNAL POR  
TPWRT  
PWRT TIME-OUT  
OST TIME-OUT  
TOST  
TPLL  
PLL TIME-OUT  
INTERNAL RESET  
Note: TOST = 1024 clock cycles.  
TPLL 2 ms max. First three stages of the PWRT timer.  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 55  
 
 
PIC18F2331/2431/4331/4431  
NOTES:  
DS39616B-page 56  
Preliminary  
2003 Microchip Technology Inc.  
PIC18F2331/2431/4331/4431  
5.1  
Program Memory Organization  
5.0  
MEMORY ORGANIZATION  
A 21-bit program counter is capable of addressing the  
2-Mbyte program memory space. Accessing a location  
between the physically implemented memory and the  
2-Mbyte address will cause a read of all ‘0’s (a NOP  
instruction).  
There are three memory types in enhanced MCU  
devices. These memory types are:  
• Program Memory  
• Data RAM  
• Data EEPROM  
The PIC18F2331 and PIC18F4331 each have  
8 Kbytes of Flash memory and can store up to 4,096  
single-word instructions.  
Data and program memory use separate busses,  
which allows for concurrent access of these types.  
Additional detailed information for Flash program mem-  
ory and data EEPROM is provided in Section 6.0  
“Flash Program Memory” and Section 7.0 “Data  
EEPROM Memory”, respectively.  
The PIC18F2431 and PIC18F4431 each have  
16 Kbytes of Flash memory and can store up to 8,192  
single-word instructions.  
The Reset vector address is at 000000h and the  
interrupt vector addresses are at 000008h and  
000018h.  
The Program Memory Maps for PIC18F2X31 and  
PIC18F4X31 devices are shown in Figure 5-1 and  
Figure 5-2, respectively.  
FIGURE 5-1:  
PROGRAM MEMORY MAP  
AND STACK FOR  
FIGURE 5-2:  
PROGRAM MEMORY MAP  
AND STACK FOR  
PIC18F2331/4331  
PIC18F2431/4431  
PC<20:0>  
21  
PC<20:0>  
21  
CALL,RCALL,RETURN  
CALL,RCALL,RETURN  
RETFIE,RETLW  
RETFIE,RETLW  
Stack Level 1  
Stack Level 1  
Stack Level 31  
Stack Level 31  
000000h  
000000h  
000008h  
000018h  
Reset Vector LSb  
Reset Vector LSb  
000008h  
000018h  
High Priority Interrupt Vector LSb  
Low Priority Interrupt Vector LSb  
High Priority Interrupt Vector LSb  
Low Priority Interrupt Vector LSb  
On-Chip Flash  
Program Memory  
001FFFh  
002000h  
On-Chip Flash  
Program Memory  
003FFFh  
004000h  
Unused -  
Read ‘0’s  
Unused -  
Read ‘0’s  
1FFFFFh  
1FFFFFh  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 57  
 
 
 
 
 
 
 
 
PIC18F2331/2431/4331/4431  
5.2.2  
RETURN STACK POINTER  
(STKPTR)  
5.2  
Return Address Stack  
The return address stack allows any combination of up  
to 31 program calls and interrupts to occur. The PC  
(Program Counter) is pushed onto the stack when a  
CALLor RCALLinstruction is executed, or an interrupt  
is acknowledged. The PC value is pulled off the stack  
on a RETURN, RETLW or a RETFIE instruction.  
PCLATU and PCLATH are not affected by any of the  
RETURNor CALLinstructions.  
The STKPTR register (Register 5-1) contains the stack  
pointer value, the STKFUL (stack full) status bit, and  
the STKUNF (stack underflow) status bits. The value of  
the stack pointer can be 0 through 31. The stack pointer  
increments before values are pushed onto the stack  
and decrements after values are popped off the stack.  
At Reset, the stack pointer value will be zero. The user  
may read and write the stack pointer value. This feature  
can be used by a Real-Time Operating System for  
return stack maintenance.  
The stack operates as a 31-word by 21-bit RAM and a  
5-bit stack pointer, with the stack pointer initialized to  
00000b after all Resets. There is no RAM associated  
with stack pointer 00000b. This is only a Reset value.  
During a CALLtype instruction, causing a push onto the  
stack, the stack pointer is first incremented and the  
RAM location pointed to by the stack pointer is written  
with the contents of the PC (already pointing to the  
instruction following the call). During a RETURN type  
instruction, causing a pop from the stack, the contents  
of the RAM location pointed to by the STKPTR are  
transferred to the PC and then the stack pointer is  
decremented.  
After the PC is pushed onto the stack 31 times (without  
popping any values off the stack), the STKFUL bit is  
set. The STKFUL bit is cleared by software or by a  
POR.  
The action that takes place when the stack becomes  
full depends on the state of the STVREN (Stack Over-  
flow Reset Enable) configuration bit. (Refer to  
Section 22.1 “Configuration Bits” for a description of  
the device configuration bits.) If STVREN is set  
(default), the 31st push will push the (PC + 2) value  
onto the stack, set the STKFUL bit, and reset the  
device. The STKFUL bit will remain set and the stack  
pointer will be set to zero.  
The stack space is not part of either program or data  
space. The stack pointer is readable and writable, and  
the address on the top of the stack is readable and writ-  
able through the top-of-stack Special File registers.  
Data can also be pushed to, or popped from, the stack  
using the top-of-stack SFRs. Status bits indicate if the  
stack is full, has overflowed or underflowed.  
If STVREN is cleared, the STKFUL bit will be set on the  
31st push and the stack pointer will increment to 31.  
Any additional pushes will not overwrite the 31st push,  
and STKPTR will remain at 31.  
When the stack has been popped enough times to  
unload the stack, the next pop will return a value of zero  
to the PC and set the STKUNF bit, while the stack  
pointer remains at zero. The STKUNF bit will remain  
set until cleared by software or a POR occurs.  
5.2.1  
TOP-OF-STACK ACCESS  
The top of the stack is readable and writable. Three  
register locations, TOSU, TOSH and TOSL hold the  
contents of the stack location pointed to by the  
STKPTR register (Figure 5-3). This allows users to  
implement a software stack if necessary. After a CALL,  
RCALLor interrupt, the software can read the pushed  
value by reading the TOSU, TOSH and TOSL registers.  
These values can be placed on a user-defined software  
stack. At return time, the software can replace the  
TOSU, TOSH and TOSL and do a return.  
Note:  
Returning a value of zero to the PC on an  
underflow has the effect of vectoring the  
program to the Reset vector, where the  
stack conditions can be verified and  
appropriate actions can be taken. This is  
not the same as a Reset, as the contents  
of the SFRs are not affected.  
The user must disable the global interrupt enable bits  
while accessing the stack to prevent inadvertent stack  
corruption.  
FIGURE 5-3:  
RETURN ADDRESS STACK AND ASSOCIATED REGISTERS  
Return Address Stack  
11111  
11110  
11101  
STKPTR<4:0>  
TOSU  
00h  
TOSH  
1Ah  
TOSL  
34h  
00010  
00011  
001A34h 00010  
000D58h 00001  
00000  
Top-of-Stack  
DS39616B-page 58  
Preliminary  
2003 Microchip Technology Inc.  
 
 
 
 
 
PIC18F2331/2431/4331/4431  
REGISTER 5-1:  
STKPTR REGISTER  
R/C-0 R/C-0  
U-0  
R/W-0  
SP4  
R/W-0  
SP3  
R/W-0  
SP2  
R/W-0  
SP1  
R/W-0  
SP0  
STKFUL STKUNF  
bit 7  
bit 0  
bit 7(1)  
bit 6(1)  
STKFUL: Stack Full Flag bit  
1= Stack became full or overflowed  
0= Stack has not become full or overflowed  
STKUNF: Stack Underflow Flag bit  
1= Stack underflow occurred  
0= Stack underflow did not occur  
bit 5  
Unimplemented: Read as ‘0’  
bit 4-0  
SP4:SP0: Stack Pointer Location bits  
Note 1: Bit 7 and bit 6 are cleared by user software or by a POR.  
Legend:  
R = Readable bit  
W = Writable bit  
‘1’ = Bit is set  
U = Unimplemented  
‘0’ = Bit is cleared  
C = Clearable only bit  
x = Bit is unknown  
- n = Value at POR  
5.2.3  
PUSHAND POPINSTRUCTIONS  
5.2.4  
STACK FULL/UNDERFLOW RESETS  
Since the Top-of-Stack (TOS) is readable and writable,  
the ability to push values onto the stack and pull values  
off the stack without disturbing normal program execu-  
tion is a desirable option. To push the current PC value  
onto the stack, a PUSH instruction can be executed.  
This will increment the stack pointer and load the cur-  
rent PC value onto the stack. TOSU, TOSH and TOSL  
can then be modified to place data or a return address  
on the stack.  
These Resets are enabled by programming the  
STVREN bit in Configuration Register 4L. When the  
STVREN bit is cleared, a full or underflow condition will  
set the appropriate STKFUL or STKUNF bit, but not  
cause a device Reset. When the STVREN bit is set, a  
full or underflow will set the appropriate STKFUL or  
STKUNF bit and then cause a device Reset. The  
STKFUL or STKUNF bits are cleared by the user  
software or a POR Reset.  
The ability to pull the TOS value off of the stack and  
replace it with the value that was previously pushed  
onto the stack, without disturbing normal execution, is  
achieved by using the POPinstruction. The POPinstruc-  
tion discards the current TOS by decrementing the  
stack pointer. The previous value pushed onto the  
stack then becomes the TOS value.  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 59  
 
 
 
 
PIC18F2331/2431/4331/4431  
5.3  
Fast Register Stack  
5.4  
PCL, PCLATH and PCLATU  
A “fast return” option is available for interrupts. A fast  
register stack is provided for the Status, WREG and  
BSR registers and are only one in depth. The stack is  
not readable or writable and is loaded with the current  
value of the corresponding register when the processor  
vectors for an interrupt. The values in the registers are  
then loaded back into the working registers, if the  
RETFIE, FASTinstruction is used to return from the  
interrupt.  
The program counter (PC) specifies the address of the  
instruction to fetch for execution. The PC is 21-bits  
wide. The low byte, known as the PCL register, is both  
readable and writable. The high byte, or PCH register,  
contains the PC<15:8> bits and is not directly readable  
or writable. Updates to the PCH register may be per-  
formed through the PCLATH register. The upper byte is  
called PCU. This register contains the PC<20:16> bits  
and is not directly readable or writable. Updates to the  
PCU register may be performed through the PCLATU  
register.  
All interrupt sources will push values into the stack reg-  
isters. If both low and high priority interrupts are  
enabled, the stack registers cannot be used reliably to  
return from low priority interrupts. If a high priority inter-  
rupt occurs while servicing a low priority interrupt, the  
stack register values stored by the low priority interrupt  
will be overwritten. Users must save the key registers  
in software during a low priority interrupt.  
The contents of PCLATH and PCLATU will be trans-  
ferred to the program counter by any operation that  
writes PCL. Similarly, the upper two bytes of the pro-  
gram counter will be transferred to PCLATH and  
PCLATU by an operation that reads PCL. This is useful  
for computed offsets to the PC (see Section 5.8.1  
“Computed GOTO).  
If interrupt priority is not used, all interrupts may use the  
fast register stack for returns from interrupt.  
The PC addresses bytes in the program memory. To  
prevent the PC from becoming misaligned with word  
instructions, the LSB of PCL is fixed to a value of ‘0’.  
The PC increments by 2 to address sequential  
instructions in the program memory.  
If no interrupts are used, the fast register stack can be  
used to restore the Status, WREG and BSR registers at  
the end of a subroutine call. To use the fast register  
stack for a subroutine call, a CALL label, FAST  
instruction must be executed to save the Status,  
WREG and BSR registers to the fast register stack. A  
RETURN, FASTinstruction is then executed to restore  
these registers from the fast register stack.  
The CALL, RCALL, GOTO and program branch  
instructions write to the program counter directly. For  
these instructions, the contents of PCLATH and  
PCLATU are not transferred to the program counter.  
Example 5-1 shows a source code example that uses  
the fast register stack during a subroutine call and  
return.  
EXAMPLE 5-1:  
FAST REGISTER STACK  
CODE EXAMPLE  
CALL SUB1, FAST  
;STATUS, WREG, BSR  
;SAVED IN FAST REGISTER  
;STACK  
SUB1  
RETURN FAST  
;RESTORE VALUES SAVED  
;IN FAST REGISTER STACK  
DS39616B-page 60  
Preliminary  
2003 Microchip Technology Inc.  
 
 
 
 
 
 
 
PIC18F2331/2431/4331/4431  
5.5  
Clocking Scheme/Instruction  
Cycle  
5.6  
Instruction Flow/Pipelining  
An “Instruction Cycle” consists of four Q cycles (Q1,  
Q2, Q3 and Q4). The instruction fetch and execute are  
pipelined such that fetch takes one instruction cycle,  
while decode and execute takes another instruction  
cycle. However, due to the pipelining, each instruction  
effectively executes in one cycle. If an instruction  
causes the program counter to change (e.g., GOTO),  
then two cycles are required to complete the instruction  
(Example 5-2).  
The clock input (from OSC1) is internally divided by  
four to generate four non-overlapping quadrature  
clocks, namely Q1, Q2, Q3 and Q4. Internally, the pro-  
gram counter (PC) is incremented every Q1, the  
instruction is fetched from the program memory and  
latched into the Instruction register in Q4. The instruc-  
tion is decoded and executed during the following Q1  
through Q4. The clocks and instruction execution flow  
are shown in Figure 5-4.  
A fetch cycle begins with the program counter (PC)  
incrementing in Q1.  
In the execution cycle, the fetched instruction is latched  
into the “Instruction register” (IR) in cycle Q1. This  
instruction is then decoded and executed during the  
Q2, Q3, and Q4 cycles. Data memory is read during Q2  
(operand read) and written during Q4 (destination  
write).  
FIGURE 5-4:  
CLOCK/INSTRUCTION CYCLE  
Q2  
Q3  
Q4  
Q2  
Q3  
Q4  
Q2  
Q3  
Q4  
Q1  
Q1  
Q1  
OSC1  
Q1  
Q2  
Q3  
Internal  
Phase  
Clock  
Q4  
PC  
PC+2  
PC  
PC+4  
OSC2/CLKO  
(RC mode)  
Execute INST (PC-2)  
Fetch INST (PC)  
Execute INST (PC)  
Fetch INST (PC+2)  
Execute INST (PC+2)  
Fetch INST (PC+4)  
EXAMPLE 5-2:  
INSTRUCTION PIPELINE FLOW  
TCY0  
TCY1  
TCY2  
TCY3  
TCY4  
TCY5  
1. MOVLW 55h  
2. MOVWF PORTB  
3. BRA SUB_1  
Fetch 1  
Execute 1  
Fetch 2  
Execute 2  
Fetch 3  
Execute 3  
Fetch 4  
4. BSF  
PORTA, BIT3 (Forced NOP)  
Flush (NOP)  
5. Instruction @ address SUB_1  
Fetch SUB_1 Execute SUB_1  
All instructions are single cycle, except for any program branches. These take two cycles since the fetch instruction  
is “flushed” from the pipeline, while the new instruction is being fetched and then executed.  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 61  
 
 
 
 
 
 
PIC18F2331/2431/4331/4431  
The CALLand GOTOinstructions have the absolute pro-  
gram memory address embedded into the instruction.  
Since instructions are always stored on word bound-  
aries, the data contained in the instruction is a word  
address. The word address is written to PC<20:1>,  
which accesses the desired byte address in program  
memory. Instruction #2 in Figure 5-5 shows how the  
instruction ‘GOTO 000006h’ is encoded in the program  
memory. Program branch instructions, which encode a  
relative address offset, operate in the same manner.  
The offset value stored in a branch instruction repre-  
sents the number of single-word instructions that the  
PC will be offset by. Section 23.0 “Instruction Set  
Summary” provides further details of the instruction  
set.  
5.7  
Instructions in Program Memory  
The program memory is addressed in bytes. Instruc-  
tions are stored as two bytes or four bytes in program  
memory. The Least Significant Byte of an instruction  
word is always stored in a program memory location  
with an even address (LSB = 0). Figure 5-5 shows an  
example of how instruction words are stored in the pro-  
gram memory. To maintain alignment with instruction  
boundaries, the PC increments in steps of 2 and the  
LSB will always read ‘0’ (see Section 5.4 “PCL,  
PCLATH and PCLATU”).  
FIGURE 5-5:  
INSTRUCTIONS IN PROGRAM MEMORY  
Word Address  
LSB = 1  
LSB = 0  
Program Memory  
Byte Locations →  
000000h  
000002h  
000004h  
000006h  
000008h  
00000Ah  
00000Ch  
00000Eh  
000010h  
000012h  
000014h  
Instruction 1:  
Instruction 2:  
0Fh  
EFh  
F0h  
C1h  
F4h  
55h  
03h  
00h  
23h  
56h  
MOVLW  
GOTO  
055h  
000006h  
Instruction 3:  
MOVFF  
123h, 456h  
the second word of the instruction is executed by itself  
(first word was skipped), it will execute as a NOP. This  
action is necessary when the two-word instruction is  
preceded by a conditional instruction that results in a  
skip operation. A program example that demonstrates  
this concept is shown in Example 5-3. Refer to  
Section 23.0 “Instruction Set Summary” for further  
details of the instruction set.  
5.7.1  
TWO-WORD INSTRUCTIONS  
PIC18F2331/2431/4331/4431 devices have four two-  
word instructions: MOVFF,CALL,GOTOand LFSR. The  
second word of these instructions has the 4 MSBs set  
to ‘1’s and is decoded as a NOPinstruction. The lower  
12 bits of the second word contain data to be used by  
the instruction. If the first word of the instruction is  
executed, the data in the second word is accessed. If  
EXAMPLE 5-3:  
TWO-WORD INSTRUCTIONS  
Source Code  
CASE 1:  
Object Code  
0110 0110 0000 0000 TSTFSZ  
REG1  
; is RAM location 0?  
1100 0001 0010 0011  
1111 0100 0101 0110  
0010 0100 0000 0000  
MOVFF  
ADDWF  
REG1, REG2 ; No, skip this word  
; Execute this word as a NOP  
; continue code  
REG3  
CASE 2:  
Object Code  
Source Code  
TSTFSZ  
0110 0110 0000 0000  
1100 0001 0010 0011  
1111 0100 0101 0110  
0010 0100 0000 0000  
REG1  
; is RAM location 0?  
MOVFF  
REG1, REG2 ; Yes, execute this word  
; 2nd word of instruction  
ADDWF  
REG3  
; continue code  
DS39616B-page 62  
Preliminary  
2003 Microchip Technology Inc.  
 
 
 
 
 
PIC18F2331/2431/4331/4431  
5.8  
Look-up Tables  
5.9  
Data Memory Organization  
Look-up tables are implemented two ways:  
The data memory is implemented as static RAM. Each  
register in the data memory has a 12-bit address,  
allowing up to 4096 bytes of data memory. Figure 5-6  
shows the data memory organization for the  
PIC18F2331/2431/4331/4431 devices.  
• Computed GOTO  
Table Reads  
5.8.1  
COMPUTED GOTO  
The data memory map is divided into as many as 16  
banks that contain 256 bytes each. The lower 4 bits of  
the Bank Select Register (BSR<3:0>) select which  
bank will be accessed. The upper 4 bits for the BSR are  
not implemented.  
A computed GOTOis accomplished by adding an offset  
to the program counter. An example is shown in  
Example 5-4.  
A look-up table can be formed with an ADDWF PCL  
instruction and a group of RETLW 0xnn instructions.  
WREG is loaded with an offset into the table before  
executing a call to that table. The first instruction of the  
called routine is the ADDWF PCLinstruction. The next  
instruction executed will be one of the RETLW 0xnn  
instructions, which returns the value 0xnnto the calling  
function.  
The data memory contains Special Function Registers  
(SFR) and General Purpose Registers (GPR). The  
SFRs are used for control and status of the controller  
and peripheral functions, while GPRs are used for data  
storage and scratch pad operations in the user’s  
application. The SFRs start at the last location of Bank  
15 (FFFh) and extend to F60h. Any remaining space  
beyond the SFRs in the bank may be implemented as  
GPRs. GPRs start at the first location of Bank 0 and  
grow upwards. Any read of an unimplemented location  
will read as ‘0’s.  
The offset value (in WREG) specifies the number of  
bytes that the program counter should advance, and  
should be multiples of 2 (LSB = 0).  
In this method, only one data byte may be stored in  
each instruction location and room on the return  
address stack is required.  
The entire data memory may be accessed directly or  
indirectly. Direct addressing may require the use of the  
BSR register. Indirect addressing requires the use of a  
File Select Register (FSRn) and a corresponding  
Indirect File Operand (INDFn). Each FSR holds a 12-  
bit address value that can be used to access any  
location in the Data Memory map without banking. See  
Section 5.12 “Indirect Addressing, INDF and FSR  
Registers” for indirect addressing details.  
EXAMPLE 5-4:  
COMPUTED GOTO USING  
AN OFFSET VALUE  
MOVFWOFFSET  
CALLTABLE  
ORG 0xnn00  
TABLEADDWFPCL  
RETLW0xnn  
RETLW0xnn  
RETLW0xnn  
.
The instruction set and architecture allow operations  
across all banks. This may be accomplished by indirect  
addressing or by the use of the MOVFFinstruction. The  
MOVFF instruction is a two-word/two-cycle instruction  
that moves a value from one register to another.  
.
.
To ensure that commonly used registers (SFRs and  
select GPRs) can be accessed in a single cycle,  
regardless of the current BSR values, an Access Bank  
is implemented. A segment of Bank 0 and a segment of  
Bank 15 comprise the Access RAM. Section 5.10  
“Access Bank” provides a detailed description of the  
Access RAM.  
5.8.2  
TABLE READS/TABLE WRITES  
A better method of storing data in program memory  
allows two bytes of data to be stored in each instruction  
location.  
Look-up table data may be stored two bytes per pro-  
gram word by using table reads and writes. The table  
pointer (TBLPTR) specifies the byte address and the  
table latch (TABLAT) contains the data that is read  
from, or written to program memory. Data is transferred  
to/from program memory, one byte at a time.  
5.9.1  
GENERAL PURPOSE REGISTER  
FILE  
Enhanced MCU devices may have banked memory in  
the GPR area. GPRs are not initialized by a Power-on  
Reset and are unchanged on all other Resets.  
The Table Read/Table Write operation is discussed  
further in Section 6.1 “Table Reads and Table  
Writes”.  
Data RAM is available for use as GPR registers by all  
instructions. The second half of Bank 15 (F60h to  
FFFh) contains SFRs. All other banks of data memory  
contain GPRs, starting with Bank 0.  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 63  
 
 
 
 
 
 
 
 
PIC18F2331/2431/4331/4431  
FIGURE 5-6:  
DATA MEMORY MAP FOR PIC18F2331/2431/4331/4431 DEVICES  
BSR<3:0>  
Data Memory Map  
000h  
05Fh  
060h  
0FFh  
100h  
00h  
Access RAM  
GPR  
= 0000  
= 0001  
Bank 0  
Bank 1  
Bank 2  
FFh  
00h  
GPR  
GPR  
1FFh  
200h  
FFh  
00h  
= 0010  
FFh  
00h  
2FFh  
300h  
Access Bank  
00h  
Access RAM Low  
5Fh  
60h  
= 0011  
= 1110  
Access RAM High  
(SFRs)  
Bank 3  
to  
Bank 14  
Unused  
Read ‘00h’  
FFh  
When a = 0:  
The BSR is ignored and the  
Access Bank is used.  
The first 96 bytes are  
General Purpose RAM  
(from Bank 0).  
EFFh  
F00h  
F5Fh  
F60h  
FFFh  
00h  
FFh  
Unused  
SFR  
= 1111  
The second 160 bytes are  
Special Function Registers  
(from Bank 15).  
Bank 15  
When a = 1:  
The BSR specifies the bank  
used by the instruction.  
DS39616B-page 64  
Preliminary  
2003 Microchip Technology Inc.  
 
 
PIC18F2331/2431/4331/4431  
“core” are described in this section, while those related  
to the operation of the peripheral features are  
described in the section of that peripheral feature.  
5.9.2  
SPECIAL FUNCTION REGISTERS  
The Special Function Registers (SFRs) are registers  
used by the CPU and Peripheral Modules for control-  
ling the desired operation of the device. These regis-  
ters are implemented as static RAM. A list of these  
registers is given in Table 5-1 and Table 5-2.  
The SFRs are typically distributed among the  
peripherals whose functions they control.  
The unused SFR locations will be unimplemented and  
read as ‘0’s.  
The SFRs can be classified into two sets; those asso-  
ciated with the “core” function and those related to the  
peripheral functions. Those registers related to the  
TABLE 5-1:  
SPECIAL FUNCTION REGISTER MAP FOR PIC18F2331/2431/4331/4431 DEVICES  
Address  
Name  
Address  
Name  
Address  
Name  
Address  
Name  
Address  
Name  
FFFh  
FFEh  
FFDh  
TOSU  
TOSH  
TOSL  
FDFh  
INDF2  
FBFh  
FBEh  
CCPR1H  
CCPR1L  
F9Fh  
F9Eh  
F9Dh  
F9Ch  
IPR1  
PIR1  
PIE1  
F7Fh  
F7Eh  
F7Dh  
F7Ch  
F7Bh  
F7Ah  
F79h  
F78h  
F77h  
F76h  
F75h  
F74h  
F73h  
F72h  
F71h  
F70h  
F6Fh  
F6Eh  
F6Dh  
F6Ch  
F6Bh  
F6Ah  
F69h  
F68h  
F67h  
F66h  
F65h  
F64h  
F63h  
F62h  
F61h  
F60h  
PTCON0  
PTCON1  
PTMRL  
FDEh POSTINC2  
FDDh POSTDEC2  
FDCh PREINC2  
FBDh CCP1CON  
FFCh STKPTR  
FBCh  
FBBh  
CCPR2H  
CCPR2L  
PTMRH  
FFBh  
FFAh  
FF9h  
PCLATU  
PCLATH  
PCL  
FDBh  
FDAh  
FD9h  
FD8h  
FD7h  
FD6h  
FD5h  
FD4h  
PLUSW2  
FSR2H  
FSR2L  
STATUS  
TMR0H  
TMR0L  
T0CON  
F9Bh OSCTUNE  
PTPERL  
FBAh CCP2CON  
F9Ah  
F99h  
F98h  
F97h  
F96h  
F95h  
F94h  
F93h  
F92h  
F91h  
F90h  
F8Fh  
F8Eh  
F8Dh  
F8Ch  
F8Bh  
F8Ah  
F89h  
F88h  
F87h  
F86h  
F85h  
F84h  
F83h  
F82h  
F81h  
F80h  
ADCON3  
ADCHS  
PTPERH  
PDC0L  
FB9h  
FB8h  
FB7h  
FB6h  
FB5h  
FB4h  
FB3h  
FB2h  
FB1h  
FB0h  
FAFh  
FAEh  
FADh  
FACh  
FABh  
FAAh  
FA9h  
FA8h  
FA7h  
FA6h  
FA5h  
FA4h  
FA3h  
FA2h  
FA1h  
FA0h  
ANSEL1  
ANSEL0  
T5CON  
FF8h TBLPTRU  
FF7h TBLPTRH  
FF6h TBLPTRL  
PDC0H  
PDC1L  
QEICON  
TRISE  
TRISD  
TRISC  
TRISB  
TRISA  
PR5H  
PR5L  
PDC1H  
FF5h  
FF4h  
FF3h  
FF2h  
TABLAT  
PRODH  
PRODL  
INTCON  
PDC2L  
PDC2H  
FD3h OSCCON  
FD2h LVDCON  
FD1h WDTCON  
PDC3L  
PDC3H  
FF1h INTCON2  
FF0h INTCON3  
SEVTCMPL  
SEVTCMPH  
PWMCON0  
PWMCON1  
DTCON  
FD0h  
FCFh  
FCEh  
FCDh  
FCCh  
FCBh  
FCAh  
FC9h  
FC8h  
RCON  
TMR1H  
TMR1L  
T1CON  
TMR2  
SPBRGH  
SPBRG  
RCREG  
TXREG  
TXSTA  
RCSTA  
BAUDCTL  
EEADR  
EEDATA  
EECON2  
EECON1  
IPR3  
FEFh  
INDF0  
FEEh POSTINC0  
FEDh POSTDEC0  
FECh PREINC0  
LATE  
LATD  
LATC  
LATB  
FLTCONFIG  
OVDCOND  
OVDCONS  
CAP1BUFH  
CAP1BUFL  
CAP2BUFH  
CAP2BUFL  
CAP3BUFH  
CAP3BUFL  
CAP1CON  
CAP2CON  
CAP3CON  
DFLTCON  
FEBh  
FEAh  
FE9h  
FE8h  
FE7h  
PLUSW0  
FSR0H  
FSR0L  
WREG  
INDF1  
PR2  
T2CON  
SSPBUF  
SSPADD  
LATA  
TMR5H  
TMR5L  
FC7h SSPSTAT  
FC6h SSPCON  
FE6h POSTINC1  
FE5h POSTDEC1  
FE4h PREINC1  
FC5h  
FC4h  
FC3h  
FC2h  
FC1h  
FC0h  
ADRESH  
ADRESL  
ADCON0  
ADCON1  
ADCON2  
PIR3  
PORTE  
PORTD  
PORTC  
PORTB  
PORTA  
FE3h  
FE2h  
FE1h  
FE0h  
PLUSW1  
FSR1H  
FSR1L  
BSR  
PIE3  
IPR2  
PIR2  
PIE2  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 65  
 
 
 
PIC18F2331/2431/4331/4431  
TABLE 5-2:  
File Name  
TOSU  
REGISTER FILE SUMMARY (PIC18F2331/2431/4331/4431)  
Value on  
POR, BOR  
Details on  
page:  
Bit 7  
Bit 6  
Bit 5  
Bit 4  
Bit 3  
Bit 2  
Bit 1  
Bit 0  
Top-of-Stack Upper Byte (TOS<20:16>)  
---0 0000  
0000 0000  
0000 0000  
00-0 0000  
---0 0000  
0000 0000  
0000 0000  
--00 0000  
0000 0000  
0000 0000  
0000 0000  
xxxx xxxx  
xxxx xxxx  
0000 000x  
48, 58  
48, 58  
48, 58  
48, 59  
48, 60  
48, 60  
48, 60  
48, 78  
48, 78  
48, 78  
48, 78  
48, 89  
48, 89  
48, 93  
TOSH  
Top-of-Stack High Byte (TOS<15:8>)  
Top-of-Stack Low Byte (TOS<7:0>)  
TOSL  
STKPTR  
PCLATU  
PCLATH  
PCL  
STKFUL  
STKUNF  
bit 21(3)  
Return Stack Pointer  
Holding register for PC<20:16>  
Holding register for PC<15:8>  
PC Low Byte (PC<7:0>)  
TBLPTRU  
TBLPTRH  
TBLPTRL  
TABLAT  
PRODH  
PRODL  
INTCON  
bit 21(3)  
Program Memory Table Pointer Upper Byte (TBLPTR<20:16>)  
Program Memory Table Pointer High Byte (TBLPTR<15:8>)  
Program Memory Table Pointer Low Byte (TBLPTR<7:0>)  
Program Memory Table Latch  
Product register High Byte  
Product register Low Byte  
GIE/GIEH PEIE/GIEL  
TMR0IE  
INT0IE  
RBIE  
TMR0IF  
INT0F  
RBIF  
INTCON2  
INTCON3  
INDF0  
RBPU  
INT2P  
INTEDG0  
INT1P  
INTEDG1  
INTEDG2  
INT2IE  
TMR0IP  
RBIP  
1111 -1-1  
11-0 0-00  
N/A  
48, 94  
48, 95  
48, 71  
48, 71  
48, 71  
48, 71  
48, 71  
48, 71  
48, 71  
48  
INT1IE  
INT2IF  
INT1IF  
Uses contents of FSR0 to address data memory – value of FSR0 not changed (not a physical register)  
Uses contents of FSR0 to address data memory – value of FSR0 post-incremented (not a physical register)  
POSTINC0  
N/A  
POSTDEC0 Uses contents of FSR0 to address data memory – value of FSR0 post-decremented (not a physical register)  
N/A  
PREINC0  
PLUSW0  
FSR0H  
Uses contents of FSR0 to address data memory – value of FSR0 pre-incremented (not a physical register)  
Uses contents of FSR0 to address data memory – value of FSR0 offset by W (not a physical register)  
N/A  
N/A  
Indirect Data Memory Address Pointer 0 High  
---- 0000  
xxxx xxxx  
xxxx xxxx  
N/A  
FSR0L  
Indirect Data Memory Address Pointer 0 Low Byte  
Working register  
WREG  
INDF1  
Uses contents of FSR1 to address data memory – value of FSR1 not changed (not a physical register)  
Uses contents of FSR1 to address data memory – value of FSR1 post-incremented (not a physical register)  
48, 71  
48, 71  
48, 71  
48, 71  
48, 71  
49, 71  
49, 71  
49, 70  
49, 71  
49, 71  
49, 71  
49, 71  
49, 71  
49, 71  
49, 71  
49, 73  
49, 135  
49, 135  
49, 133  
POSTINC1  
N/A  
POSTDEC1 Uses contents of FSR1 to address data memory – value of FSR1 post-decremented (not a physical register)  
N/A  
PREINC1  
PLUSW1  
FSR1H  
FSR1L  
Uses contents of FSR1 to address data memory – value of FSR1 pre-incremented (not a physical register)  
Uses contents of FSR1 to address data memory – value of FSR1 offset by W (not a physical register)  
N/A  
N/A  
Indirect Data Memory Address Pointer 1 High  
---- 0000  
xxxx xxxx  
---- 0000  
N/A  
Indirect Data Memory Address Pointer 1 Low Byte  
BSR  
Bank Select Register  
INDF2  
Uses contents of FSR2 to address data memory – value of FSR2 not changed (not a physical register)  
Uses contents of FSR2 to address data memory – value of FSR2 post-incremented (not a physical register)  
POSTINC2  
N/A  
POSTDEC2 Uses contents of FSR2 to address data memory – value of FSR2 post-decremented (not a physical register)  
N/A  
PREINC2  
PLUSW2  
FSR2H  
FSR2L  
Uses contents of FSR2 to address data memory – value of FSR2 pre-incremented (not a physical register)  
Uses contents of FSR2 to address data memory – value of FSR2 offset by W (not a physical register)  
N/A  
N/A  
Indirect Data Memory Address Pointer 2 High  
---- 0000  
xxxx xxxx  
---x xxxx  
0000 0000  
xxxx xxxx  
11-- 1111  
Indirect Data Memory Address Pointer 2 Low Byte  
STATUS  
TMR0H  
TMR0L  
T0CON  
N
OV  
Z
DC  
C
Timer0 register High Byte  
Timer0 register Low Byte  
TMR0ON  
T016BIT  
T0PS3  
T0PS2  
T0PS1  
T0PS0  
Legend:  
Note 1:  
x= unknown, u= unchanged, – = unimplemented, q= value depends on condition  
RA6 and associated bits are configured as port pins in RCIO, ECIO and INTIO2 (with port function on RA6) Oscillator mode only, and read  
0’ in all other oscillator modes.  
2:  
3:  
4:  
RA7 and associated bits are configured as port pins in INTIO2 Oscillator mode only and read ‘0’ in all other modes.  
Bit 21 of the PC is only available in Test mode and serial programming modes.  
If PBADEN = 0, PORTB<4:0> are configured as digital input and read unknown, and if PBADEN = 1, PORTB<4:0> are configured as  
analog input and read ‘0’ following a Reset.  
5:  
6:  
These registers and/or bits are not implemented on the PIC18F2X31 devices, and read as ‘0’.  
The RE3 port bit is only available when MCLRE fuse (CONFIG3H<7>) is programmed to ‘0’. Otherwise, RE3 reads ‘0’. This bit is read-only.  
DS39616B-page 66  
Preliminary  
2003 Microchip Technology Inc.  
 
PIC18F2331/2431/4331/4431  
TABLE 5-2:  
REGISTER FILE SUMMARY (PIC18F2331/2431/4331/4431) (CONTINUED)  
Value on  
POR, BOR  
Details on  
page:  
File Name  
Bit 7  
Bit 6  
Bit 5  
Bit 4  
Bit 3  
Bit 2  
Bit 1  
Bit 0  
OSCCON  
LVDCON  
WDTCON  
IDLEN  
IRCF2  
IRCF1  
IVRST  
IRCF0  
OSTS  
LVDL3  
IOFS  
SCS1  
SCS0  
0000 q000  
--00 0101  
28, 49  
49, 263  
49, 279  
LVDEN  
LVDL2  
LVDL1  
LVDL0  
WDTW  
SWDTEN 0000 0000  
RI  
RCON  
IPEN  
TO  
PD  
POR  
BOR  
0--1 11qq 47, 74, 105  
TMR1H  
TMR1L  
Timer1 register High Byte  
Timer1 register Low Byte  
xxxx xxxx  
xxxx xxxx  
49, 141  
49, 141  
T1CON  
TMR2  
RD16  
T1RUN  
T1CKPS1  
T1CKPS0 T1OSCEN  
T1SYNC  
TMR2ON  
TMR1CS  
T2CKPS1  
TMR1ON  
0000 0000  
0000 0000  
1111 1111  
49, 137  
49, 143  
49, 143  
49, 143  
49, 220  
49, 220  
Timer2 register  
PR2  
Timer2 Period register  
TOUTPS3 TOUTPS2 TOUTPS1 TOUTPS0  
SSP Receive Buffer/Transmit register  
SSP Address register in I2C Slave mode. SSP Baud Rate Reload register in I2C Master mode.  
T2CON  
SSPBUF  
SSPADD  
T2CKPS0 -000 0000  
xxxx xxxx  
0000 0000  
SSPSTAT  
SSPCON  
ADRESH  
ADRESL  
SMP  
CKE  
D/A  
P
S
R/W  
UA  
BF  
0000 0000  
0000 0000  
xxxx xxxx  
xxxx xxxx  
49, 212  
49, 213  
50, 259  
50, 259  
WCOL  
SSPOV  
SSPEN  
CKP  
SSPM3  
SSPM2  
SSPM1  
SSPM0  
A/D Result register High Byte  
A/D Result register Low Byte  
ADCON0  
ADCON1  
ACONV  
ACSCH  
FIFOEN  
ACMOD1  
BFEMT  
ACMOD0  
FFOVFL  
GO/DONE  
ADPNT1  
ADON  
--00 0000  
00-0 1000  
50, 244  
50, 245  
VCFG1  
VCFG0  
ADPNT0  
50, 246  
ADCON2  
ADCON3  
ADCSH  
ADFM  
ADRS1  
GDSEL1  
ACQT3  
ADRS0  
GDSEL0  
ACQT2  
ACQT1  
SSRC4  
GBSEL0  
ACQT0  
SSRC3  
GCSEL1  
ADCS2  
SSRC2  
GCSEL0  
ADCS1  
SSRC1  
GASEL1  
ADCS0  
SSRC0  
GASEL0  
0000 0000  
00-0 0000  
0000 0000  
xxxx xxxx  
xxxx xxxx  
0000 0000  
51. 247  
51, 248  
50, 152  
50, 152  
GBSEL1  
CCPR1H  
CCPR1L  
CCP1CON  
Capture/Compare/PWM register1 High Byte  
Capture/Compare/PWM register1 Low Byte  
DC1B1  
DC1B0  
CCP1M3  
CCP1M2  
CCP1M1  
CCP1M0  
50, 155,  
149  
CCPR2H  
CCPR2L  
CCP2CON  
Capture/Compare/PWM register2 High Byte  
Capture/Compare/PWM register2 Low Byte  
xxxx xxxx  
xxxx xxxx  
--00 0000  
50, 152  
50, 152  
50, 155  
50, 249  
DC2B1  
DC2B0  
CCP2M3  
CCP2M2  
CCP2M1  
CCP2M0  
ANS8  
ANSEL1  
---- ---1  
1111 1111  
0100 0000  
0000 0000  
ANS7(6)  
T5SEN  
ANS6(6)  
RESEN(5)  
ERROR  
ANS5(6)  
T5MOD  
UP/DOWN  
ANS4  
T5PS1  
QEIM2  
ANS3  
T5PS0  
QEIM1  
ANS2  
ANS1  
ANS0  
50, 249  
50, 145  
50, 171  
50, 225  
50, 225  
ANSEL0  
T5CON  
T5SYNC  
QEIM0  
TMR5CS  
PDEC1  
TMR5ON  
PDEC0  
QEICON  
VELM  
SPBRGH  
SPBRG  
RCREG  
Baud Rate Generator register, High Byte  
USART Baud Rate Generator  
USART Receive register  
0000 0000  
0000 0000  
0000 0000  
50, 233,  
232  
TXREG  
USART Transmit register  
0000 0000  
50, 230,  
232  
TXSTA  
CSRC  
SPEN  
TX9  
RX9  
TXEN  
SREN  
SYNC  
CREN  
SCKP  
BRGH  
FERR  
TRMT  
OERR  
WUE  
TX9D  
RX9D  
0000 -010  
0000 000x  
-1-1 0-00  
50, 222  
50, 223  
50, 224  
RCSTA  
ADEN  
BRG16  
BAUDCTL  
RCIDL  
ABDEN  
Legend:  
Note 1:  
x= unknown, u= unchanged, – = unimplemented, q= value depends on condition  
RA6 and associated bits are configured as port pins in RCIO, ECIO and INTIO2 (with port function on RA6) Oscillator mode only, and read  
0’ in all other oscillator modes.  
2:  
3:  
4:  
RA7 and associated bits are configured as port pins in INTIO2 Oscillator mode only and read ‘0’ in all other modes.  
Bit 21 of the PC is only available in Test mode and serial programming modes.  
If PBADEN = 0, PORTB<4:0> are configured as digital input and read unknown, and if PBADEN = 1, PORTB<4:0> are configured as  
analog input and read ‘0’ following a Reset.  
5:  
6:  
These registers and/or bits are not implemented on the PIC18F2X31 devices, and read as ‘0’.  
The RE3 port bit is only available when MCLRE fuse (CONFIG3H<7>) is programmed to ‘0’. Otherwise, RE3 reads ‘0’. This bit is read-only.  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 67  
PIC18F2331/2431/4331/4431  
TABLE 5-2:  
REGISTER FILE SUMMARY (PIC18F2331/2431/4331/4431) (CONTINUED)  
Value on  
POR, BOR  
Details on  
page:  
File Name  
Bit 7  
Bit 6  
Bit 5  
Bit 4  
Bit 3  
Bit 2  
Bit 1  
Bit 0  
EEADR  
EEPROM Address register  
EEPROM Data register  
0000 0000  
0000 0000  
50, 85  
50, 88  
EEDATA  
EECON2  
EECON1  
EEPROM Control register2 (not a physical register)  
0000 0000 50, 76, 85  
xx-0 x000 50, 77, 86  
EEPGD  
CFGS  
FREE  
PTIP  
WRERR  
WREN  
WR  
RD  
50  
IC3DRIP IC2QEIP  
IC3DRIF IC2QEIF  
IC3DRIE IC2QEIE  
IC1IP  
TMR5IP  
IPR3  
PIR3  
---1 1111  
50  
PTIF  
PTIE  
IC1IF  
IC1IE  
TMR5IF  
TMR5IE  
---0 0000  
50  
PIE3  
IPR2  
PIR2  
PIE2  
---0 0000  
OSFIP  
OSFIF  
OSFIE  
EEIP  
EEIF  
EEIE  
LVDIP  
LVDIF  
LVDIE  
CCP2IP  
CCP2IF  
CCP2IE  
1--1 -1-1  
0--0 -0-0  
0--0 -0-0  
51, 103  
51, 97  
51, 100  
IPR1  
PIR1  
ADIP  
ADIF  
RCIP  
RCIF  
TXIP  
TXIF  
SSPIP  
SSPIF  
CCP1IP  
CCP1IF  
TMR2IP  
TMR2IF  
TMR1IP  
TMR1IF  
-111 1111  
-000 0000  
51, 102  
51, 96  
PIE1  
ADIE  
RCIE  
TUN5  
TXIE  
SSPIE  
TUN3  
CCP1IE  
TUN2  
TMR2IE  
TUN1  
TMR1IE  
TUN0  
-000 0000  
--00 0000  
51, 99  
25, 51  
50  
OSCTUNE  
TUN4  
ADCON3  
ADCHS  
ADRS1  
ADRS0  
SSRC4  
SSRC3  
GCSEL1  
SSRC2  
SSRC1  
SSRC0  
00-0 0000  
0000 0000  
---- -111  
50  
GDSEL1  
GDSEL0  
GBSEL1  
GBSEL0  
GCSEL0  
GASEL1  
GASEL0  
TRISE(5)  
Data Direction bits for PORTE(5)  
51, 131  
TRISD(5)  
TRISC  
Data Direction Control register for PORTD  
Data Direction Control register for PORTC  
Data Direction Control register for PORTB  
1111 1111  
1111 1111  
1111 1111  
51, 128  
51, 123  
51, 117  
TRISB  
TRISA  
PR5H  
PR5L  
TRISA7(2)  
TRISA6(1) Data Direction Control register for PORTA  
1111 1111  
1111 1111  
1111 1111  
---- -xxx  
51, 111  
50  
Timer5 Period register High Byte  
Timer5 Period register Low Byte  
50  
LATE(5)  
Read/Write PORTE Data Latch  
51, 132  
LATD(5)  
LATC  
Read/Write PORTD Data Latch  
Read/Write PORTC Data Latch  
Read/Write PORTB Data Latch  
xxxx xxxx  
xxxx xxxx  
xxxx xxxx  
51, 128  
51, 123  
51, 117  
LATB  
LATA  
LATA<7>(2) LATA<6>(1) Read/Write PORTA Data Latch  
Timer5 Timer register High Byte  
xxxx xxxx  
xxxx xxxx  
xxxx xxxx  
---- xxxx  
51, 111  
146  
TMR5H  
TMR5L  
PORTE  
Timer5 Timer register Low Byte  
146  
RE3(6)  
Read PORTE pins,  
51, 132  
Write PORTE Data Latch(5)  
PORTD  
PORTC  
Read PORTD pins, Write PORTD Data Latch  
Read PORTC pins, Write PORTC Data Latch  
xxxx xxxx  
xxxx xxxx  
51, 128  
51, 123  
PORTB  
PORTA  
Read PORTB pins, Write PORTB Data Latch(4)  
xxxx xxxx  
xx0x 0000  
51, 117  
51, 111  
52, 186  
52, 186  
184  
RA7(2)  
RA6(1)  
Read PORTA pins, Write PORTA Data Latch  
PTCON0  
PTCON1  
PTOPS3  
PTEN  
PTOPS2  
PTDIR  
PTOPS1  
PTOPS0  
PTCKPS1 PTCKPS0  
PTMOD1  
PTMOD0  
0000 0000  
00-- ----  
PTMRL  
PTMRH  
PWM Time Base register (lower 8 bits).  
0000 0000  
---- 0000  
UNUSED  
PWM Time Base register (Upper 4 bits)  
184  
Legend:  
Note 1:  
x= unknown, u= unchanged, – = unimplemented, q= value depends on condition  
RA6 and associated bits are configured as port pins in RCIO, ECIO and INTIO2 (with port function on RA6) Oscillator mode only, and read  
0’ in all other oscillator modes.  
2:  
3:  
4:  
RA7 and associated bits are configured as port pins in INTIO2 Oscillator mode only and read ‘0’ in all other modes.  
Bit 21 of the PC is only available in Test mode and serial programming modes.  
If PBADEN = 0, PORTB<4:0> are configured as digital input and read unknown, and if PBADEN = 1, PORTB<4:0> are configured as  
analog input and read ‘0’ following a Reset.  
5:  
6:  
These registers and/or bits are not implemented on the PIC18F2X31 devices, and read as ‘0’.  
The RE3 port bit is only available when MCLRE fuse (CONFIG3H<7>) is programmed to ‘0’. Otherwise, RE3 reads ‘0’. This bit is read-only.  
DS39616B-page 68  
Preliminary  
2003 Microchip Technology Inc.  
 
PIC18F2331/2431/4331/4431  
TABLE 5-2:  
REGISTER FILE SUMMARY (PIC18F2331/2431/4331/4431) (CONTINUED)  
Value on  
POR, BOR  
Details on  
page:  
File Name  
Bit 7  
Bit 6  
Bit 5  
Bit 4  
Bit 3  
Bit 2  
Bit 1  
Bit 0  
PTPERL  
PTPERH  
PDC0L  
PDC0H  
PDC1L  
PDC1H  
PDC2L  
PDC2H  
PDC3L  
PDC3H  
PWM Time Base Period register (Lower 8 bits).  
UNUSED  
1111 1111  
---- 1111  
--00 0000  
0000 0000  
0000 0000  
--00 0000  
0000 0000  
--00 0000  
0000 0000  
--00 0000  
0000 0000  
---- 0000  
-101 0000  
0000 0-00  
0000 0000  
-000 0000  
1111 1111  
0000 0000  
184  
184  
PWM Time Base Period register (Upper 4 bits)  
PWM Duty Cycle #0L register (Lower 8 bits)  
UNUSED  
184  
PWM Duty Cycle #0H register (Upper 6 bits)  
184  
PWM Duty Cycle #1L register (Lower 8 bits)  
UNUSED  
184  
PWM Duty Cycle #1H register (Upper 6 bits)  
PWM Duty Cycle #2H register (Upper 6 bits)  
PWM Duty Cycle #3H register (Upper 6 bits)  
184  
PWM Duty Cycle #2L register (Lower 8 bits)  
UNUSED  
184  
184  
PWM Duty Cycle #3L register (Lower 8 bits)  
UNUSED  
184  
184  
SEVTCMPL PWM Special Event Compare register (Lower 8 bits)  
N/A  
SEVTCMPH  
PWMCON0  
PWMCON1  
DTCON  
UNUSED  
PWMEN2 PWMEN1  
SEVOPS3 SEVOPS2 SEVOPS1 SEVOPS0  
PWM Special Event Compare reg (Upper 4 bits)  
N/A  
PWMEN0  
PMOD3  
SEVTDIR  
DT3  
PMOD2  
PMOD1  
UDIS  
PMOD0  
OSYNC  
DT0  
52, 187  
52, 188  
52, 200  
52, 208  
52, 203  
52, 204  
52,  
DTPS1  
DTPS0  
FLTBS  
POVD6  
POUT6  
DT5  
DT4  
DT2  
DT1  
FLTCONFIG  
OVDCOND  
OVDCONS  
FLTBMOD  
POVD5  
POUT5  
FLTBEN  
POVD4  
POUT4  
FLTCON  
POVD3  
POUT3  
FLTAS  
POVD2  
POUT2  
FLTAMOD  
POVD1  
POUT1  
FLTAEN  
POVD0  
POUT0  
POVD7  
POUT7  
CAP1BUFH/ Capture 1 register, High Byte/  
VELRH Velocity register, High Byte  
xxxx xxxx  
xxxx xxxx  
xxxx xxxx  
xxxx xxxx  
xxxx xxxx  
xxxx xxxx  
CAP1BUFL/ Capture 1 register Low Byte/  
VELRL Velocity register, Low Byte  
52  
52  
52  
53  
53  
CAP2BUFH/ Capture 2 register, High Byte/  
POSCNTH  
QEI Position Counter register, High Byte  
CAP2BUFL/ Capture 2 Reg., Low Byte/  
POSCNTL  
QEI Position Counter register, Low Byte  
CAP3BUFH/ Capture 3 Reg., High Byte/  
MAXCNTH  
QEI Max. Count Limit register, High Byte  
CAP3BUFL/ Capture 3 Reg., Low Byte/  
MAXCNTL  
QEI Max. Count Limit register, Low Byte  
CAP1CON  
CAP1REN  
CAP2REN  
CAP3REN  
FLT4EN  
CAP1M3  
CAP2M3  
CAP3M3  
FLT1EN  
CAP1M2  
CAP2M2  
CAP3M2  
FLTCK2  
CAP1M1  
CAP2M1  
CAP3M1  
FLTCK1  
CAP1M0 -0-0 0000  
CAP2M0 -0-0 0000  
CAP3M0 -0-0 0000  
FLTCK0 -000 0000  
53, 163  
53, 163  
53, 163  
53, 178  
CAP2CON  
CAP3CON  
DFLTCON  
FLT3EN  
FLT2EN  
Legend:  
Note 1:  
x= unknown, u= unchanged, – = unimplemented, q= value depends on condition  
RA6 and associated bits are configured as port pins in RCIO, ECIO and INTIO2 (with port function on RA6) Oscillator mode only, and read  
0’ in all other oscillator modes.  
2:  
3:  
4:  
RA7 and associated bits are configured as port pins in INTIO2 Oscillator mode only and read ‘0’ in all other modes.  
Bit 21 of the PC is only available in Test mode and serial programming modes.  
If PBADEN = 0, PORTB<4:0> are configured as digital input and read unknown, and if PBADEN = 1, PORTB<4:0> are configured as  
analog input and read ‘0’ following a Reset.  
5:  
6:  
These registers and/or bits are not implemented on the PIC18F2X31 devices, and read as ‘0’.  
The RE3 port bit is only available when MCLRE fuse (CONFIG3H<7>) is programmed to ‘0’. Otherwise, RE3 reads ‘0’. This bit is read-only.  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 69  
PIC18F2331/2431/4331/4431  
5.10  
Access Bank  
5.11 Bank Select Register (BSR)  
The Access Bank is an architectural enhancement  
which is very useful for C compiler code optimization.  
The techniques used by the C compiler may also be  
useful for programs written in assembly.  
The need for a large general purpose memory space  
dictates a RAM banking scheme. The data memory is  
partitioned into as many as sixteen banks. When using  
direct addressing, the BSR should be configured for the  
desired bank.  
This data memory region can be used for:  
BSR<3:0> holds the upper 4 bits of the 12-bit RAM  
address. The BSR<7:4> bits will always read ‘0’s, and  
writes will have no effect (see Figure 5-7).  
• Intermediate computational values  
• Local variables of subroutines  
• Faster context saving/switching of variables  
• Common variables  
A
MOVLB instruction has been provided in the  
instruction set to assist in selecting banks.  
• Faster evaluation/control of SFRs (no banking)  
If the currently selected bank is not implemented, any  
read will return all ‘0’s and all writes are ignored. The  
Status register bits will be set/cleared as appropriate for  
the instruction performed.  
The Access Bank is comprised of the last 128 bytes in  
Bank 15 (SFRs) and the first 128 bytes in Bank 0.  
These two sections will be referred to as Access RAM  
High and Access RAM Low, respectively. Figure 5-6  
indicates the Access RAM areas.  
Each Bank extends up to FFh (256 bytes). All data  
memory is implemented as static RAM.  
A bit in the instruction word specifies if the operation is  
to occur in the bank specified by the BSR register or in  
the Access Bank. This bit is denoted as the ‘a’ bit (for  
access bit).  
A MOVFFinstruction ignores the BSR, since the 12-bit  
addresses are embedded into the instruction word.  
Section 5.12 “Indirect Addressing, INDF and FSR  
Registers” provides a description of indirect address-  
ing, which allows linear addressing of the entire RAM  
space.  
When forced in the Access Bank (a = 0), the last  
address in Access RAM Low is followed by the first  
address in Access RAM High. Access RAM High maps  
the Special Function Registers, so these registers can  
be accessed without any software overhead. This is  
useful for testing status flags and modifying control bits.  
FIGURE 5-7:  
DIRECT ADDRESSING  
Direct Addressing  
(3)  
From Opcode  
BSR<7:4>  
BSR<3:0>  
7
0
0
0
0
0
(2)  
(3)  
Bank Select  
Location Select  
00h  
01h  
100h  
0Eh  
E00h  
0Fh  
F00h  
000h  
Data  
Memory(1)  
0FFh  
1FFh  
EFFh  
FFFh  
Bank 0  
Bank 1  
Bank 14 Bank 15  
Note 1: For register file map detail, see Table 5-1.  
2: The access bit of the instruction can be used to force an override of the selected bank (BSR<3:0>) to the  
registers of the Access Bank.  
3: The MOVFFinstruction embeds the entire 12-bit address in the instruction.  
DS39616B-page 70  
Preliminary  
2003 Microchip Technology Inc.  
 
 
 
PIC18F2331/2431/4331/4431  
If INDF0, INDF1 or INDF2 are read indirectly via a FSR,  
5.12 Indirect Addressing, INDF and  
all ‘0’s are read (zero bit is set). Similarly, if INDF0,  
INDF1 or INDF2 are written to indirectly, the operation  
will be equivalent to a NOP instruction and the Status  
bits are not affected.  
FSR Registers  
Indirect addressing is a mode of addressing data mem-  
ory, where the data memory address in the instruction  
is not fixed. An FSR register is used as a pointer to the  
data memory location that is to be read or written. Since  
this pointer is in RAM, the contents can be modified by  
the program. This can be useful for data tables in the  
data memory and for software stacks. Figure 5-8  
shows how the fetched instruction is modified prior to  
being executed.  
5.12.1  
INDIRECT ADDRESSING  
OPERATION  
Each FSR register has an INDF register associated  
with it, plus four additional register addresses. Perform-  
ing an operation using one of these five registers deter-  
mines how the FSR will be modified during indirect  
addressing.  
Indirect addressing is possible by using one of the  
INDF registers. Any instruction using the INDF register  
actually accesses the register pointed to by the File  
Select Register, FSR. Reading the INDF register itself,  
indirectly (FSR = 0), will read 00h. Writing to the INDF  
register indirectly, results in a no operation. The FSR  
register contains a 12-bit address, which is shown in  
Figure 5-9.  
When data access is performed using one of the five  
INDFn locations, the address selected will configure  
the FSRn register to:  
• Do nothing to FSRn after an indirect access (no  
change) – INDFn  
• Auto-decrement FSRn after an indirect access  
(post-decrement) – POSTDECn  
The INDFn register is not a physical register. Address-  
ing INDFn actually addresses the register whose  
address is contained in the FSRn register (FSRn is a  
pointer). This is indirect addressing.  
• Auto-increment FSRn after an indirect access  
(post-increment) – POSTINCn  
• Auto-increment FSRn before an indirect access  
(pre-increment) – PREINCn  
Example 5-5 shows a simple use of indirect addressing  
to clear the RAM in Bank 1 (locations 100h-1FFh) in a  
minimum number of instructions.  
• Use the value in the WREG register as an offset  
to FSRn. Do not modify the value of the WREG or  
the FSRn register after an indirect access (no  
change) – PLUSWn  
EXAMPLE 5-5:  
HOW TO CLEAR RAM  
(BANK 1) USING  
When using the auto-increment or auto-decrement fea-  
tures, the effect on the FSR is not reflected in the Status  
register. For example, if the indirect address causes the  
FSR to equal ‘0’, the Z bit will not be set.  
INDIRECT ADDRESSING  
LFSR  
FSR0, 0x100;  
NEXT  
CLRF  
POSTINC0  
; Clear INDF  
; register then  
; inc pointer  
; All done with  
; Bank1?  
; NO, clear next  
; YES, continue  
Auto-incrementing or auto-decrementing a FSR affects  
all 12 bits. That is, when FSRnL overflows from an  
increment, FSRnH will be incremented automatically.  
BTFSS  
GOTO  
FSR0H, 1  
NEXT  
Adding these features allows the FSRn to be used as a  
stack pointer, in addition to its uses for table operations  
in data memory.  
CONTINUE  
There are three indirect addressing registers. To  
address the entire data memory space (4096 bytes),  
these registers are 12-bits wide. To store the 12 bits of  
addressing information, two 8-bit registers are  
required:  
Each FSR has an address associated with it that per-  
forms an indexed indirect access. When a data access  
to this INDFn location (PLUSWn) occurs, the FSRn is  
configured to add the signed value in the WREG regis-  
ter and the value in FSR to form the address before an  
indirect access. The FSR value is not changed. The  
WREG offset range is -128 to +127.  
1. FSR0: composed of FSR0H:FSR0L  
2. FSR1: composed of FSR1H:FSR1L  
3. FSR2: composed of FSR2H:FSR2L  
If an FSR register contains a value that points to one of  
the INDFn, an indirect read will read 00h (zero bit is  
set), while an indirect write will be equivalent to a NOP  
(Status bits are not affected).  
In addition, there are registers INDF0, INDF1 and  
INDF2, which are not physically implemented. Reading  
or writing to these registers activates indirect address-  
ing, with the value in the corresponding FSR register  
being the address of the data. If an instruction writes a  
value to INDF0, the value will be written to the address  
pointed to by FSR0H:FSR0L. A read from INDF1 reads  
the data from the address pointed to by  
FSR1H:FSR1L. INDFn can be used in code anywhere  
an operand can be used.  
If an indirect addressing write is performed when the  
target address is an FSRnH or FSRnL register, the data  
is written to the FSR register, but no pre- or post-  
increment/decrement is performed.  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 71  
 
 
 
 
 
PIC18F2331/2431/4331/4431  
FIGURE 5-8:  
INDIRECT ADDRESSING OPERATION  
0h  
RAM  
Instruction  
Executed  
Opcode  
Address  
12  
FFFh  
File Address = access of an indirect addressing register  
BSR<3:0>  
12  
12  
Instruction  
Fetched  
4
8
Opcode  
File  
FSR  
FIGURE 5-9:  
INDIRECT ADDRESSING  
Indirect Addressing  
FSRnH:FSRnL  
3
0
7
0
0
11  
Location Select  
0000h  
Data  
Memory(1)  
0FFFh  
Note 1: For register file map detail, see Table 5-1.  
DS39616B-page 72  
Preliminary  
2003 Microchip Technology Inc.  
 
PIC18F2331/2431/4331/4431  
For example, CLRF STATUSwill clear the upper three  
bits and set the Z bit. This leaves the Status register as  
5.13 Status Register  
The Status register, shown in Register 5-2, contains the  
arithmetic status of the ALU. The Status register can be  
the operand for any instruction, as with any other reg-  
ister. If the Status register is the destination for an  
instruction that affects the Z, DC, C, OV or N bits, then  
the write to these five bits is disabled. These bits are set  
or cleared according to the device logic. Therefore, the  
result of an instruction with the Status register as desti-  
nation may be different than intended.  
000u u1uu(where u= unchanged).  
It is recommended, therefore, that only BCF, BSF,  
SWAPF, MOVFF and MOVWF instructions are used to  
alter the Status register, because these instructions do  
not affect the Z, C, DC, OV or N bits in the Status reg-  
ister. For other instructions not affecting any status bits,  
see Table 23-2.  
Note:  
The C and DC bits operate as a borrow  
and digit borrow bit respectively, in sub-  
traction.  
REGISTER 5-2:  
STATUS REGISTER  
U-0  
U-0  
U-0  
R/W-x  
R/W-x  
OV  
R/W-x  
Z
R/W-x  
DC  
R/W-x  
C
N
bit 7  
bit 0  
bit 7-5  
bit 4  
Unimplemented: Read as ‘0’  
N: Negative bit  
This bit is used for signed arithmetic (2’s complement). It indicates whether the result was  
negative (ALU MSB = 1).  
1= Result was negative  
0= Result was positive  
bit 3  
OV: Overflow bit  
This bit is used for signed arithmetic (2’s complement). It indicates an overflow of the  
7-bit magnitude, which causes the sign bit (bit7) to change state.  
1= Overflow occurred for signed arithmetic (in this arithmetic operation)  
0= No overflow occurred  
bit 2  
bit 1  
Z: Zero bit  
1= The result of an arithmetic or logic operation is zero  
0= The result of an arithmetic or logic operation is not zero  
DC: Digit carry/borrow bit  
For ADDWF, ADDLW, SUBLWand SUBWFinstructions  
1= A carry-out from the 4th low order bit of the result occurred  
0= No carry-out from the 4th low order bit of the result  
Note:  
For borrow, the polarity is reversed. A subtraction is executed by adding the  
2’s complement of the second operand. For rotate (RRF, RLF) instructions, this  
bit is loaded with either the bit 4 or bit 3 of the source register.  
bit 0  
C: Carry/borrow bit  
For ADDWF, ADDLW, SUBLWand SUBWFinstructions  
1= A carry-out from the Most Significant bit of the result occurred  
0= No carry-out from the Most Significant bit of the result occurred  
Note:  
For borrow, the polarity is reversed. A subtraction is executed by adding the  
2’s complement of the second operand. For rotate (RRF, RLF) instructions, this  
bit is loaded with either the high- or low-order bit of the source register.  
Legend:  
R = Readable bit  
W = Writable bit  
‘1’ = Bit is set  
U = Unimplemented bit, read as ‘0’  
‘0’ = Bit is cleared x = Bit is unknown  
- n = Value at POR  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 73  
 
 
PIC18F2331/2431/4331/4431  
5.14 RCON Register  
Note 1: If the BOREN configuration bit is set  
(Brown-out Reset enabled), the BOR bit  
is ‘1’ on a Power-on Reset. After a Brown-  
out Reset has occurred, the BOR bit will  
be cleared and must be set by firmware to  
indicate the occurrence of the next  
Brown-out Reset.  
The Reset Control (RCON) register contains flag bits  
that allow differentiation between the sources of a  
device Reset. These flags include the TO, PD, POR,  
BOR and RI bits. This register is readable and writable.  
2: It is recommended that the POR bit be set  
after  
a Power-on Reset has been  
detected, so that subsequent Power-on  
Resets may be detected.  
REGISTER 5-3:  
RCON REGISTER  
R/W-0  
IPEN  
U-0  
U-0  
R/W-1  
RI  
R-1  
TO  
R-1  
PD  
R/W-0  
POR  
R/W-0  
BOR  
bit 7  
bit 0  
bit 7  
IPEN: Interrupt Priority Enable bit  
1= Enable priority levels on interrupts  
0= Disable priority levels on interrupts (PIC16CXXX Compatibility mode)  
bit 6-5 Unimplemented: Read as ‘0’  
bit 4  
RI: RESETInstruction Flag bit  
1= The RESETinstruction was not executed (set by firmware only)  
0= The RESETinstruction was executed causing a device Reset  
(must be set in firmware after a Brown-out Reset occurs)  
bit 3  
bit 2  
bit 1  
TO: Watchdog Time-out Flag bit  
1= Set by power-up, CLRWDTinstruction, or SLEEPinstruction  
0= A WDT time-out occurred  
PD: Power-down Detection Flag bit  
1= Set by power-up or by the CLRWDTinstruction  
0= Cleared by execution of the SLEEPinstruction  
POR: Power-on Reset Status bit  
1= A Power-on Reset has not occurred (set by firmware only)  
0= A Power-on Reset occurred  
(must be set in firmware after a Power-on Reset occurs)  
bit 0  
BOR: Brown-out Reset Status bit  
1= A Brown-out Reset has not occurred (set by firmware only)  
0= A Brown-out Reset occurred  
(must be set in firmware after a Brown-out Reset occurs)  
Legend:  
R = Readable bit  
W = Writable bit  
‘1’ = Bit is set  
U = Unimplemented bit, read as ‘0’  
‘0’ = Bit is cleared x = Bit is unknown  
- n = Value at POR  
DS39616B-page 74  
Preliminary  
2003 Microchip Technology Inc.  
 
 
PIC18F2331/2431/4331/4431  
The program memory space is 16-bits wide, while the  
6.0  
FLASH PROGRAM MEMORY  
data RAM space is 8-bits wide. Table reads and table  
writes move data between these two memory spaces  
through an 8-bit register (TABLAT).  
The Flash program memory is readable, writable and  
erasable during normal operation over the entire VDD  
range.  
Table read operations retrieve data from program  
memory and place it into TABLAT in the data RAM  
space. Figure 6-1 shows the operation of a table read  
with program memory and data RAM.  
A read from program memory is executed on one byte  
at a time. A write to program memory is executed on  
blocks of 8 bytes at a time. Program memory is erased  
in blocks of 64 bytes at a time. A bulk erase operation  
may not be issued from user code.  
Table write operations store data from TABLAT in the  
data memory space into holding registers in program  
memory. The procedure to write the contents of the  
holding registers into program memory is detailed in  
Section 6.5 “Writing to Flash Program Memory”.  
Figure 6-2 shows the operation of a table write with  
program memory and data RAM.  
While writing or erasing program memory, instruction  
fetches cease until the operation is complete. The  
program memory cannot be accessed during the write  
or erase, therefore, code cannot execute. An internal  
programming timer terminates program memory writes  
and erases.  
Table operations work with byte entities. A table block  
containing data, rather than program instructions, is not  
required to be word aligned. Therefore, a table block  
can start and end at any byte address. If a table write is  
being used to write executable code into program  
memory, program instructions will need to be word  
aligned, (TBLPTRL<0> = 0).  
A value written to program memory does not need to be  
a valid instruction. Executing a program memory  
location that forms an invalid instruction results in a  
NOP.  
6.1  
Table Reads and Table Writes  
The EEPROM on-chip timer controls the write and  
erase times. The write and erase voltages are gener-  
ated by an on-chip charge pump rated to operate over  
the voltage range of the device for byte or word  
operations.  
In order to read and write program memory, there are  
two operations that allow the processor to move bytes  
between the program memory space and the data  
RAM:  
Table Read (TBLRD)  
Table Write (TBLWT)  
FIGURE 6-1:  
TABLE READ OPERATION  
Instruction: TBLRD*  
(1)  
Program Memory  
Table Pointer  
Table Latch (8-bit)  
TABLAT  
TBLPTRU TBLPTRH TBLPTRL  
Program Memory  
(TBLPTR)  
Note 1: Table Pointer points to a byte in program memory.  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 75  
 
 
 
 
 
 
PIC18F2331/2431/4331/4431  
FIGURE 6-2:  
TABLE WRITE OPERATION  
Instruction: TBLWT*  
Program Memory  
Holding Registers  
(1)  
Table Pointer  
Table Latch (8-bit)  
TABLAT  
TBLPTRU TBLPTRH TBLPTRL  
Program Memory  
(TBLPTR)  
Note 1: Table Pointer actually points to one of eight holding registers, the address of which is determined by  
TBLPTRL<2:0>. The process for physically writing data to the Program Memory Array is discussed in  
Section 6.5 “Writing to Flash Program Memory”.  
The WREN bit enables and disables erase and write  
operations. When set, erase and write operations are  
allowed. When clear, erase and write operations are  
disabled – the WR bit cannot be set while the WREN bit  
is clear. This process helps to prevent accidental writes  
to memory due to errant (unexpected) code execution.  
6.2  
Control Registers  
Several control registers are used in conjunction with  
the TBLRDand TBLWTinstructions. These include the:  
• EECON1 register  
• EECON2 register  
• TABLAT register  
• TBLPTR registers  
Firmware should keep the WREN bit clear at all times,  
except when starting erase or write operations. Once  
firmware has set the WR bit, the WREN bit may be  
cleared. Clearing the WREN bit will not affect the  
operation in progress.  
6.2.1  
EECON1 AND EECON2 REGISTERS  
EECON1 is the control register for memory accesses.  
The WRERR bit is set when a write operation is inter-  
rupted by a Reset. In these situations, the user can  
check the WRERR bit and rewrite the location. It will be  
necessary to reload the data and address registers  
(EEDATA and EEADR) as these registers have cleared  
as a result of the Reset.  
EECON2 is not a physical register. Reading EECON2  
will read all ‘0’s. The EECON2 register is used  
exclusively in the memory write and erase sequences.  
Control bit EEPGD determines if the access will be to  
program or data EEPROM memory. When clear, oper-  
ations will access the data EEPROM memory. When  
set, program memory is accessed.  
Control bits RD and WR start read and erase/write  
operations, respectively. These bits are set by firm-  
ware, and cleared by hardware at the completion of the  
operation.  
Control bit CFGS determines if the access will be to the  
configuration registers or to program memory/data  
EEPROM memory. When set, subsequent operations  
access configuration registers. When CFGS is clear,  
the EEPGD bit selects either program Flash or data  
EEPROM memory.  
The RD bit cannot be set when accessing program  
memory (EEPGD = 1). Program memory is read using  
table read instructions. See Section 6.3 “Reading the  
Flash Program Memory” regarding table reads.  
The FREE bit controls program memory erase opera-  
tions. When the FREE bit is set, the erase operation is  
initiated on the next WR command. When FREE is  
clear, only writes are enabled.  
Note:  
Interrupt flag bit EEIF, in the PIR2 register,  
is set when the write is complete. It must  
be cleared in software.  
DS39616B-page 76  
Preliminary  
2003 Microchip Technology Inc.  
 
 
 
PIC18F2331/2431/4331/4431  
REGISTER 6-1:  
EECON1 REGISTER  
R/W-x  
R/W-x  
CFGS  
U-0  
R/W-0  
FREE  
R/W-x  
R/W-0  
WREN  
R/S-0  
WR  
R/S-0  
RD  
EEPGD  
WRERR  
bit 7  
bit 0  
bit 7  
bit 6  
EEPGD: Flash Program or Data EEPROM Memory Select bit  
1= Access program Flash memory  
0= Access data EEPROM memory  
CFGS: Flash Program/Data EE or Configuration Select bit  
1= Access configuration registers  
0= Access program Flash or data EEPROM memory  
bit 5  
bit 4  
Unimplemented: Read as ‘0’  
FREE: Flash Row Erase Enable bit  
1= Erase the program memory row addressed by TBLPTR on the next WR command  
(cleared by completion of erase operation – TBLPTR<5:0> are ignored)  
0= Perform write only  
bit 3  
WRERR: EEPROM Error Flag bit  
1= A write operation was prematurely terminated (any Reset during self-timed  
programming)  
0= The write operation completed normally  
Note:  
When a WRERR occurs, the EEPGD and CFGS bits are not cleared. This allows  
tracing of the error condition.  
bit 2  
bit 1  
WREN: Write Enable bit  
1= Allows erase or write cycles  
0= Inhibits erase or write cycles  
WR: Write Control bit  
1= Initiates a data EEPROM erase/write cycle or a program memory erase cycle or write  
cycle.  
(The operation is self-timed and the bit is cleared by hardware once write is complete. The  
WR bit can only be set (not cleared) in software.)  
0= Write cycle completed  
bit 0  
RD: Read Control bit  
1= Initiates a memory read  
(Read takes one cycle. RD is cleared in hardware. The RD bit can only be set (not cleared)  
in software. RD bit cannot be set when EEPGD = 1.)  
0= Read completed  
Legend:  
R = Readable bit  
W = Writable bit  
x = Bit is unknown  
S = Settable only  
U = Unimplemented bit, read as ‘0’  
‘1’ = Bit is set ‘0’ = Bit is cleared  
- n = Value at POR  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 77  
 
PIC18F2331/2431/4331/4431  
6.2.2  
TABLAT TABLE LATCH REGISTER  
6.2.4  
TABLE POINTER BOUNDARIES  
The Table Latch (TABLAT) is an 8-bit register mapped  
into the SFR space. The Table Latch is used to hold  
8-bit data during data transfers between program  
memory and data RAM.  
TBLPTR is used in reads, writes and erases of the  
Flash program memory.  
When a TBLRD is executed, all 22 bits of the Table  
Pointer determine which byte is read from program or  
configuration memory into TABLAT.  
6.2.3  
TBLPTR TABLE POINTER  
REGISTER  
When a TBLWTis executed, the three LSbs of the Table  
Pointer (TBLPTR<2:0>) determine which of the eight  
program memory holding registers is written to. When  
the timed write to program memory (long write) begins,  
the 19 MSbs of the Table Pointer, TBLPTR  
(TBLPTR<21:3>), will determine which program  
memory block of 8 bytes is written to (TBLPTR<2:0>  
are ignored). For more detail, see Section 6.5  
“Writing to Flash Program Memory”.  
The Table Pointer (TBLPTR) addresses a byte within  
the program memory. The TBLPTR is comprised of  
three SFR registers: Table Pointer Upper Byte, Table  
Pointer High Byte and Table Pointer Low Byte  
(TBLPTRU:TBLPTRH:TBLPTRL). These three regis-  
ters join to form a 22-bit wide pointer. The low order 21  
bits allow the device to address up to 2 Mbytes of pro-  
gram memory space. Setting the 22nd bit allows  
access to the Device ID, the User ID and the  
Configuration bits.  
When an erase of program memory is executed, the 16  
MSbs of the Table Pointer (TBLPTR<21:6>) point to the  
64-byte block that will be erased. The Least Significant  
bits (TBLPTR<5:0>) are ignored.  
The TBLPTR is used by the TBLRDand TBLWTinstruc-  
tions. These instructions can update the TBLPTR in  
one of four ways based on the table operation. These  
operations are shown in Table 6-1. These operations  
on the TBLPTR only affect the low order 21 bits.  
Figure 6-3 describes the relevant boundaries of  
TBLPTR based on Flash program memory operations.  
TABLE 6-1:  
Example  
TABLE POINTER OPERATIONS WITH TBLRD AND TBLWT INSTRUCTIONS  
Operation on Table Pointer  
TBLRD*  
TBLWT*  
TBLPTR is not modified  
TBLRD*+  
TBLWT*+  
TBLPTR is incremented after the read/write  
TBLPTR is decremented after the read/write  
TBLPTR is incremented before the read/write  
TBLRD*-  
TBLWT*-  
TBLRD+*  
TBLWT+*  
FIGURE 6-3:  
TABLE POINTER BOUNDARIES BASED ON OPERATION  
21  
16 15  
TBLPTRH  
8
7
TBLPTRL  
0
TBLPTRU  
ERASE – TBLPTR<21:6>  
LONG WRITE – TBLPTR<21:3>  
READ or WRITE – TBLPTR<21:0>  
DS39616B-page 78  
Preliminary  
2003 Microchip Technology Inc.  
 
 
 
 
 
 
 
PIC18F2331/2431/4331/4431  
The internal program memory is typically organized by  
words. The Least Significant bit of the address selects  
between the high and low bytes of the word. Figure 6-4  
6.3  
Reading the Flash Program  
Memory  
The TBLRD instruction is used to retrieve data from  
program memory and placed into data RAM. Table  
reads from program memory are performed one byte at  
a time.  
shows the interface between the internal program  
memory and the TABLAT.  
TBLPTR points to a byte address in program space.  
Executing a TBLRDinstruction places the byte pointed  
to into TABLAT. In addition, TBLPTR can be modified  
automatically for the next table read operation.  
FIGURE 6-4:  
READS FROM FLASH PROGRAM MEMORY  
Program Memory  
Odd (High) Byte  
Even (Low) Byte  
TBLPTR  
LSB = 0  
TBLPTR  
LSB = 1  
Instruction Register  
(IR)  
TABLAT  
Read Register  
EXAMPLE 6-1:  
READING A FLASH PROGRAM MEMORY WORD  
MOVLW CODE_ADDR_UPPER  
MOVWF TBLPTRU  
; Load TBLPTR with the base  
; address of the word  
MOVLW CODE_ADDR_HIGH  
MOVWF TBLPTRH  
MOVLW CODE_ADDR_LOW  
MOVWF TBLPTRL  
READ_WORD  
TBLRD*+  
; read into TABLAT and increment TBLPTR  
; get data  
MOVFW TABLAT  
MOVWF WORD_EVEN  
TBLRD*+  
MOVFW TABLAT  
MOVWF WORD_ODD  
; read into TABLAT and increment TBLPTR  
; get data  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 79  
 
 
 
 
PIC18F2331/2431/4331/4431  
6.4.1  
FLASH PROGRAM MEMORY  
ERASE SEQUENCE  
6.4  
Erasing Flash Program Memory  
The minimum erase block size is 32 words or 64 bytes  
under firmware control. Only through the use of an  
external programmer, or through ICSP control can  
larger blocks of program memory be bulk erased. Word  
erase in Flash memory is not supported.  
The sequence of events for erasing a block of internal  
program memory location is:  
1. Load table pointer with address of row being  
erased.  
When initiating an erase sequence from the micro-  
controller itself, a block of 64 bytes of program memory  
is erased. The Most Significant 16 bits of the  
TBLPTR<21:6> point to the block being erased.  
TBLPTR<5:0> are ignored.  
2. Set the EECON1 register for the erase  
operation:  
- set EEPGD bit to point to program  
memory;  
- clear the CFGS bit to access program  
memory;  
The EECON1 register commands the erase operation.  
The EEPGD bit must be set to point to the Flash pro-  
gram memory. The CFGS bit must be clear to access  
program Flash and data EEPROM memory. The  
WREN bit must be set to enable write operations. The  
FREE bit is set to select an erase operation. The WR  
bit is set as part of the required instruction sequence  
(as shown in Example 6-2), and starts the actual erase  
operation. It is not necessary to load the TABLAT  
register with any data, as it is ignored.  
- set WREN bit to enable writes;  
- set FREE bit to enable the erase.  
3. Disable interrupts.  
4. Write 55h to EECON2.  
5. Write AAh to EECON2.  
6. Set the WR bit. This will begin the row erase  
cycle.  
7. The CPU will stall for duration of the erase  
(about 2 ms using internal timer).  
For protection, the write initiate sequence using  
EECON2 must be used.  
8. Execute a NOP.  
9. Re-enable interrupts.  
A long write is necessary for erasing the internal Flash.  
Instruction execution is halted while in a long write  
cycle. The long write will be terminated by the internal  
programming timer.  
EXAMPLE 6-2:  
ERASING A FLASH PROGRAM MEMORY ROW  
MOVLW  
MOVWF  
MOVLW  
MOVWF  
MOVLW  
MOVWF  
CODE_ADDR_UPPER  
TBLPTRU  
CODE_ADDR_HIGH  
TBLPTRH  
CODE_ADDR_LOW  
TBLPTRL  
; load TBLPTR with the base  
; address of the memory block  
ERASE_ROW  
BSF  
BSF  
BSF  
BCF  
MOVLW  
MOVWF  
MOVLW  
MOVWF  
BSF  
EECON1,EEPGD  
EECON1,WREN  
EECON1,FREE  
INTCON,GIE  
55h  
EECON2  
AAh  
EECON2  
EECON2,WR  
; point to Flash program memory  
; enable write to memory  
; enable Row Erase operation  
; disable interrupts  
; write 55H  
Required  
Sequence  
; write AAH  
; start erase (CPU stall)  
NOP  
BSF  
INTCON,GIE  
; re-enable interrupts  
DS39616B-page 80  
Preliminary  
2003 Microchip Technology Inc.  
 
 
 
 
 
PIC18F2331/2431/4331/4431  
Since the Table Latch (TABLAT) is only a single byte,  
6.5  
Writing to Flash Program Memory  
the TBLWT instruction has to be executed 8 times for  
each programming operation. All of the table write  
operations will essentially be short writes, because only  
the holding registers are written. At the end of updating  
8 registers, the EECON1 register must be written to, to  
start the programming operation with a long write.  
The programming block size is 4 words or 8 bytes.  
Word or byte programming is not supported.  
Table writes are used internally to load the holding  
registers needed to program the Flash memory. There  
are 8 holding registers used by the table writes for  
programming.  
The long write is necessary for programming the  
internal Flash. Instruction execution is halted while in a  
long write cycle. The long write will be terminated by  
the internal programming timer.  
FIGURE 6-5:  
TABLE WRITES TO FLASH PROGRAM MEMORY  
TABLAT  
Write Register  
8
8
8
8
TBLPTR = xxxxx0  
TBLPTR = xxxxx2  
TBLPTR = xxxxx7  
Holding Register  
TBLPTR = xxxxx1  
Holding Register  
Holding Register  
Holding Register  
Program Memory  
10. Write AAh to EECON2.  
6.5.1  
FLASH PROGRAM MEMORY WRITE  
SEQUENCE  
11. Set the WR bit. This will begin the write cycle.  
12. The CPU will stall for duration of the write (about  
2 ms using internal timer).  
The sequence of events for programming an internal  
program memory location should be:  
13. Execute a NOP.  
1. Read 64 bytes into RAM.  
14. Re-enable interrupts.  
2. Update data values in RAM as necessary.  
3. Load Table Pointer with address being erased.  
15. Repeat steps 6-14 seven times, to write 64  
bytes.  
4. Do the row erase procedure (see Section 6.4.1  
“Flash Program Memory Erase Sequence”).  
16. Verify the memory (table read).  
This procedure will require about 18 ms to update one  
row of 64 bytes of memory. An example of the required  
code is given in Example 6-3.  
5. Load Table Pointer with address of first byte  
being written.  
6. Write the first 8 bytes into the holding registers  
with auto-increment.  
7. Set the EECON1 register for the write operation:  
- set EEPGD bit to point to program  
memory;  
- clear the CFGS bit to access program  
memory;  
- set WREN bit to enable byte writes.  
8. Disable interrupts.  
9. Write 55h to EECON2.  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 81  
 
 
 
PIC18F2331/2431/4331/4431  
EXAMPLE 6-3:  
WRITING TO FLASH PROGRAM MEMORY  
MOVLW  
D'64  
; number of bytes in erase block  
MOVWF  
MOVLW  
MOVWF  
MOVLW  
MOVWF  
MOVLW  
MOVWF  
MOVLW  
MOVWF  
MOVLW  
MOVWF  
COUNTER  
BUFFER_ADDR_HIGH  
FSR0H  
BUFFER_ADDR_LOW  
FSR0L  
CODE_ADDR_UPPER  
TBLPTRU  
CODE_ADDR_HIGH  
TBLPTRH  
CODE_ADDR_LOW  
TBLPTRL  
; point to buffer  
; Load TBLPTR with the base  
; address of the memory block  
; 6 LSB = 0  
READ_BLOCK  
TBLRD*+  
MOVFW  
; read into TABLAT, and inc  
; get data  
TABLAT  
MOVWF  
DECFSZ COUNTER  
POSTINC0  
; store data and increment FSR0  
; done?  
GOTO  
READ_BLOCK  
; repeat  
MODIFY_WORD  
MOVLW  
MOVWF  
MOVLW  
MOVWF  
MOVLW  
MOVWF  
MOVLW  
MOVWF  
DATA_ADDR_HIGH  
FSR0H  
DATA_ADDR_LOW  
FSR0L  
NEW_DATA_LOW  
POSTINC0  
NEW_DATA_HIGH  
INDF0  
; point to buffer  
; update buffer word and increment FSR0  
; update buffer word  
ERASE_BLOCK  
MOVLW  
MOVWF  
MOVLW  
MOVWF  
MOVLW  
MOVWF  
BCF  
BSF  
BSF  
BSF  
BCF  
MOVLW  
MOVWF  
MOVLW  
MOVWF  
BSF  
CODE_ADDR_UPPER  
TBLPTRU  
CODE_ADDR_HIGH  
TBLPTRH  
CODE_ADDR_LOW  
TBLPTRL  
EECON1,CFGS  
EECON1,EEPGD  
EECON1,WREN  
EECON1,FREE  
INTCON,GIE  
55h  
; load TBLPTR with the base  
; address of the memory block  
; 6 LSB = 0  
; point to PROG/EEPROM memory  
; point to Flash program memory  
; enable write to memory  
; enable Row Erase operation  
; disable interrupts  
; Required sequence  
; write 55H  
EECON2  
AAh  
EECON2  
EECON1,WR  
; write AAH  
; start erase (CPU stall)  
NOP  
BSF  
INTCON,GIE  
; re-enable interrupts  
WRITE_BUFFER_BACK  
MOVLW  
8
; number of write buffer groups of 8 bytes  
; point to buffer  
MOVWF  
MOVLW  
MOVWF  
COUNTER_HI  
BUFFER_ADDR_HIGH  
FSR0H  
MOVLW  
MOVWF  
BUFFER_ADDR_LOW  
FSR0L  
PROGRAM_LOOP  
MOVLW  
8
; number of bytes in holding register  
MOVWF  
COUNTER  
WRITE_WORD_TO_HREGS  
MOVFW  
POSTINC0  
TABLAT  
; get low byte of buffer data and increment FSR0  
; present data to table latch  
; short write  
MOVWF  
TBLWT+*  
; to internal TBLWT holding register, increment  
; TBLPTR  
DECFSZ COUNTER  
; loop until buffers are full  
GOTO  
WRITE_WORD_TO_HREGS  
DS39616B-page 82  
Preliminary  
2003 Microchip Technology Inc.  
 
PIC18F2331/2431/4331/4431  
EXAMPLE 6-3:  
WRITING TO FLASH PROGRAM MEMORY (CONTINUED)  
PROGRAM_MEMORY  
BCF  
INTCON,GIE  
55h  
EECON2  
AAh  
EECON2  
EECON1,WR  
; disable interrupts  
; required sequence  
; write 55H  
MOVLW  
MOVWF  
MOVLW  
MOVWF  
BSF  
; write AAH  
; start program (CPU stall)  
NOP  
BSF  
INTCON, GIE  
; re-enable interrupts  
; loop until done  
DECFSZ COUNTER_HI  
GOTO PROGRAM_LOOP  
BCF  
EECON1, WREN  
; disable write to memory  
6.5.2  
WRITE VERIFY  
6.6  
Flash Program Operation During  
Code Protection  
Depending on the application, good programming  
practice may dictate that the value written to the  
memory should be verified against the original value.  
This should be used in applications where excessive  
writes can stress bits near the specification limit.  
See Section 22.5 “Program Verification and Code  
Protection” for details on code protection of Flash pro-  
gram memory.  
6.5.3  
UNEXPECTED TERMINATION OF  
WRITE OPERATION  
If a write is terminated by an unplanned event, such as  
loss of power or an unexpected Reset, the memory  
location just programmed should be verified and repro-  
grammed if needed. The WRERR bit is set when a  
write operation is interrupted by a MCLR Reset, or a  
WDT Time-out Reset during normal operation. In these  
situations, users can check the WRERR bit and rewrite  
the location.  
TABLE 6-2:  
Name  
REGISTERS ASSOCIATED WITH PROGRAM FLASH MEMORY  
Value on  
Value on:  
POR, BOR  
Bit 7  
Bit 6  
Bit 5  
Bit 4  
Bit 3  
Bit 2  
Bit 1  
Bit 0  
all other  
Resets  
TBLPTRU  
bit21 Program Memory Table Pointer Upper Byte  
(TBLPTR<20:16>)  
--00 0000 --00 0000  
TBPLTRH Program Memory Table Pointer High Byte (TBLPTR<15:8>)  
TBLPTRL Program Memory Table Pointer High Byte (TBLPTR<7:0>)  
0000 0000 0000 0000  
0000 0000 0000 0000  
0000 0000 0000 0000  
0000 000x 0000 000u  
TABLAT  
INTCON  
EECON2  
EECON1  
IPR2  
Program Memory Table Latch  
GIE/GIEH PEIE/GIEL TMR0IE INT0IE  
RBIE  
TMR0IF  
INTF  
RBIF  
RD  
EEPROM Control Register2 (not a physical register)  
EEPGD  
OSFIP  
OSFIF  
OSFIE  
CFGS  
FREE  
EEIP  
EEIF  
EEIE  
WRERR WREN  
WR  
xx-0 x000 uu-0 u000  
LVDIP  
LVDIF  
LVDIE  
CCP2IP 1--1 -1-1 1--1 -1-1  
CCP2IF 0--0 -0-0 0--0 -0-0  
CCP2IE 0--0 -0-0 0--0 -0-0  
PIR2  
PIE2  
Legend:  
x= unknown, u= unchanged, r = reserved, -= unimplemented, read as ‘0’.  
Shaded cells are not used during Flash/EEPROM access.  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 83  
 
 
 
 
 
PIC18F2331/2431/4331/4431  
NOTES:  
DS39616B-page 84  
Preliminary  
2003 Microchip Technology Inc.  
PIC18F2331/2431/4331/4431  
Control bit CFGS determines if the access will be to the  
configuration registers or to program memory/data  
EEPROM memory. When set, subsequent operations  
access configuration registers. When CFGS is clear,  
the EEPGD bit selects either program Flash or data  
EEPROM memory.  
7.0  
DATA EEPROM MEMORY  
The Data EEPROM is readable and writable during  
normal operation over the entire VDD range. The data  
memory is not directly mapped in the register file  
space. Instead, it is indirectly addressed through the  
Special Function Registers (SFR).  
The WREN bit enables and disables erase and write  
operations. When set, erase and write operations are  
allowed. When clear, erase and write operations are  
disabled; the WR bit cannot be set while the WREN bit  
is clear. This mechanism helps to prevent accidental  
writes to memory due to errant (unexpected) code  
execution.  
There are four SFRs used to read and write the  
program and data EEPROM memory. These registers  
are:  
• EECON1  
• EECON2  
• EEDATA  
• EEADR  
Firmware should keep the WREN bit clear at all times,  
except when starting erase or write operations. Once  
firmware has set the WR bit, the WREN bit may be  
cleared. Clearing the WREN bit will not affect the  
operation in progress.  
The EEPROM data memory allows byte read and write.  
When interfacing to the data memory block, EEDATA  
holds the 8-bit data for read/write and EEADR holds the  
address of the EEPROM location being accessed.  
These devices have 256 bytes of data EEPROM with  
an address range from 00h to FFh.  
The WRERR bit is set when a write operation is  
interrupted by a Reset. In these situations, the user can  
check the WRERR bit and rewrite the location. It is  
necessary to reload the data and address registers  
(EEDATA and EEADR), as these registers have  
cleared as a result of the Reset.  
The EEPROM data memory is rated for high erase/  
write cycle endurance. A byte write automatically  
erases the location and writes the new data (erase-  
before-write). The write time is controlled by an on-chip  
timer. The write time will vary with voltage and temper-  
ature, as well as from chip-to-chip. Please refer to  
parameter D122 (Table 25-1 in Section 25.0 “Electri-  
cal Characteristics”) for exact limits.  
Control bits RD and WR start read and erase/write  
operations, respectively. These bits are set by firm-  
ware, and cleared by hardware at the completion of the  
operation.  
The RD bit cannot be set when accessing program  
memory (EEPGD = 1). Program memory is read using  
table read instructions. See Section 6.1 “Table Reads  
and Table Writes” regarding table reads.  
7.1  
EEADR  
The address register can address 256 bytes of data  
EEPROM.  
Note:  
Interrupt flag bit, EEIF in the PIR2 register,  
is set when write is complete. It must be  
cleared in software.  
7.2  
EECON1 and EECON2 Registers  
EECON1 is the control register for memory accesses.  
EECON2 is not a physical register. Reading EECON2  
will read all ‘0’s. The EECON2 register is used  
exclusively in the memory write and erase sequences.  
Control bit EEPGD determines if the access will be to  
program or data EEPROM memory. When clear, oper-  
ations will access the data EEPROM memory. When  
set, program memory is accessed.  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 85  
 
 
 
PIC18F2331/2431/4331/4431  
REGISTER 7-1:  
EECON1 REGISTER  
R/W-x  
R/W-x  
CFGS  
U-0  
R/W-0  
FREE  
R/W-x  
R/W-0  
WREN  
R/S-0  
WR  
R/S-0  
RD  
EEPGD  
WRERR  
bit 7  
bit 0  
bit 7  
bit 6  
EEPGD: Flash Program or Data EEPROM Memory Select bit  
1= Access program Flash memory  
0= Access data EEPROM memory  
CFGS: Flash Program/Data EE or Configuration Select bit  
1= Access configuration or calibration registers  
0= Access program Flash or data EEPROM memory  
bit 5  
bit 4  
Unimplemented: Read as ‘0’  
FREE: Flash Row Erase Enable bit  
1= Erase the program memory row addressed by TBLPTR on the next WR command  
(cleared by completion of erase operation)  
0= Perform write only  
bit 3  
WRERR: EEPROM Error Flag bit  
1= A write operation was prematurely terminated  
(MCLR or WDT Reset during self-timed erase or program operation)  
0= The write operation completed normally  
Note:  
When a WRERR occurs, the EEPGD or FREE bits are not cleared. This allows trac-  
ing of the error condition.  
bit 2  
bit 1  
WREN: Erase/Write Enable bit  
1= Allows erase/write cycles  
0= Inhibits erase/write cycles  
WR: Write Control bit  
1= Initiates a data EEPROM erase/write cycle or a program memory erase cycle or write  
cycle.  
(The operation is self-timed and the bit is cleared by hardware once write is complete. The  
WR bit can only be set (not cleared) in software.)  
0= Write cycle is completed  
bit 0  
RD: Read Control bit  
1= Initiates a memory read  
(Read takes one cycle. RD is cleared in hardware. The RD bit can only be set (not cleared)  
in software. RD bit cannot be set when EEPGD = 1.)  
0= Read completed  
Legend:  
R = Readable bit  
W = Writable bit  
x = Bit is unknown  
S = Settable only  
U = Unimplemented bit, read as ‘0’  
‘1’ = Bit is set ‘0’ = Bit is cleared  
- n = Value at POR  
DS39616B-page 86  
Preliminary  
2003 Microchip Technology Inc.  
 
PIC18F2331/2431/4331/4431  
After a write sequence has been initiated, EECON1,  
EEADR and EEDATA cannot be modified. The WR bit  
will be inhibited from being set unless the WREN bit is  
7.3  
Reading the Data EEPROM  
Memory  
To read a data memory location, the user must write the  
address to the EEADR register, clear the EEPGD con-  
trol bit (EECON1<7>) and then set control bit RD  
(EECON1<0>). The data is available for the very next  
instruction cycle; therefore, the EEDATA register can  
be read by the next instruction. EEDATA will hold this  
value until another read operation, or until it is written to  
by the user (during a write operation).  
set. The WREN bit must be set on a previous instruc-  
tion. Both WR and WREN cannot be set with the same  
instruction.  
At the completion of the write cycle, the WR bit is  
cleared in hardware and the EEPROM interrupt flag bit  
(EEIF) is set. The user may either enable this interrupt  
or poll this bit. EEIF must be cleared by software.  
7.5  
Write Verify  
7.4  
Writing to the Data EEPROM  
Memory  
Depending on the application, good programming  
practice may dictate that the value written to the mem-  
ory should be verified against the original value. This  
should be used in applications where excessive writes  
can stress bits near the specification limit.  
To write an EEPROM data location, the address must  
first be written to the EEADR register and the data writ-  
ten to the EEDATA register. The sequence in  
Example 7-2 must be followed to initiate the write cycle.  
The write will not begin if this sequence is not exactly  
followed (write 55h to EECON2, write AAh to EECON2,  
then set WR bit) for each byte. It is strongly recom-  
mended that interrupts be disabled during this  
code segment.  
7.6  
Protection Against Spurious Write  
There are conditions when the device may not want to  
write to the data EEPROM memory. To protect against  
spurious EEPROM writes, various mechanisms have  
been built-in. On power-up, the WREN bit is cleared.  
Also, the Power-up Timer (72 ms duration) prevents  
EEPROM write.  
Additionally, the WREN bit in EECON1 must be set to  
enable writes. This mechanism prevents accidental  
writes to data EEPROM due to unexpected code exe-  
cution (i.e., runaway programs). The WREN bit should  
be kept clear at all times, except when updating the  
EEPROM. The WREN bit is not cleared by hardware.  
The write initiate sequence and the WREN bit together  
help prevent an accidental write during brown-out,  
power glitch, or software malfunction.  
EXAMPLE 7-1:  
DATA EEPROM READ  
MOVLW  
MOVWF  
BCF  
DATA_EE_ADDR  
EEADR  
EECON1, EEPGD ; Point to DATA memory  
;
; Data Memory Address to read  
BSF  
MOVF  
EECON1, RD  
EEDATA, W  
; EEPROM Read  
; W = EEDATA  
EXAMPLE 7-2:  
DATA EEPROM WRITE  
MOVLW  
DATA_EE_ADDR  
EEADR  
DATA_EE_DATA  
EEDATA  
;
MOVWF  
MOVLW  
MOVWF  
BCF  
; Data Memory Address to write  
;
; Data Memory Value to write  
EECON1, EEPGD ; Point to DATA memory  
BSF  
BCF  
EECON1, WREN  
INTCON, GIE  
55h  
EECON2  
AAh  
EECON2  
EECON1, WR  
INTCON, GIE  
; Enable writes  
; Disable Interrupts  
;
; Write 55h  
;
; Write AAh  
; Set WR bit to begin write  
; Enable Interrupts  
MOVLW  
MOVWF  
MOVLW  
MOVWF  
BSF  
Required  
Sequence  
BSF  
SLEEP  
BCF  
; Wait for interrupt to signal write complete  
; Disable writes  
EECON1, WREN  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 87  
 
 
 
 
 
 
 
PIC18F2331/2431/4331/4431  
7.7  
Operation During Code-Protect  
7.8  
Using the Data EEPROM  
Data EEPROM memory has its own code-protect bits in  
configuration words. External Read and Write opera-  
tions are disabled if either of these mechanisms are  
enabled.  
The Data EEPROM is a high-endurance, byte  
addressable array that has been optimized for the  
storage of frequently changing information (e.g.,  
program variables or other data that are updated  
often). Frequently changing values will typically be  
updated more often than specification D124 or D124A.  
If this is not the case, an array refresh must be  
performed. For this reason, variables that change  
infrequently (such as constants, IDs, calibration, etc.)  
should be stored in Flash program memory.  
The microcontroller itself can both read and write to the  
internal data EEPROM, regardless of the state of the  
code-protect configuration bit. Refer to Section 22.0  
“Special Features of the CPU” for additional  
information.  
A simple data EEPROM refresh routine is shown in  
Example 7-3.  
Note:  
If data EEPROM is only used to store con-  
stants and/or data that changes rarely, an  
array refresh is likely not required. See  
specification D124 or D124A.  
EXAMPLE 7-3:  
DATA EEPROM REFRESH ROUTINE  
CLRF  
BCF  
BCF  
BCF  
BSF  
EEADR  
; Start at address 0  
; Set for memory  
; Set for Data EEPROM  
; Disable interrupts  
; Enable writes  
; Loop to refresh array  
; Read current address  
;
; Write 55h  
;
; Write AAh  
; Set WR bit to begin write  
; Wait for write to complete  
EECON1, CFGS  
EECON1, EEPGD  
INTCON, GIE  
EECON1, WREN  
LOOP  
BSF  
EECON1, RD  
55h  
EECON2  
AAh  
EECON2  
EECON1, WR  
EECON1, WR  
$-2  
MOVLW  
MOVWF  
MOVLW  
MOVWF  
BSF  
BTFSC  
BRA  
INCFSZ EEADR, F  
; Increment address  
BRA  
Loop  
; Not zero, do it again  
BCF  
BSF  
EECON1, WREN  
INTCON, GIE  
; Disable writes  
; Enable interrupts  
TABLE 7-1:  
REGISTERS ASSOCIATED WITH DATA EEPROM MEMORY  
Value on  
all other  
Resets  
Value on:  
POR, BOR  
Name  
Bit 7  
Bit 6  
Bit 5  
Bit 4  
Bit 3  
Bit 2  
Bit 1  
Bit 0  
INTCON  
EEADR  
EEDATA  
GIE/GIEH PEIE/GIEL TMR0IE  
EEPROM Address Register  
EEPROM Data Register  
INTE  
RBIE  
TMR0IF  
INTF  
RBIF  
0000 000x 0000 000u  
0000 0000 0000 0000  
0000 0000 0000 0000  
EECON2 EEPROM Control Register2 (not a physical register)  
EECON1  
IPR2  
EEPGD  
OSFIP  
OSFIF  
OSFIE  
CFGS  
FREE  
EEIP  
EEIF  
EEIE  
WRERR WREN  
WR  
RD  
xx-0 x000 uu-0 u000  
LVDIP  
LVDIF  
LVDIE  
CCP2IP 1--1 -1-1 1--1 -1-1  
CCP2IF 0--0 -0-0 0--0 -0-0  
CCP2IE 0--0 -0-0 0--0 -0-0  
PIR2  
PIE2  
Legend:  
x= unknown, u= unchanged, r = reserved, -= unimplemented, read as ‘0’.  
Shaded cells are not used during Flash/EEPROM access.  
DS39616B-page 88  
Preliminary  
2003 Microchip Technology Inc.  
 
 
 
 
 
 
PIC18F2331/2431/4331/4431  
Making the 8 x 8 multiplier execute in a single cycle  
gives the following advantages:  
8.0  
8.1  
8 X 8 HARDWARE MULTIPLIER  
• Higher computational throughput  
Introduction  
• Reduces code size requirements for multiply  
algorithms  
An 8 x 8 hardware multiplier is included in the ALU of  
the PIC18F2331/2431/4331/4431 devices. By making  
the multiply a hardware operation, it completes in a  
single instruction cycle. This is an unsigned multiply  
that gives a 16-bit result. The result is stored into the  
16-bit product register pair (PRODH:PRODL). The  
multiplier does not affect any flags in the Status  
register.  
The performance increase allows the device to be used  
in applications previously reserved for Digital Signal  
Processors.  
Table 8-1 shows a performance comparison between  
enhanced devices using the single cycle hardware mul-  
tiply, and performing the same function without the  
hardware multiply.  
TABLE 8-1:  
Routine  
PERFORMANCE COMPARISON  
Program  
Time  
Cycles  
(Max)  
Multiply Method  
Memory  
(Words)  
@ 40 MHz @ 10 MHz @ 4 MHz  
Without hardware multiply  
Hardware multiply  
13  
1
69  
1
6.9 µs  
100 ns  
9.1 µs  
600 ns  
24.2 µs  
2.4 µs  
25.4 µs  
3.6 µs  
27.6 µs  
400 ns  
36.4 µs  
2.4 µs  
69 µs  
1 µs  
91 µs  
6 µs  
8 x 8 unsigned  
8 x 8 signed  
Without hardware multiply  
Hardware multiply  
33  
6
91  
6
Without hardware multiply  
Hardware multiply  
21  
24  
52  
36  
242  
24  
254  
36  
96.8 µs  
9.6 µs  
242 µs  
24 µs  
254 µs  
36 µs  
16 x 16 unsigned  
16 x 16 signed  
Without hardware multiply  
Hardware multiply  
102.6 µs  
14.4 µs  
EXAMPLE 8-1:  
8 x 8 UNSIGNED  
MULTIPLY ROUTINE  
8.2  
Operation  
Example 8-1 shows the sequence to do an 8 x 8  
unsigned multiply. Only one instruction is required  
when one argument of the multiply is already loaded in  
the WREG register.  
MOVF  
ARG1, W  
ARG2  
;
MULWF  
; ARG1 * ARG2 ->  
; PRODH:PRODL  
Example 8-2 shows the sequence to do an 8 x 8 signed  
multiply. To account for the sign bits of the arguments,  
each argument’s Most Significant bit (MSb) is tested  
and the appropriate subtractions are done.  
EXAMPLE 8-2:  
8 x 8 SIGNED MULTIPLY  
ROUTINE  
MOVF  
MULWF  
ARG1,  
ARG2  
W
; ARG1 * ARG2 ->  
; PRODH:PRODL  
BTFSC  
SUBWF  
ARG2, SB ; Test Sign Bit  
PRODH, F ; PRODH = PRODH  
- ARG1  
;
MOVF  
BTFSC  
SUBWF  
ARG2,  
ARG1, SB ; Test Sign Bit  
PRODH, F ; PRODH = PRODH  
W
;
- ARG2  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 89  
 
 
 
 
 
 
 
 
 
PIC18F2331/2431/4331/4431  
Example 8-3 shows the sequence to do a 16 x 16  
unsigned multiply. Equation 8-1 shows the algorithm  
that is used. The 32-bit result is stored in four registers,  
RES3:RES0.  
EQUATION 8-2:  
16 x 16 SIGNED  
MULTIPLICATION  
ALGORITHM  
RES3:RES0  
=
=
ARG1H:ARG1L ARG2H:ARG2L  
(ARG1H ARG2H 216)+  
EQUATION 8-1:  
16 x 16 UNSIGNED  
MULTIPLICATION  
ALGORITHM  
(ARG1H ARG2L 28)+  
(ARG1L ARG2H ² 28)+  
(ARG1L ARG2L)+  
RES3:RES0  
=
=
ARG1H:ARG1L ARG2H:ARG2L  
(ARG1H ARG2H 216)+  
(ARG1H ARG2L 28)+  
(ARG1L ARG2H 28)+  
(ARG1L ARG2L)  
(-1 ARG2H<7> ARG1H:ARG1L 216)+  
(-1 ARG1H<7> ARG2H:ARG2L 216)  
EXAMPLE 8-4:  
16 x 16 SIGNED  
MULTIPLY ROUTINE  
EXAMPLE 8-3:  
16 x 16 UNSIGNED  
MULTIPLY ROUTINE  
MOVF  
MULWF  
ARG1L,  
ARG2L  
W
; ARG1L * ARG2L ->  
; PRODH:PRODL  
MOVFARG1L, W  
MULWFARG2L  
MOVFF  
MOVFF  
PRODH, RES1  
PRODL, RES0  
;
;
; ARG1L * ARG2L ->  
; PRODH:PRODL  
;
;
;
;
MOVFFPRODH,RES1  
MOVFFPRODL,RES0  
MOVF  
MULWF  
ARG1H,  
ARG2H  
W
; ARG1H * ARG2H ->  
; PRODH:PRODL  
;
;
;
;
MOVFARG1H,  
MULWFARG2H  
W
MOVFF  
MOVFF  
PRODH, RES3  
PRODL, RES2  
; ARG1H * ARG2H ->  
; PRODH:PRODL  
MOVFFPRODH,RES3  
MOVFFPRODL,RES2  
;
;
MOVF  
MULWF  
ARG1L,  
ARG2H  
W
; ARG1L * ARG2H ->  
; PRODH:PRODL  
MOVFARG1L,  
MULWFARG2H  
W
MOVF  
ADDWF  
MOVF  
ADDWFC RES2,  
CLRF WREG  
ADDWFC RES3,  
PRODL,  
RES1,  
PRODH,  
W
F
W
F
;
; ARG1L * ARG2H ->  
; PRODH:PRODL  
;
; Add cross  
; products  
;
;
;
; Add cross  
; products  
;
;
;
MOVFPRODL,  
ADDWFRES1,  
MOVFPRODH,  
ADDWFCRES2,F  
CLRFWREG  
W
F
W
F
W
;
MOVF  
MULWF  
ARG1H,  
ARG2L  
;
ADDWFCRES3,F  
; ARG1H * ARG2L ->  
;
; PRODH:PRODL  
MOVFARG1H,  
MULWFARG2L  
W
;
MOVF  
ADDWF  
MOVF  
ADDWFC RES2,  
CLRF WREG  
ADDWFC RES3,  
PRODL,  
RES1,  
PRODH,  
W
F
W
F
;
; ARG1H * ARG2L ->  
; PRODH:PRODL  
;
; Add cross  
; products  
;
;
;
; Add cross  
; products  
;
;
;
MOVFPRODL,  
ADDWFRES1,  
MOVFPRODH,  
ADDWFCRES2,F  
CLRFWREG  
W
F
W
F
7
;
;
BTFSS  
BRA  
MOVF  
SUBWF  
MOVF  
ARG2H,  
SIGN_ARG1  
ARG1L,  
RES2  
ARG1H,  
; ARG2H:ARG2L neg?  
; no, check ARG1  
;
;
;
ADDWFCRES3,F  
W
W
SUBWFB RES3  
Example 8-4 shows the sequence to do a 16 x 16  
signed multiply. Equation 8-2 shows the algorithm  
used. The 32-bit result is stored in four registers,  
RES3:RES0. To account for the sign bits of the argu-  
ments, each argument pair’s Most Significant bit (MSb)  
is tested, and the appropriate subtractions are done.  
SIGN_ARG1  
BTFSS  
BRA  
ARG1H,  
CONT_CODE  
ARG2L,  
RES2  
ARG2H,  
7
; ARG1H:ARG1L neg?  
; no, done  
;
;
;
MOVF  
SUBWF  
MOVF  
W
W
SUBWFB RES3  
;
CONT_CODE  
:
DS39616B-page 90  
Preliminary  
2003 Microchip Technology Inc.  
 
 
 
 
 
 
 
 
PIC18F2331/2431/4331/4431  
When the IPEN bit is cleared (default state), the inter-  
rupt priority feature is disabled and interrupts are com-  
9.0  
INTERRUPTS  
patible with PICmicro® mid-range devices. In  
Compatibility mode, the interrupt priority bits for each  
source have no effect. INTCON<6> is the PEIE bit,  
which enables/disables all peripheral interrupt sources.  
INTCON<7> is the GIE bit, which enables/disables all  
interrupt sources. All interrupts branch to address  
000008h in Compatibility mode.  
The PIC18F2331/2431/4331/4431 devices have  
multiple interrupt sources and an interrupt priority  
feature that allows each interrupt source to be assigned  
a high priority level or a low priority level. The high  
priority interrupt vector is at 000008h and the low  
priority interrupt vector is at 000018h. High priority  
interrupt events will interrupt any low priority interrupts  
that may be in progress.  
When an interrupt is responded to, the Global Interrupt  
Enable bit is cleared to disable further interrupts. If the  
IPEN bit is cleared, this is the GIE bit. If interrupt priority  
levels are used, this will be either the GIEH or GIEL bit.  
High priority interrupt sources can interrupt a low  
priority interrupt. Low priority interrupts are not  
processed while high priority interrupts are in progress.  
There are ten registers which are used to control  
interrupt operation. These registers are:  
• RCON  
• INTCON  
• INTCON2  
• INTCON3  
The return address is pushed onto the stack and the  
PC is loaded with the interrupt vector address  
(000008h or 000018h). Once in the interrupt service  
routine, the source(s) of the interrupt can be  
determined by polling the interrupt flag bits. The  
interrupt flag bits must be cleared in software before re-  
enabling interrupts to avoid recursive interrupts.  
• PIR1, PIR2, PIR3  
• PIE1, PIE2, PIE3  
• IPR1, IPR2, IPR3  
It is recommended that the Microchip header files sup-  
plied with MPLAB® IDE be used for the symbolic bit  
names in these registers. This allows the assembler/  
compiler to automatically take care of the placement of  
these bits within the specified register.  
The “return from interrupt” instruction, RETFIE, exits  
the interrupt routine and sets the GIE bit (GIEH or GIEL  
if priority levels are used), which re-enables interrupts.  
In general, each interrupt source has three bits to con-  
trol its operation. The functions of these bits are:  
For external interrupt events, such as the INT pins or  
the PORTB input change interrupt, the interrupt latency  
will be three to four instruction cycles. The exact  
latency is the same for one or two-cycle instructions.  
Individual interrupt flag bits are set, regardless of the  
status of their corresponding enable bit or the GIE bit.  
• Flag bit to indicate that an interrupt event  
occurred  
• Enable bit that allows program execution to  
branch to the interrupt vector address when the  
flag bit is set  
• Priority bit to select high priority or low priority  
(most interrupt sources have priority bits)  
Note:  
Do not use the MOVFFinstruction to modify  
any of the interrupt control registers while  
any interrupt is enabled. Doing so may  
cause erratic microcontroller behavior.  
The interrupt priority feature is enabled by setting the  
IPEN bit (RCON<7>). When interrupt priority is  
enabled, there are two bits which enable interrupts  
globally. Setting the GIEH bit (INTCON<7>) enables all  
interrupts that have the priority bit set (high priority).  
Setting the GIEL bit (INTCON<6>) enables all  
interrupts that have the priority bit cleared (low priority).  
When the interrupt flag, enable bit and appropriate  
global interrupt enable bit are set, the interrupt will  
vector immediately to address 000008h or 000018h,  
depending on the priority bit setting. Individual  
interrupts can be disabled through their corresponding  
enable bits.  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 91  
 
 
PIC18F2331/2431/4331/4431  
FIGURE 9-1:  
INTERRUPT LOGIC  
Wake-up if in  
Power-Managed mode  
TMR0IF  
TMR0IE  
TMR0IP  
RBIF  
RBIE  
RBIP  
INT0IF  
INT0IE  
Interrupt to CPU  
Vector to Location  
0008h  
INT1IF  
INT1IE  
INT1IP  
INT2IF  
INT2IE  
INT2IP  
PSPIF  
PSPIE  
PSPIP  
GIEH/GIE  
ADIF  
ADIE  
ADIP  
IPE  
IPEN  
GIEL/PEIE  
RCIF  
RCIE  
RCIP  
IPEN  
Additional Peripheral Interrupts  
High Priority Interrupt Generation  
Low Priority Interrupt Generation  
PSPIF  
PSPIE  
PSPIP  
Interrupt to CPU  
Vector to Location  
0018h  
TMR0IF  
TMR0IE  
TMR0IP  
ADIF  
ADIE  
ADIP  
RBIF  
RBIE  
RBIP  
RCIF  
RCIE  
RCIP  
GIEL\PEIE  
INT0IF  
INT0IE  
INT1IF  
INT1IE  
INT1IP  
Additional Peripheral Interrupts  
INT2IF  
INT2IE  
INT2IP  
DS39616B-page 92  
Preliminary  
2003 Microchip Technology Inc.  
 
PIC18F2331/2431/4331/4431  
9.1  
INTCON Registers  
Note:  
Interrupt flag bits are set when an interrupt  
condition occurs, regardless of the state of  
its corresponding enable bit or the global  
enable bit. User software should ensure  
the appropriate interrupt flag bits are clear  
prior to enabling an interrupt. This feature  
allows for software polling.  
The INTCON Registers are readable and writable  
registers, which contain various enable, priority and  
flag bits.  
REGISTER 9-1:  
INTCON REGISTER  
R/W-0 R/W-0  
R/W-0  
R/W-0  
R/W-0  
RBIE  
R/W-0  
R/W-0  
INT0IF  
R/W-x  
RBIF  
bit 0  
GIE/GIEH PEIE/GIEL  
bit 7  
TMR0IE  
INT0IE  
TMR0IF  
bit 7  
GIE/GIEH: Global Interrupt Enable bit  
When IPEN = 0:  
1= Enables all unmasked interrupts  
0= Disables all interrupts  
When IPEN = 1:  
1= Enables all high priority interrupts  
0= Disables all high priority interrupts  
bit 6  
PEIE/GIEL: Peripheral Interrupt Enable bit  
When IPEN = 0:  
1= Enables all unmasked peripheral interrupts  
0= Disables all peripheral interrupts  
When IPEN = 1:  
1= Enables all low priority peripheral interrupts  
0= Disables all low priority peripheral interrupts  
bit 5  
bit 4  
bit 3  
bit 2  
bit 1  
bit 0  
TMR0IE: TMR0 Overflow Interrupt Enable bit  
1= Enables the TMR0 overflow interrupt  
0= Disables the TMR0 overflow interrupt  
INT0IE: INT0 External Interrupt Enable bit  
1= Enables the INT0 external interrupt  
0= Disables the INT0 external interrupt  
RBIE: RB Port Change Interrupt Enable bit  
1= Enables the RB port change interrupt for RB7:RB4 pins  
0= Disables the RB port change interrupt for RB7:RB4 pins  
TMR0IF: TMR0 Overflow Interrupt Flag bit  
1= TMR0 register has overflowed (must be cleared in software)  
0= TMR0 register did not overflow  
INT0IF: INT0 External Interrupt Flag bit  
1= The INT0 external interrupt occurred (must be cleared in software)  
0= The INT0 external interrupt did not occur  
RBIF: RB Port Change Interrupt Flag bit  
1= At least one of the RB7:RB4 pins changed state (must be cleared in software)  
0= None of the RB7:RB4 pins have changed state  
Note:  
A mismatch condition will continue to set this bit. Reading PORTB will end the  
mismatch condition and allow the bit to be cleared.  
Legend:  
R = Readable bit  
W = Writable bit  
‘1’ = Bit is set  
U = Unimplemented bit, read as ‘0’  
‘0’ = Bit is cleared x = Bit is unknown  
- n = Value at POR  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 93  
 
 
PIC18F2331/2431/4331/4431  
REGISTER 9-2:  
INTCON2 REGISTER  
R/W-1  
RBPU  
R/W-1  
R/W-1  
R/W-1  
U-0  
R/W-1  
U-0  
R/W-1  
RBIP  
INTEDG0 INTEDG1 INTEDG2  
TMR0IP  
bit 7  
bit 0  
bit 7  
bit 6  
bit 5  
bit 4  
RBPU: PORTB Pull-up Enable bit  
1= All PORTB pull-ups are disabled  
0= PORTB pull-ups are enabled by individual port latch values  
INTEDG0: External Interrupt0 Edge Select bit  
1= Interrupt on rising edge  
0= Interrupt on falling edge  
INTEDG1: External Interrupt1 Edge Select bit  
1= Interrupt on rising edge  
0= Interrupt on falling edge  
INTEDG2: External Interrupt2 Edge Select bit  
1= Interrupt on rising edge  
0= Interrupt on falling edge  
bit 3  
bit 2  
Unimplemented: Read as ‘0’  
TMR0IP: TMR0 Overflow Interrupt Priority bit  
1= High priority  
0= Low priority  
bit 1  
bit 0  
Unimplemented: Read as ‘0’  
RBIP: RB Port Change Interrupt Priority bit  
1= High priority  
0= Low priority  
Legend:  
R = Readable bit  
W = Writable bit  
‘1’ = Bit is set  
U = Unimplemented bit, read as ‘0’  
‘0’ = Bit is cleared x = Bit is unknown  
- n = Value at POR  
Note:  
Interrupt flag bits are set when an interrupt condition occurs, regardless of the state  
of its corresponding enable bit or the global enable bit. User software should ensure  
the appropriate interrupt flag bits are clear prior to enabling an interrupt. This feature  
allows for software polling.  
DS39616B-page 94  
Preliminary  
2003 Microchip Technology Inc.  
 
PIC18F2331/2431/4331/4431  
REGISTER 9-3:  
INTCON3 REGISTER  
R/W-1  
R/W-1  
U-0  
R/W-0  
R/W-0  
U-0  
R/W-0  
INT2IF  
R/W-0  
INT1IF  
INT2IP  
INT1IP  
INT2IE  
INT1IE  
bit 7  
bit 0  
bit 7  
bit 6  
INT2IP: INT2 External Interrupt Priority bit  
1= High priority  
0= Low priority  
INT1IP: INT1 External Interrupt Priority bit  
1= High priority  
0= Low priority  
bit 5  
bit 4  
Unimplemented: Read as ‘0’  
INT2IE: INT2 External Interrupt Enable bit  
1= Enables the INT2 external interrupt  
0= Disables the INT2 external interrupt  
bit 3  
INT1IE: INT1 External Interrupt Enable bit  
1= Enables the INT1 external interrupt  
0= Disables the INT1 external interrupt  
bit 2  
bit 1  
Unimplemented: Read as ‘0’  
INT2IF: INT2 External Interrupt Flag bit  
1= The INT2 external interrupt occurred (must be cleared in software)  
0= The INT2 external interrupt did not occur  
bit 0  
INT1IF: INT1 External Interrupt Flag bit  
1= The INT1 external interrupt occurred (must be cleared in software)  
0= The INT1 external interrupt did not occur  
Legend:  
R = Readable bit  
W = Writable bit  
‘1’ = Bit is set  
U = Unimplemented bit, read as ‘0’  
‘0’ = Bit is cleared x = Bit is unknown  
- n = Value at POR  
Note:  
Interrupt flag bits are set when an interrupt condition occurs, regardless of the state  
of its corresponding enable bit or the global enable bit. User software should ensure  
the appropriate interrupt flag bits are clear prior to enabling an interrupt. This feature  
allows for software polling.  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 95  
 
PIC18F2331/2431/4331/4431  
9.2  
PIR Registers  
Note 1: Interrupt flag bits are set when an interrupt  
condition occurs, regardless of the state of  
its corresponding enable bit or the global  
enable bit, GIE (INTCON<7>).  
The PIR registers contain the individual flag bits for the  
peripheral interrupts. Due to the number of peripheral  
interrupt sources, there are two Peripheral Interrupt  
Flag Registers (PIR1, PIR2).  
2: User software should ensure the appropri-  
ate interrupt flag bits are cleared prior to  
enabling an interrupt, and after servicing  
that interrupt.  
REGISTER 9-4:  
PIR1: PERIPHERAL INTERRUPT REQUEST (FLAG) REGISTER 1  
U-0  
R/W-0  
ADIF  
R-0  
R-0  
R/W-0  
SSPIF  
R/W-0  
R/W-0  
R/W-0  
TMR1IF  
bit 0  
RCIF  
TXIF  
CCP1IF  
TMR2IF  
bit 7  
bit 7  
bit 6  
Unimplemented: Read as ‘0’.  
ADIF: A/D Converter Interrupt Flag bit  
1= An A/D conversion completed (must be cleared in software)  
0= The A/D conversion is not complete  
bit 5  
bit 4  
bit 3  
bit 2  
RCIF: USART Receive Interrupt Flag bit  
1= The USART receive buffer, RCREG, is full (cleared when RCREG is read)  
0= The USART receive buffer is empty  
TXIF: USART Transmit Interrupt Flag bit  
1= The USART transmit buffer, TXREG, is empty (cleared when TXREG is written)  
0= The USART transmit buffer is full  
SSPIF: Synchronous Serial Port Interrupt Flag bit  
1= The transmission/reception is complete (must be cleared in software)  
0= Waiting to transmit/receive  
CCP1IF: CCP1 Interrupt Flag bit  
Capture mode:  
1= A TMR1 register capture occurred (must be cleared in software)  
0= No TMR1 register capture occurred  
Compare mode:  
1= A TMR1 register compare match occurred (must be cleared in software)  
0= No TMR1 register compare match occurred  
PWM mode:  
Unused in this mode  
bit 1  
bit 0  
TMR2IF: TMR2 to PR2 Match Interrupt Flag bit  
1= TMR2 to PR2 match occurred (must be cleared in software)  
0= No TMR2 to PR2 match occurred  
TMR1IF: TMR1 Overflow Interrupt Flag bit  
1= TMR1 register overflowed (must be cleared in software)  
0= TMR1 register did not overflow  
Note 1: This bit is reserved on PIC18F2X31 devices; always maintain this bit clear.  
Legend:  
R = Readable bit  
W = Writable bit  
‘1’ = Bit is set  
U = Unimplemented bit, read as ‘0’  
‘0’ = Bit is cleared x = Bit is unknown  
- n = Value at POR  
DS39616B-page 96  
Preliminary  
2003 Microchip Technology Inc.  
 
 
PIC18F2331/2431/4331/4431  
REGISTER 9-5:  
PIR2: PERIPHERAL INTERRUPT REQUEST (FLAG) REGISTER 2  
R/W-0  
OSFIF  
U-0  
U-0  
R/W-0  
EEIF  
U-0  
R/W-0  
LVDIF  
U-0  
R/W-0  
CCP2IF  
bit 0  
bit 7  
bit 7  
OSFIF: Oscillator Fail Interrupt Flag bit  
1= System Oscillator failed, clock input has changed to INTOSC (must be cleared in software)  
0= System clock operating  
bit 6-5 Unimplemented: Read as ‘0’  
bit 4  
EEIF: EEPROM or Flash Write Operation Interrupt Flag bit  
1= The write operation is complete (must be cleared in software)  
0= The write operation is not complete or has not been started  
bit 3  
bit 2  
Unimplemented: Read as ‘0’  
LVDIF: Low-Voltage Detect Interrupt Flag bit  
1= The supply voltage has fallen below the specified LVD voltage (must be cleared in  
software)  
0= The supply voltage is greater than the specified LVD voltage  
bit 1  
bit 0  
Unimplemented: Read as ‘0’  
CCP2IF: CCP2 Interrupt Flag bit  
Capture mode:  
1= A TMR1 register capture occurred (must be cleared in software)  
0= No TMR1 register capture occurred  
Compare mode:  
1= A TMR1 register compare match occurred (must be cleared in software)  
0= No TMR1 register compare match occurred  
PWM mode:  
Not used in PWM mode  
Legend:  
R = Readable bit  
W = Writable bit  
‘1’ = Bit is set  
U = Unimplemented bit, read as ‘0’  
‘0’ = Bit is cleared x = Bit is unknown  
- n = Value at POR  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 97  
 
PIC18F2331/2431/4331/4431  
REGISTER 9-6:  
PIR3: PERIPHERAL INTERRUPT FLAG REGISTER 3  
U-0  
U-0  
U-0  
R/W-0  
PTIF  
R/W-0  
R/W-0  
R/W-0  
IC1IF  
R/W-0  
IC3DRIF IC2QEIF  
TMR5IF  
bit 7  
bit 0  
bit 7-5 Unimplemented: Read as ‘0’  
bit 4  
bit 3  
PTIF: PWM Time Base Interrupt bit  
1= PWM Time Base matched the value in PTPER register. Interrupt is issued according to the  
postscaler settings. PTIF must be cleared in software.  
0= PWM Time Base has not matched the value in PTPER register.  
IC3DRIF: IC3 Interrupt Flag/Direction Change Interrupt Flag bit  
IC3 Enabled (CAP3CON<3:0>)  
1= TMR5 value was captured by the active edge on CAP3 input (must be cleared in software).  
0= TMR5 capture has not occurred.  
QEI Enabled (QEIM<2:0>)  
1= Direction of rotation has changed (must be cleared in software).  
0= Direction of rotation has not changed.  
bit 2  
bit 1  
bit 0  
IC2QEIF: IC2 Interrupt Flag/QEI Interrupt Flag bit  
IC2 Enabled (CAP2CON<3:0>)  
1= TMR5 value was captured by the active edge on CAP2 input (must be cleared in software).  
0= TMR5 capture has not occurred.  
QEI Enabled (QEIM<2:0>)  
1= The QEI position counter has reached the MAXCNT value or the index pulse, INDX, has  
been detected. Depends on the QEI operating mode enabled. Must be cleared in software.  
0= The QEI position counter has not reached the MAXCNT value or the index pulse has not  
been detected.  
IC1IF: IC1 Interrupt Flag bit  
IC1 Enabled (CAP1CON<3:0>)  
1= TMR5 value was captured by the active edge on CAP1 input (must be cleared in software).  
0= TMR5 capture has not occurred.  
QEI Enabled (QEIM<2:0>) and Velocity Measurement mode enabled  
(VELM = 0in QEICON Register)  
1= Timer5 value was captured by the active velocity edge (based on PHA or PHB input).  
CAP1REN bit must be set in CAP1CON register. IC1IF must be cleared in software.  
0= Timer5 value was not captured by the active velocity edge.  
TMR5IF: Timer5 Interrupt Flag bit  
1= Timer5 time base matched the PR5 value (must be cleared in software).  
0= Timer5 time base did not match the PR5 value.  
Legend:  
R = Readable bit  
-n = Value at POR  
W = Writable bit  
‘1’ = bit is set  
U = Unimplemented bit, read as ‘0’  
‘0’ = bit is cleared x = bit is unknown  
DS39616B-page 98  
Preliminary  
2003 Microchip Technology Inc.  
PIC18F2331/2431/4331/4431  
9.3  
PIE Registers  
The PIE registers contain the individual enable bits for  
the peripheral interrupts. Due to the number of peripheral  
interrupt sources, there are two Peripheral Interrupt  
Enable Registers (PIE1, PIE2). When IPEN = 0, the  
PEIE bit must be set to enable any of these peripheral  
interrupts.  
REGISTER 9-7:  
PIE1: PERIPHERAL INTERRUPT ENABLE REGISTER 1  
U-0  
R/W-0  
ADIE  
R/W-0  
RCIE  
R/W-0  
TXIE  
R/W-0  
SSPIE  
R/W-0  
R/W-0  
R/W-0  
TMR1IE  
bit 0  
CCP1IE  
TMR2IE  
bit 7  
bit 7  
bit 6  
Unimplemented: Read as ‘0’  
ADIE: A/D Converter Interrupt Enable bit  
1= Enables the A/D interrupt  
0= Disables the A/D interrupt  
bit 5  
bit 4  
bit 3  
bit 2  
bit 1  
bit 0  
RCIE: USART Receive Interrupt Enable bit  
1= Enables the USART receive interrupt  
0= Disables the USART receive interrupt  
TXIE: USART Transmit Interrupt Enable bit  
1= Enables the USART transmit interrupt  
0= Disables the USART transmit interrupt  
SSPIE: Synchronous Serial Port Interrupt Enable bit  
1= Enables the SSP interrupt  
0= Disables the SSP interrupt  
CCP1IE: CCP1 Interrupt Enable bit  
1= Enables the CCP1 interrupt  
0= Disables the CCP1 interrupt  
TMR2IE: TMR2 to PR2 Match Interrupt Enable bit  
1= Enables the TMR2 to PR2 match interrupt  
0= Disables the TMR2 to PR2 match interrupt  
TMR1IE: TMR1 Overflow Interrupt Enable bit  
1= Enables the TMR1 overflow interrupt  
0= Disables the TMR1 overflow interrupt  
Legend:  
R = Readable bit  
W = Writable bit  
‘1’ = Bit is set  
U = Unimplemented bit, read as ‘0’  
‘0’ = Bit is cleared x = Bit is unknown  
- n = Value at POR  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 99  
 
 
PIC18F2331/2431/4331/4431  
REGISTER 9-8:  
PIE2: PERIPHERAL INTERRUPT ENABLE REGISTER 2  
R/W-0  
OSFIE  
U-0  
U-0  
R/W-0  
EEIE  
U-0  
R/W-0  
LVDIE  
U-0  
R/W-0  
CCP2IE  
bit 7  
bit 0  
bit 7  
OSFIE: Oscillator Fail Interrupt Enable bit  
1= Enabled  
0= Disabled  
bit 6-5  
bit 4  
Unimplemented: Read as ‘0’  
EEIE: Interrupt Enable bit  
1= Enabled  
0= Disabled  
bit 3  
bit 2  
Unimplemented: Read as ‘0’  
LVDIE: Low-Voltage Detect Interrupt Enable bit  
1= Enabled  
0= Disabled  
bit 1  
bit 0  
Unimplemented: Read as ‘0’  
CCP2IE: CCP2 Interrupt Enable bit  
1= Enabled  
0= Disabled  
Legend:  
R = Readable bit  
W = Writable bit  
‘1’ = Bit is set  
U = Unimplemented bit, read as ‘0’  
‘0’ = Bit is cleared x = Bit is unknown  
- n = Value at POR  
DS39616B-page 100  
Preliminary  
2003 Microchip Technology Inc.  
 
PIC18F2331/2431/4331/4431  
REGISTER 9-9:  
PIE3: PERIPHERAL INTERRUPT ENABLE REGISTER 3  
U-0  
U-0  
U-0  
R/W-0  
PTIE  
R/W-0  
R/W-0  
R/W-0  
IC1IE  
R/W-0  
IC3DRIE IC2QEIE  
TMR5IE  
bit 7  
bit 0  
bit 7-5 Unimplemented: Read as ‘0’  
bit 4  
bit 3  
PTIE: PWM Time Base Interrupt Enable bit  
1= PTIF enabled  
0= PTIF disabled  
IC3DRIE: IC3 Interrupt Enable/Direction Change Interrupt Enable bit  
IC3 Enabled (CAP3CON<3:0>)  
1= IC3 interrupt enabled  
0= IC3 interrupt disabled  
QEI Enabled (QEIM<2:0>)  
1= Change-of-direction interrupt enabled  
0= Change-of-direction interrupt disabled  
bit 2  
IC2QEIE: IC2 Interrupt Flag/QEI Interrupt Flag Enable bit  
IC2 Enabled (CAP2CON<3:0>)  
1= IC2 interrupt enabled)  
0= IC2 interrupt disabled  
QEI Enabled (QEIM<2:0>)  
1= QEI interrupt enabled  
0= QEI interrupt disabled  
bit 1  
bit 0  
IC1IE: IC1 Interrupt Enable bit  
1= IC1 interrupt enabled  
0= IC1 interrupt disabled  
TMR5IE: Timer5 Interrupt Enable bit  
1= Timer5 interrupt enabled  
0= Timer5 interrupt disabled  
Legend:  
R = Readable bit  
-n = Value at POR  
W = Writable bit  
‘1’ = bit is set  
U = Unimplemented bit, read as ‘0’  
‘0’ = bit is cleared x = bit is unknown  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 101  
PIC18F2331/2431/4331/4431  
9.4  
IPR Registers  
The IPR registers contain the individual priority bits for  
the peripheral interrupts. Due to the number of  
peripheral interrupt sources, there are two peripheral  
interrupt priority registers (IPR1, IPR2). Using the  
priority bits requires that the Interrupt Priority Enable  
(IPEN) bit be set.  
REGISTER 9-10: IPR1: PERIPHERAL INTERRUPT PRIORITY REGISTER 1  
U-0  
R/W-1  
ADIP  
R/W-1  
RCIP  
R/W-1  
TXIP  
R/W-1  
SSPIP  
R/W-1  
R/W-1  
R/W-1  
TMR1IP  
bit 0  
CCP1IP  
TMR2IP  
bit 7  
bit 7  
bit 6  
Unimplemented: Read as ‘0’  
ADIP: A/D Converter Interrupt Priority bit  
1= High priority  
0= Low priority  
bit 5  
bit 4  
bit 3  
bit 2  
bit 1  
bit 0  
RCIP: USART Receive Interrupt Priority bit  
1= High priority  
0= Low priority  
TXIP: USART Transmit Interrupt Priority bit  
1= High priority  
0= Low priority  
SSPIP: Synchronous Serial Port Interrupt Priority bit  
1= High priority  
0= Low priority  
CCP1IP: CCP1 Interrupt Priority bit  
1= High priority  
0= Low priority  
TMR2IP: TMR2 to PR2 Match Interrupt Priority bit  
1= High priority  
0= Low priority  
TMR1IP: TMR1 Overflow Interrupt Priority bit  
1= High priority  
0= Low priority  
Legend:  
R = Readable bit  
W = Writable bit  
‘1’ = Bit is set  
U = Unimplemented bit, read as ‘0’  
‘0’ = Bit is cleared x = Bit is unknown  
- n = Value at POR  
DS39616B-page 102  
Preliminary  
2003 Microchip Technology Inc.  
 
 
PIC18F2331/2431/4331/4431  
REGISTER 9-11: IPR2: PERIPHERAL INTERRUPT PRIORITY REGISTER 2  
R/W-1  
OSFIP  
U-0  
U-0  
R/W-1  
EEIP  
U-0  
R/W-1  
LVDIP  
U-0  
R/W-1  
CCP2IP  
bit 7  
bit 0  
bit 7  
OSFIP: Oscillator Fail Interrupt Priority bit  
1= High priority  
0= Low priority  
bit 6-5  
bit 4  
Unimplemented: Read as ‘0’  
EEIP: Interrupt Priority bit  
1= High priority  
0= Low priority  
bit 3  
bit 2  
Unimplemented: Read as ‘0’  
LVDIP: Low-Voltage Detect Interrupt Priority bit  
1= High priority  
0= Low priority  
bit 1  
bit 0  
Unimplemented: Read as ‘0’  
CCP2IP: CCP2 Interrupt Priority bit  
1= High priority  
0= Low priority  
Legend:  
R = Readable bit  
W = Writable bit  
‘1’ = Bit is set  
U = Unimplemented bit, read as ‘0’  
‘0’ = Bit is cleared x = Bit is unknown  
- n = Value at POR  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 103  
PIC18F2331/2431/4331/4431  
REGISTER 9-12: IPR3: PERIPHERAL INTERRUPT PRIORITY REGISTER 3  
U-0  
bit 7  
bit 7-5 Unimplemented: Read as ‘0’  
U-0  
U-0  
R/W-1  
PTIP  
R/W-1  
R/W-1  
R/W-1  
IC1IP  
R/W-1  
IC3DRIP IC2QEIP  
TMR5IP  
bit 0  
bit 4  
PTIP: PWM Time Base Interrupt Priority bit  
1= High Priority  
0= Low Priority  
bit 3  
IC3DRIP: IC3 Interrupt Priority/Direction Change Interrupt Priority bit  
IC3 Enabled (CAP3CON<3:0>)  
1= IC3 Interrupt High Priority  
0= IC3 Interrupt Low Priority  
QEI Enabled (QEIM<2:0>)  
1= Change of Direction Interrupt High Priority  
0= Change of Direction interrupt Low Priority  
bit 2  
IC2QEIP: IC2 Interrupt Priority/QEI Interrupt Priority bit  
IC2 Enabled (CAP2CON<3:0>)  
1= IC2 Interrupt High Priority  
0= IC2 Interrupt Low Priority  
QEI Enabled (QEIM<2:0>)  
1= High Priority  
0= Low Priority  
bit 1  
bit 0  
IC1IP: IC1 Interrupt Priority bit  
1= High Priority  
0= Low Priority  
TMR5IP: Timer5 Interrupt Priority bit  
1= High Priority  
0= Low Priority  
Legend:  
R = Readable bit  
-n = Value at POR  
W = Writable bit  
‘1’ = bit is set  
U = Unimplemented bit, read as ‘0’  
‘0’ = bit is cleared x = bit is unknown  
DS39616B-page 104  
Preliminary  
2003 Microchip Technology Inc.  
PIC18F2331/2431/4331/4431  
9.5  
RCON Register  
The RCON register contains bits used to determine the  
cause of the last Reset or wake-up from power-  
managed mode. RCON also contains the bit that  
enables interrupt priorities (IPEN).  
REGISTER 9-13: RCON REGISTER  
R/W-0  
IPEN  
U-0  
U-0  
R/W-1  
RI  
R-1  
TO  
R-1  
PD  
R/W-0  
POR  
R/W-0  
BOR  
bit 7  
bit 0  
bit 7  
IPEN: Interrupt Priority Enable bit  
1= Enable priority levels on interrupts  
0= Disable priority levels on interrupts (PIC16CXXX Compatibility mode)  
bit 6-5 Unimplemented: Read as ‘0’  
bit 4  
bit 3  
bit 2  
bit 1  
bit 0  
RI: RESETInstruction Flag bit  
For details of bit operation, see Register 5-3  
TO: Watchdog Time-out Flag bit  
For details of bit operation, see Register 5-3  
PD: Power-down Detection Flag bit  
For details of bit operation, see Register 5-3  
POR: Power-on Reset Status bit  
For details of bit operation, see Register 5-3  
BOR: Brown-out Reset Status bit  
For details of bit operation, see Register 5-3  
Legend:  
R = Readable bit  
W = Writable bit  
‘1’ = Bit is set  
U = Unimplemented bit, read as ‘0’  
‘0’ = Bit is cleared x = Bit is unknown  
- n = Value at POR  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 105  
 
PIC18F2331/2431/4331/4431  
9.6  
INTn Pin Interrupts  
9.7  
TMR0 Interrupt  
External interrupts on the RC3/INT0, RC4/INT1 and  
RC5/INT2 pins are edge triggered: either rising, if the  
corresponding INTEDGx bit is set in the INTCON2  
register, or falling, if the INTEDGx bit is clear. When a  
valid edge appears on the RC3/INT0 pin, the  
corresponding flag bit INTxF is set. This interrupt can  
be disabled by clearing the corresponding enable bit  
INTxE. Flag bit INTxF must be cleared in software in  
the interrupt service routine before re-enabling the  
interrupt. All external interrupts (INT0, INT1 and INT2)  
can wake-up the processor from the power-managed  
modes, if bit INTxE was set prior to going into power-  
managed modes. If the global interrupt enable bit GIE  
is set, the processor will branch to the interrupt vector  
following wake-up.  
In 8-bit mode (which is the default), an overflow  
(FFh 00h) in the TMR0 register will set flag bit  
TMR0IF. In 16-bit mode, an overflow (FFFFh 0000h)  
in the TMR0H:TMR0L registers will set flag bit TMR0IF.  
The interrupt can be enabled/disabled by setting/clear-  
ing enable bit TMR0IE (INTCON<5>). Interrupt priority  
for Timer0 is determined by the value contained in the  
interrupt priority bit TMR0IP (INTCON2<2>). See  
Section 11.0 “Timer0 Module” for further details.  
9.8  
PORTB Interrupt-on-Change  
An input change on PORTB<7:4> sets flag bit RBIF  
(INTCON<0>). The interrupt can be enabled/disabled  
by setting/clearing enable bit, RBIE (INTCON<3>).  
Interrupt priority for PORTB interrupt-on-change is  
determined by the value contained in the interrupt  
priority bit, RBIP (INTCON2<0>).  
Interrupt priority for INT1 and INT2 is determined by the  
value contained in the interrupt priority bits, INT1IP  
(INTCON3<6>) and INT2IP (INTCON3<7>). There is  
no priority bit associated with INT0. It is always a high  
priority interrupt source.  
9.9  
Context Saving During Interrupts  
During interrupts, the return PC address is saved on  
the stack. Additionally, the WREG, Status and BSR  
registers are saved on the fast return stack. If a fast  
return from interrupt is not used (See Section 5.3  
“Fast Register Stack”), the user may need to save the  
WREG, Status and BSR registers on entry to the  
interrupt service routine. Depending on the user’s  
application, other registers may also need to be saved.  
Example 9-1 saves and restores the WREG, Status  
and BSR registers during an interrupt service routine.  
EXAMPLE 9-1:  
SAVING STATUS, WREG AND BSR REGISTERS IN RAM  
MOVWF  
MOVFF  
MOVFF  
;
W_TEMP  
STATUS,STATUS_TEMP  
BSR,BSR_TEMP  
; W_TEMP is in virtual bank  
; STATUS_TEMP located anywhere  
; BSR_TMEP located anywhere  
; USER ISR CODE  
;
MOVFF  
MOVF  
MOVFF  
BSR_TEMP,BSR  
W_TEMP,W  
STATUS_TEMP, STATUS  
; Restore BSR  
; Restore WREG  
; Restore STATUS  
DS39616B-page 106  
Preliminary  
2003 Microchip Technology Inc.  
 
 
 
 
 
 
PIC18F2331/2431/4331/4431  
10.1 PORTA, TRISA and LATA  
Registers  
10.0 I/O PORTS  
Depending on the device selected and features  
enabled, there are up to five ports available. Some pins  
of the I/O ports are multiplexed with an alternate  
function from the peripheral features on the device. In  
general, when a peripheral is enabled, that pin may not  
be used as a general purpose I/O pin.  
PORTA is a 8-bit wide, bidirectional port. The corre-  
sponding data direction register is TRISA. Setting a  
TRISA bit (= 1) will make the corresponding PORTA pin  
an input (i.e., put the corresponding output driver in a  
High-Impedance mode). Clearing a TRISA bit (= 0) will  
make the corresponding PORTA pin an output (i.e., put  
the contents of the output latch on the selected pin).  
Each port has three registers for its operation. These  
registers are:  
Reading the PORTA register reads the status of the  
pins, whereas writing to it, will write to the port latch.  
• TRIS register (data direction register)  
• PORT register (reads the levels on the pins of the  
device)  
The Data Latch register (LATA) is also memory mapped.  
Read-modify-write operations on the LATA register read  
and write the latched output value for PORTA.  
• LAT register (output latch)  
The data latch (LAT register) is useful for read-modify-  
write operations on the value that the I/O pins are  
driving.  
The RA<2:4> pins are multiplexed with three input  
capture pins and Quadrature Encoder Interface pins.  
Pins RA6 and RA7 are multiplexed with the main  
oscillator pins; they are enabled as oscillator or I/O pins  
by the selection of the main oscillator in Configuration  
Register 1H (see Section 22.1 “Configuration Bits”  
for details). When they are not used as port pins, RA6  
and RA7 and their associated TRIS and LAT bits are  
read as ‘0’.  
A simplified model of a generic I/O port without the  
interfaces to other peripherals is shown in Figure 10-1.  
FIGURE 10-1:  
GENERIC I/O PORT  
OPERATION  
RD LAT  
The other PORTA pins are multiplexed with analog  
inputs, the analog VREF+ and VREF- inputs and the com-  
parator voltage reference output. The operation of pins  
RA3:RA0 and RA5 as A/D converter inputs is selected  
by clearing/setting the control bits in the ANSEL0 and  
ANSEL1 registers.  
Data  
Bus  
D
Q
I/O pin(1)  
WR LAT  
or  
PORT  
CK  
Data Latch  
Note 1: On a Power-on Reset, RA5:RA0 are con-  
figured as analog inputs and read as ‘0’.  
D
Q
2: RA5 I/F is available only on 40-pin  
WR TRIS  
RD TRIS  
CK  
TRIS Latch  
devices (PIC18F4X31).  
Input  
Buffer  
The TRISA register controls the direction of the RA  
pins, even when they are being used as analog inputs.  
The user must ensure the bits in the TRISA register are  
maintained set when using them as analog inputs.  
Q
D
EXAMPLE 10-1:  
INITIALIZING PORTA  
EN  
CLRF  
PORTA  
LATA  
0x3F  
; Initialize PORTA by  
; clearing output  
; data latches  
; Alternate method  
; to clear output  
; data latches  
RD PORT  
CLRF  
Note 1: I/O pins have diode protection to VDD and VSS.  
MOVLW  
MOVWF  
MOVLW  
; Configure A/D  
ANSEL0 ; for digital inputs  
0xCF  
; Value used to  
; initialize data  
; direction  
MOVWF  
TRISA  
; Set RA<3:0> as inputs  
; RA<5:4> as outputs  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 107  
 
 
 
 
 
 
 
PIC18F2331/2431/4331/4431  
FIGURE 10-2:  
BLOCK DIAGRAM OF RA0  
FIGURE 10-3:  
BLOCK DIAGRAM OF RA1  
VDD  
P
RD LATA  
Data  
Bus  
RD LATA  
Data Bus  
D
Q
D
Q
Q
VDD  
P
RA1  
WR LATA  
or  
PORTA  
Q
CK  
WR LATA  
or  
PORTA  
N
CK  
Data Latch  
Data Latch  
VSS  
D
Q
Q
N
I/O Pin  
D
Q
WR TRISA  
CK  
Analog  
Input  
TRIS Latch  
WR TRISA  
VSS  
Analog  
Mode  
Q
CK  
Input  
Mode  
TRIS Latch  
RD TRISA  
TTL  
TTL  
Q
D
RD TRISA  
Q
Input  
Buffer  
EN  
D
RD PORTA  
EN  
To A/D Converter  
RD PORTA  
To A/D Converter  
FIGURE 10-4:  
BLOCK DIAGRAM OF RA3:RA2 PINS  
VDD  
P
RD LATA  
Data Bus  
D
Q
I/O Pin  
WR LATA  
or  
PORTA  
Q
CK  
Data Latch  
N
D
Q
Q
VSS  
WR TRISA  
CK  
Analog  
Input  
Mode  
TRIS Latch  
Schmitt  
Trigger  
Input  
TTL  
RD TRISA  
Buffer  
Q
D
EN  
RD PORTA  
To A/D Converter  
To CAP1/INDX or CAP2/QEA  
DS39616B-page 108  
Preliminary  
2003 Microchip Technology Inc.  
 
 
 
PIC18F2331/2431/4331/4431  
FIGURE 10-5:  
BLOCK DIAGRAM OF RA4  
RD LATA  
Q
Data  
Bus  
D
VDD  
P
WR LATA  
or  
PORTA  
CK  
Q
Data Latch  
D
Q
RA4(1)  
N
WR TRISA  
VSS  
CK  
Schmitt  
Trigger  
Input  
Q
Analog  
TRIS Latch  
Input  
Buffer  
Mode  
TTL  
Input  
Buffer  
RD TRISA  
Q
D
EN  
RD PORTA  
To A/D Converter  
To CAP3/QEB  
Note 1: Open-drain usually available on RA4 has been removed for this device.  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 109  
 
PIC18F2331/2431/4331/4431  
FIGURE 10-6:  
BLOCK DIAGRAM OF RA5  
FIGURE 10-8:  
BLOCK DIAGRAM OF RA7  
INTOSC Enable  
RD LATA  
Data  
Bus  
Data  
Bus  
TO  
D
Q
OSCILLATOR  
RD LATA  
VDD  
WR LATA  
or  
PORTA  
Q
Data Latch  
CK  
P
D
Q
VDD  
P
WR LATA  
or  
PORTA  
N
I/O  
Pin  
Q
CK  
D
Q
Data Latch  
WR TRISA  
N
I/O  
Pin  
VSS  
D
Q
Q
CK  
Analog  
Input  
TRIS Latch  
VSS  
CK  
Q
Mode or  
LVDIN  
INTOSC  
w/RA7 Enable  
TRIS Latch  
Enabled  
TTL  
Input  
Buffer  
RD TRISA  
TTL  
Input  
Q
D
RD TRISA  
Buffer  
EN  
Q
D
RD PORTA  
EN  
To A/D Converter/LVD Module Input  
RD PORTA  
FIGURE 10-7:  
BLOCK DIAGRAM OF RA6  
ECRA6 or RCRA6  
Enable  
Data  
Bus  
TO  
OSCILLATOR  
RD LATA  
D
Q
VDD  
P
WR LATA  
or  
CK  
Q
PORTA  
Data Latch  
N
I/O  
Pin  
D
Q
VSS  
Q
CK  
ECRA6 or  
RCRA6  
Enable  
TRIS Latch  
TTL  
Input  
Buffer  
RD TRISA  
Q
D
EN  
RD PORTA  
DS39616B-page 110  
Preliminary  
2003 Microchip Technology Inc.  
 
 
PIC18F2331/2431/4331/4431  
TABLE 10-1: PORTA FUNCTIONS  
Name  
Bit #  
Buffer  
Function  
Input/output or analog input.  
Input/output or analog input.  
RA0/AN0  
RA1/AN1  
bit 0  
bit 1  
bit 2  
TTL  
TTL  
RA2/AN2/VREF-/CAP1/INDX  
RA3/AN3/VREF+/CAP2/QEA  
RA4/AN4/CAP3/QEB  
TTL/ST Input/output, analog input, VREF-, capture input, or QEI Index  
input.  
bit 3  
bit 4  
TTL/ST Input/output, analog input, VREF+, capture input, or Quadrature  
Channel A input.  
TTL/ST Input/output, analog input, capture input, or Quadrature Channel  
B input.  
RA5/AN5/LVDIN  
OSC2/CLKO/RA6  
OSC1/CLKI/RA7  
bit 5  
bit 6  
bit 7  
TTL  
TTL  
TTL  
Input/output, analog input, or low-voltage detect input.  
OSC2, clock output or I/O pin.  
OSC1, clock input or I/O pin.  
Legend: TTL = TTL input, ST = Schmitt Trigger input  
TABLE 10-2: SUMMARY OF REGISTERS ASSOCIATED WITH PORTA  
Value on  
all other  
Resets  
Value on  
POR, BOR  
Name  
Bit 7  
Bit 6  
Bit 5  
Bit 4  
Bit 3  
Bit 2  
Bit 1  
Bit 0  
(1)  
(1)  
PORTA  
RA7  
RA6  
RA5  
RA4  
RA3  
RA2  
RA1  
RA0  
xx0x 0000 uu0u 0000  
xxxx xxxx uuuu uuuu  
1111 1111 1111 1111  
00-1 0000 00-1 0000  
1111 1111 1111 1111  
---- ---1 ---- ---1  
(1)  
(1)  
LATA  
LATA7  
LATA6  
LATA Data Output Register  
(1)  
(1)  
TRISA  
TRISA7  
VCFG1  
TRISA6  
VCFG0  
PORTA Data Direction Register  
FIFOEN  
BFEMT  
BFOVFL  
ADPNT1  
ADPNT0  
ANS0  
ADCON1  
ANSEL0  
ANSEL1  
Legend:  
ANS5  
(2)  
(2)  
(2)  
ANS7  
ANS6  
ANS4  
ANS3  
ANS2  
ANS1  
(2)  
ANS8  
x= unknown, u= unchanged, – = unimplemented locations read as ‘0’. Shaded cells are not used by PORTA.  
Note 1: RA7:RA6 and their associated latch and data direction bits are enabled as I/O pins based on oscillator  
configuration; otherwise, they are read as ‘0’.  
2: ANS5 through ANS8 are available only on the PIC18F4X31 devices.  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 111  
 
PIC18F2331/2431/4331/4431  
Four of the PORTB pins (RB7:RB4) have an interrupt-  
10.2 PORTB, TRISB and LATB  
Registers  
on-change feature. Only pins configured as inputs can  
cause this interrupt to occur (i.e., any RB7:RB4 pin  
configured as an output is excluded from the interrupt-  
on-change comparison). The input pins (of RB7:RB4)  
are compared with the old value latched on the last  
read of PORTB. The “mismatch” outputs of RB7:RB4  
are ORed together to generate the RB port change  
interrupt with flag bit, RBIF (INTCON<0>).  
PORTB is an 8-bit wide, bidirectional port. The corre-  
sponding Data Direction register is TRISB. Setting a  
TRISB bit (= 1) will make the corresponding PORTB  
pin an input (i.e., put the corresponding output driver in  
a High-Impedance mode). Clearing a TRISB bit (= 0)  
will make the corresponding PORTB pin an output (i.e.,  
put the contents of the output latch on the selected pin).  
This interrupt can wake the device from Sleep. The  
user, in the interrupt service routine, can clear the  
interrupt in the following manner:  
The Data Latch register (LATB) is also memory  
mapped. Read-modify-write operations on the LATB  
register read and write the latched output value for  
PORTB.  
a) Any read or write of PORTB (except with the  
MOVFF (ANY), PORTB instruction). This will  
end the mismatch condition.  
EXAMPLE 10-2:  
INITIALIZING PORTB  
b) Clear flag bit RBIF.  
CLRF  
PORTB  
; Initialize PORTB by  
; clearing output  
; data latches  
A mismatch condition will continue to set flag bit RBIF.  
Reading PORTB will end the mismatch condition and  
allow flag bit RBIF to be cleared.  
CLRF  
LATB  
; Alternate method  
; to clear output  
; data latches  
The interrupt-on-change feature is recommended for  
wake-up on key depression operation and operations  
where PORTB is only used for the interrupt-on-change  
feature. Polling of PORTB is not recommended while  
using the interrupt-on-change feature.  
MOVLW  
MOVWF  
0xCF  
; Value used to  
; initialize data  
; direction  
; Set RB<3:0> as inputs  
; RB<5:4> as outputs  
; RB<7:6> as inputs  
TRISB  
RB<0:3> and RB4 pins are multiplexed with the 14-bit  
PWM module for PWM<0:3> and PWM5 output. The  
RB5 pin can be configured by the configuration bit  
PWM4MX as the alternate pin for PWM4 output.  
Each of the PORTB pins has a weak internal pull-up. A  
single control bit can turn on all the pull-ups. This is  
performed by clearing bit RBPU (INTCON2<7>). The  
weak pull-up is automatically turned off when the port  
pin is configured as an output. The pull-ups are  
disabled on a Power-on Reset.  
DS39616B-page 112  
Preliminary  
2003 Microchip Technology Inc.  
 
 
 
 
 
 
PIC18F2331/2431/4331/4431  
FIGURE 10-9:  
BLOCK DIAGRAM OF RB3:RB0 PINS  
VDD  
Weak  
RBPU(1)  
P
Pull-up  
PORT/PWM Select  
PWM0,1,2, 3 Data  
VDD  
P
0
1
RD LATC  
Q
Data Bus  
D
WR LATB  
or  
PORTB  
RB<3:0>  
Pins  
Q
CK  
Data Latch  
N
D
Q
Q
VSS  
WR TRISB  
CK  
TTL  
Input  
Buffer  
TRIS Latch  
RD TRISB  
Q
D
EN  
RD PORTB  
Note 1: To enable weak pull-ups, set the appropriate TRIS bit(s) and clear the RBPU bit (INTCON2<7>).  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 113  
 
PIC18F2331/2431/4331/4431  
FIGURE 10-10:  
BLOCK DIAGRAM OF RB4  
VDD  
Weak  
RBPU(1)  
P
Pull-up  
PORT/PWM Select  
PWM5 Data  
VDD  
P
0
1
RD LATC  
Q
Data Bus  
D
WR LATB  
or  
PORTB  
RB4 Pin  
Q
CK  
Data Latch  
N
D
Q
Q
VSS  
WR TRISB  
CK  
TTL  
Input  
Buffer  
TRIS Latch  
RD TRISB  
RD LATB  
Q
D
EN  
Q1  
RD PORTB  
Set RBIF  
Q
D
From other  
RB7:RB4 pins  
RD PORTB  
Q3  
EN  
Note 1: To enable weak pull-ups, set the appropriate TRIS bit(s) and clear the RBPU bit (INTCON2<7>).  
DS39616B-page 114  
Preliminary  
2003 Microchip Technology Inc.  
 
PIC18F2331/2431/4331/4431  
FIGURE 10-11:  
BLOCK DIAGRAM OF RB5  
PORT/PWM Select  
0
1
PWM4 Data  
VDD  
P
N
VSS  
VDD  
RBPU  
Weak  
Pull-up  
P
Data Bus  
D
Q
RB5/PGM  
WR PORT  
Q
CK  
Data Latch  
D
Q
WR TRIS  
CK  
TRIS Latch  
TTL  
Input  
Buffer  
Schmitt  
Trigger  
RD TRIS  
Q
D
RD PORT  
Q1  
EN  
Set RBIF  
Q
D
From other  
RB7:RB4 pins  
RD Port  
Q3  
EN  
LVP Configuration Bit  
1 = Low V Prog Enable  
0 = only HV Prog  
Enable ICSP  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 115  
 
PIC18F2331/2431/4331/4431  
FIGURE 10-12:  
BLOCK DIAGRAM OF RB7:RB6 PINS  
Enable Debug or ICSP  
RBPU(1)  
Weak  
Pull-up  
P
0
1
RD LATB  
Enable  
Data Bus  
D
Q
Q
Debug  
RB7/RB6  
Pin  
BRBx  
WR LATB  
or  
PORTB  
CK  
0
1
Data Latch  
Enable  
Debug  
D
Q
Q
BTRISx  
WR TRISB  
CK  
TRIS Latch  
TTL  
Input  
Buffer  
RD TRISC  
Schmitt  
Trigger  
Q
D
RD PORTB  
Enable Debug  
or ICSP  
Q1  
EN  
Set RBIF  
Q
D
RD PORTB  
Q3  
From other  
RB7:RB4 pins  
EN  
PGC(2)/PGD(3)  
Note 1: To enable weak pull-ups, set the appropriate TRIS bit(s) and clear the RBPU bit (INTCON2<7>).  
2: PGC is available on RB6.  
3: PGD is available on RB7.  
DS39616B-page 116  
Preliminary  
2003 Microchip Technology Inc.  
 
PIC18F2331/2431/4331/4431  
TABLE 10-3: PORTB FUNCTIONS  
Name  
Bit #  
Buffer  
Function  
RB0/PWM0  
bit 0  
TTL(1)  
Input/output pin, or PCPWM output PWM0.  
Internal software programmable weak pull-up.  
RB1/PWM1  
bit 1  
bit 2  
bit 3  
bit 4  
bit 5  
TTL(1)  
TTL(1)  
TTL(1)  
TTL  
Input/output pin, or PCPWM output PWM1. Internal software  
programmable weak pull-up.  
RB2/PWM2  
Input/output pin, or PCPWM output PWM2. Internal software  
programmable weak pull-up.  
RB3/PWM3  
Input/output pin, or PCPWM output PWM3.  
Internal software programmable weak pull-up.  
RB4/KBI0/PWM5  
Input/output pin (with interrupt-on-change), or PCPWM output PWM5.  
Internal software programmable weak pull-up.  
RB5/KBI1/PWM4/  
PGM  
TTL/ST(2) Input/output pin (with interrupt-on-change) or PCPWM output PWM4.  
Internal software programmable weak pull-up.  
Low-voltage ICSP enable pin.  
RB6/KBI2/PGC  
RB7/KBI3/PGD  
bit 6  
bit 7  
TTL/ST(2) Input/output pin (with interrupt-on-change).  
Internal software programmable weak pull-up.  
Serial programming clock.  
TTL/ST(2) Input/output pin (with interrupt-on-change).  
Internal software programmable weak pull-up.  
Serial programming data.  
Legend: TTL = TTL input, ST = Schmitt Trigger input  
Note 1: This buffer is a TTL input when configured as digital I/O.  
2: This buffer is a Schmitt Trigger input when used in Serial Programming mode.  
TABLE 10-4: SUMMARY OF REGISTERS ASSOCIATED WITH PORTB  
Value on  
all other  
Resets  
Value on  
POR, BOR  
Name  
Bit 7  
Bit 6  
Bit 5  
Bit 4  
Bit 3  
Bit 2  
Bit 1  
Bit 0  
PORTB  
LATB  
RB7  
RB6  
RB5  
RB4  
RB3  
RB2  
RB1  
RB0  
xxxq qqqq  
xxxx xxxx  
1111 1111  
0000 000x  
1111 -1-1  
uuuu uuuu  
uuuu uuuu  
1111 1111  
0000 000u  
1111 -1-1  
11-0 0-00  
LATB Data Output Register  
TRISB  
PORTB Data Direction Register  
GIE/GIEH PEIE/GIEL TMR0IE  
INTCON  
INTCON2  
INTCON3  
Legend:  
INT0IE  
RBIE  
TMR0IF INT0IF  
RBIF  
RBIP  
RBPU  
INTEDG0 INTEDG1 INTEDG2  
INT1IP INT2IE  
TMR0IP  
INT2IP  
INT1IE  
INT2IF  
INT1IF 11-0 0-00  
x= unknown, u= unchanged, q= value depends on condition. Shaded cells are not used by PORTB.  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 117  
 
PIC18F2331/2431/4331/4431  
External interrupts, IN0, INT1 and INT2, are placed on  
RC3, RC4 and RC5 respectively.  
10.3 PORTC, TRISC and LATC  
Registers  
SSP alternate interface pins, SDI/SDA, SCK/SCL and  
SDO are placed on RC4, RC5, and RC7 pins respec-  
tively.  
PORTC is an 8-bit wide, bidirectional port. The corre-  
sponding Data Direction register is TRISC. Setting a  
TRISC bit (= 1) will make the corresponding PORTC  
pin an input (i.e., put the corresponding output driver in  
a High-Impedance mode). Clearing a TRISC bit (= 0)  
will make the corresponding PORTC pin an output (i.e.,  
put the contents of the output latch on the selected pin).  
These pins are multiplexed on PORTC and PORTD by  
using the SSPMX bit in the CONFIG3L register.  
USART pins RX/DT and TX/CK are placed on RC7 and  
RC6 respectively.  
The Data Latch register (LATC) is also memory  
mapped. Read-modify-write operations on the LATC  
register read and write the latched output value for  
PORTC.  
The alternate Timer5 external clock input, T5CKI, and  
the alternate TMR0 external clock input, T0CKI, are  
placed on RC3 and are multiplexed with the PORTD  
(RD0) pin using the EXCLKMX configuration bit in  
CONFIG3L. Fault inputs to the 14-bit PWM module,  
FLTA and FLTB, are located on RC1 and RC2. FLTA  
input on RC1 is multiplexed with RD4 using the  
FLTAMX bit.  
PORTC is multiplexed with several peripheral functions  
(Table 10-5). The pins have Schmitt Trigger input  
buffers.  
When enabling peripheral functions, care should be  
taken in defining TRIS bits for each PORTC pin. Some  
peripherals override the TRIS bit to make a pin an output,  
while other peripherals override the TRIS bit to make a  
pin an input. The user should refer to the corresponding  
peripheral section for the correct TRIS bit settings.  
EXAMPLE 10-3:  
INITIALIZING PORTC  
CLRF  
PORTC  
; Initialize PORTC by  
; clearing output  
; data latches  
CLRF  
LATC  
; Alternate method  
; to clear output  
; data latches  
Note: On a Power-on Reset, these pins are  
configured as digital inputs.  
MOVLW  
MOVWF  
0xCF  
; Value used to  
; initialize data  
; direction  
; Set RC<3:0> as inputs  
; RC<5:4> as outputs  
; RC<7:6> as inputs  
The contents of the TRISC register are affected by  
peripheral overrides. Reading TRISC always returns  
the current contents, even though a peripheral device  
may be overriding one or more of the pins.  
TRISC  
FIGURE 10-13:  
BLOCK DIAGRAM OF RC0  
VDD  
P
RD LATC  
Data Bus  
D
Q
WR LATC  
or  
PORTC  
RC0 Pin  
Q
CK  
Data Latch  
N
D
Q
Q
VSS  
WR TRISC  
T1 OSC EN  
CK  
Timer1  
Oscillator  
TRIS Latch  
Schmitt  
Trigger  
RD TRISC  
To RC1 Pin  
Q
D
EN  
RD PORTC  
T1 Clock Input  
DS39616B-page 118  
Preliminary  
2003 Microchip Technology Inc.  
 
 
 
 
 
 
PIC18F2331/2431/4331/4431  
FIGURE 10-14:  
BLOCK DIAGRAM OF RC1  
PORT/CCP2 Select  
CCP2 Data Out  
VDD  
P
0
1
To RC0 Pin  
RD LATC  
Q
Data Bus  
D
RC1 Pin  
WR LATC  
or  
PORTC  
Q
CK  
Data Latch  
N
D
Q
Q
VSS  
WR TRISC  
CK  
TRIS Latch  
FLTAMX  
Schmitt  
Trigger  
RD TRISC  
Q
D
EN  
RD PORTC  
CCP2 Input  
FLTA input(1)  
Note 1: FLTA input is multiplexed with RC1 and RD4 using FLTAMX configuration bit in CONFIG3L register.  
FIGURE 10-15:  
BLOCK DIAGRAM OF RC2  
PORT/CCP1 Select  
CCP1 Data Out  
VDD  
P
0
1
RD LATC  
Q
Data Bus  
D
RC2 Pin  
WR LATC  
or  
PORTC  
Q
CK  
Data Latch  
N
D
Q
Q
VSS  
WR TRISC  
CK  
TRIS Latch  
Schmitt  
Trigger  
RD TRISC  
Q
D
EN  
RD PORTC  
CCP1 Input/FLTB input  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 119  
 
 
PIC18F2331/2431/4331/4431  
FIGURE 10-16:  
BLOCK DIAGRAM OF RC3  
VDD  
P
RD LATC  
Data Bus  
D
Q
Q
RC3 Pin  
WR LATC  
or  
PORTC  
CK  
Data Latch  
N
D
Q
Q
VSS  
WR TRISC  
CK  
TRIS Latch  
EXCLKMX_enable(1)  
Schmitt  
Trigger  
RD TRISC  
Q
D
EN  
RD PORTC  
T0CKI/T5CKI Input  
Note 1: The T0CKI/T5CKI bit is multiplexed with RD0 when the EXCLKM is enabled (= 1) in the configuration register.  
FIGURE 10-17:  
BLOCK DIAGRAM OF RC4  
PORT/SSP Mode & SSPMX Select  
SDA Data Out  
VDD  
P
0
1
RD LATC  
Q
Data Bus  
D
RC4 Pin  
WR LATC  
or  
PORTC  
CK  
Q
Data Latch  
N
D
Q
Q
VSS  
WR TRISC  
SDA Drive  
CK  
TRIS Latch  
SSPMX(1)  
Schmitt  
Trigger  
RD TRISC  
Q
D
EN  
RD PORTC  
SDI/SDA Input  
Note 1: The SDI/SDA bits are multiplexed on RD2 and RC4 pins by SSPMX bit in the configuration register.  
DS39616B-page 120  
Preliminary  
2003 Microchip Technology Inc.  
 
 
PIC18F2331/2431/4331/4431  
FIGURE 10-18:  
BLOCK DIAGRAM OF RC5  
I2C™ Mode  
PORT/ SSPEN & SSPMX_ Select  
SCK/SCL Data Out  
VDD  
P
0
1
RD LATC  
Q
Data Bus  
D
WR LATC  
or  
PORTC  
RC5 Pin  
Q
CK  
Data Latch  
N
D
Q
Q
VSS  
WR TRISC  
SDO Drive  
CK  
TRIS Latch  
SSPMX(1)  
Schmitt  
Trigger  
RD TRISC  
Q
D
EN  
RD PORTC  
SCL or SCL input  
Note 1: SCK/SCL are multiplexed on RD3 and RC5 using SSPMX bit in the configuration register.  
FIGURE 10-19:  
BLOCK DIAGRAM OF RC6  
USART Select  
TX Data Out/CK  
VDD  
P
0
1
RD LATC  
Q
Data Bus  
D
RC6 Pin  
WR LATC  
or  
PORTC  
Q
CK  
Data Latch  
N
D
Q
Q
VSS  
WR TRISC  
CK  
TRIS Latch  
TTL  
Schmitt  
Trigger  
RD TRISC  
USART Select  
RD PORTC  
Q
D
EN  
CK Input  
SS input  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 121  
 
 
PIC18F2331/2431/4331/4431  
FIGURE 10-20:  
BLOCK DIAGRAM OF RC6  
USART Select (1)  
DT Data Out  
PORT/(SSPEN * SPI Mode ) Select  
SDO Data Out(2)  
0
1
0
1
VDD  
P
RD LATC  
Q
Data Bus  
D
RC7 Pin  
WR LATC  
or  
PORTC  
Q
CK  
Data Latch  
N
D
Q
Q
VSS  
WR TRISC  
CK  
TRIS Latch  
Schmitt  
Trigger  
RD TRISC  
USART Select(1)  
Q
D
EN  
RD PORTC  
RX/DT Data Input  
Note 1: USART is in Synchronous Master Transmission mode only (SYNC = 1, TXEN = 1).  
2: SDO must have its TRISC bit cleared in order to be able to drive RC7.  
DS39616B-page 122  
Preliminary  
2003 Microchip Technology Inc.  
 
PIC18F2331/2431/4331/4431  
TABLE 10-5: PORTC FUNCTIONS  
Name  
Bit # Buffer Type  
Function  
RC0/T1OSO/T1CKI  
bit 0  
bit 1  
ST  
Input/output port pin or Timer1 oscillator output/Timer1 clock input.  
RC1/T1OSI/CCP2/  
FLTA  
ST/CMOS  
Input/output port pin, Timer1 oscillator input, or Capture2 input/  
Compare2 output/PWM output when CCP2MX configuration bit is  
disabled, or FLTA input.  
RC2/CCP1/FLTB  
bit 2  
bit 3  
bit 4  
ST  
ST  
Input/output port pin, Capture1 input/Compare1 output/PWM1 output,  
or FLTB input.  
RC3/T0CKI/T5CKI/  
INT0  
Input/output port pin, Timer0 and Timer5 alternate clock input, or  
external interrupt 0.  
Input/output port pin, SPI Data in, I2C Data I/O, or external interrupt 1.  
RC4/INT1/SDI/SDA  
ST  
ST  
RC5/INT2/SCK/SCL bit 5  
Input/output port pin or Synchronous Serial Port Clock I/O, or external  
interrupt 2.  
RC6/TX/CK/SS  
bit 6  
bit 7  
ST  
ST  
Input/output port pin, EUSART Asynchronous Transmit, EUSART  
Synchronous Clock, or SPI Slave Select input.  
RC7/RX/DT/SDO  
Input/output port pin, EUSART Asynchronous Receive, EUSART  
Synchronous Data, or SPI Data out.  
Legend: ST = Schmitt Trigger input  
TABLE 10-6: SUMMARY OF REGISTERS ASSOCIATED WITH PORTC  
Value on  
all other  
Resets  
Value on  
POR, BOR  
Name  
Bit 7  
Bit 6  
Bit 5  
Bit 4  
Bit 3  
Bit 2  
Bit 1  
Bit 0  
PORTC  
RC7  
RC6  
RC5  
RC4  
RC3  
RC2  
RC1  
RC0  
xxxx xxxx uuuu uuuu  
xxxx xxxx uuuu uuuu  
1111 1111 1111 1111  
0000 000x 0000 000u  
1111 -1-1 1111 -1-1  
LATC  
LATC Data Output Register  
TRISC  
PORTC Data Direction Register  
INTCON GIE/GIEH PEIE/GIEL TMR0IE  
INTCON2 RBPU  
INTCON3 INT2IP  
Legend: x= unknown, u= unchanged  
INT0IE  
RBIE  
TMR0IF  
TMR0IP  
INT0IF  
RBIF  
RBIP  
INTEDG0 INTEDG1 INTEDG2  
INT1IP INT2IE  
INT1IE  
INT2IF  
INT1IF 11-0 0-00 11-0 0-00  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 123  
 
PIC18F2331/2431/4331/4431  
PORTD includes PWM<7:6> complementary fourth  
10.4 PORTD, TRISD and LATD  
Registers  
channel PWM outputs. PWM4 is the complementary  
output of PWM5 (the third channel), which is multi-  
plexed with the RB5 pin. This output can be used as the  
alternate output using the PWM4MX configuration bit in  
CONFIG3L when the low-voltage programming pin  
(PGM) is used on RB5.  
Note: PORTD is only available on PIC18F4X31  
devices.  
PORTD is an 8-bit wide, bidirectional port. The  
corresponding Data Direction register is TRISD.  
Setting a TRISD bit (= 1) will make the corresponding  
PORTD pin an input (i.e., put the corresponding output  
driver in a High-Impedance mode). Clearing a TRISD  
bit (= 0) will make the corresponding PORTD pin an  
output (i.e., put the contents of the output latch on the  
selected pin).  
RD1, RD2 and RD3 can be used as the alternate out-  
put for SDO, SDI/SDA and SCK/SCL using the SSPMX  
configuration bit in CONFIG3L.  
RD4 an be used as the alternate output for FLTA using  
the FLTAMX configuration bit in CONFIG3L.  
EXAMPLE 10-4:  
INITIALIZING PORTD  
The Data Latch register (LATD) is also memory  
mapped. Read-modify-write operations on the LATD  
register read and write the latched output value for  
PORTD.  
CLRF  
PORTD  
; Initialize PORTD by  
; clearing output  
; data latches  
; Alternate method  
; to clear output  
; data latches  
; Value used to  
; initialize data  
; direction  
CLRF  
LATD  
All pins on PORTD are implemented with Schmitt  
Trigger input buffers. Each pin is individually  
configurable as an input or output.  
MOVLW  
MOVWF  
0xCF  
Note: On a Power-on Reset, these pins are  
configured as digital inputs.  
TRISD  
; Set RD<3:0> as inputs  
; RD<5:4> as outputs  
; RD<7:6> as inputs  
FIGURE 10-21:  
BLOCK DIAGRAM OF RD7:RD6 PINS  
PORT/PWM Select  
PWM6,7 Data Out  
VDD  
P
0
1
RD LATD  
Q
Data Bus  
D
RD[7:6] Pin  
WR LATD  
or  
PORTD  
Q
CK  
Data Latch  
N
D
Q
Q
VSS  
WR TRISD  
CK  
TRIS Latch  
RD TRISD  
Schmitt  
Trigger  
Q
D
EN  
RD PORTD  
DS39616B-page 124  
Preliminary  
2003 Microchip Technology Inc.  
 
 
 
 
 
PIC18F2331/2431/4331/4431  
FIGURE 10-22:  
BLOCK DIAGRAM OF RD5  
PORT/PWM Select  
PWM4 Data Out*  
VDD  
P
0
1
RD LATD  
Q
Data Bus  
D
RD5 Pin  
WR LATD  
or  
PORTD  
CK  
Q
Data Latch  
N
D
Q
Q
VSS  
WR TRISD  
CK  
TRIS Latch  
RD TRISD  
Schmitt  
Trigger  
Q
D
EN  
RD PORTD  
FIGURE 10-23:  
BLOCK DIAGRAM OF RD4  
VDD  
P
RD LATD  
Data Bus  
D
Q
Q
RD4 Pin  
WR LATD  
or  
PORTD  
CK  
Data Latch  
N
D
Q
Q
VSS  
WR TRISD  
CK  
TRIS Latch  
RD TRISD  
Schmitt  
Trigger  
FLTAMX(1)  
Schmitt  
Trigger  
Q
D
EN  
RD PORTD  
FLTA input  
Note 1: FLTAMX is located in the configuration register.  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 125  
 
 
PIC18F2331/2431/4331/4431  
FIGURE 10-24:  
BLOCK DIAGRAM OF RD3  
I2C™ Mode  
PORT/ SSPEN & SSPMX Select  
SCK/SCL Data Out  
VDD  
P
0
1
RD LATD  
Data Bus  
WR LATD  
or  
PORTD  
D
Q
Q
RD3 Pin  
CK  
Data Latch  
N
D
Q
Q
VSS  
WR TRISD  
CK  
TRIS Latch  
(1)  
SSPMX  
Schmitt  
Trigger  
RD TRISD  
Q
D
EN  
RD PORTC  
SCK or SCL input  
Note 1: SCK/SCL are multiplexed on RD3 and RC5 using SSPMX bit in the configuration register.  
FIGURE 10-25:  
BLOCK DIAGRAM OF RD2  
PORT/SSP Mode & SSPMX Select  
SDA Data Out  
VDD  
P
0
1
RD LATC  
Q
Data Bus  
D
RD2Pin  
WR LATC  
or  
PORTC  
Q
CK  
Data Latch  
N
D
Q
Q
VSS  
WR TRISC  
SDA Drive  
CK  
TRIS Latch  
SSPMX(1)  
Schmitt  
Trigger  
RD TRISC  
Q
D
EN  
RD PORTC  
SDI/SDA Input  
Note 1: The SDI/SDA bits are multiplexed on RD2 and RC4 pins by SSPMX bit in the configuration register.  
DS39616B-page 126  
Preliminary  
2003 Microchip Technology Inc.  
 
 
PIC18F2331/2431/4331/4431  
FIGURE 10-26:  
BLOCK DIAGRAM OF RD1  
PORT/SPI Mode & SSPMX Select  
SDO Data Out  
VDD  
P
0
1
RD LATD  
Q
Data Bus  
D
RD1 Pin  
WR LATD  
or  
PORTD  
Q
CK  
Data Latch  
N
D
Q
Q
VSS  
WR TRISD  
CK  
TRIS Latch  
Schmitt  
Trigger  
RD TRISD  
Q
D
EN  
RD PORTD  
Note 1: The SDO output is multiplexed by SSPMX bit in the configuration register.  
FIGURE 10-27:  
BLOCK DIAGRAM OF RD0  
VDD  
P
RD LATD  
Data Bus  
D
Q
Q
RD0 Pin  
WR LATD  
or  
PORTD  
CK  
Data Latch  
N
D
Q
Q
VSS  
WR TRISD  
CK  
TRIS Latch  
SSPMX(1)  
Schmitt  
Trigger  
RD TRISD  
Q
D
EN  
RD PORTD  
T0CKI/T5CKI Input  
Note 1: T0CKI/T5CKI are multiplexed by SSPMX bit in the configuration register.  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 127  
 
 
PIC18F2331/2431/4331/4431  
TABLE 10-7: PORTD FUNCTIONS  
Name  
Bit #  
Buffer Type  
Function  
RD0/T0CKI/T5CKI  
RD1/SDO  
bit 0  
bit 1  
bit 2  
bit 3  
bit 4  
bit 5  
bit 6  
bit 7  
ST  
ST  
ST  
ST  
ST  
ST  
ST  
ST  
Input/output port pin.  
Input/output port pin.  
Input/output port pin.  
Input/output port pin.  
Input/output port pin.  
RD2/SDI/SDA  
RD3/SCK/SCL  
RD4/FLTA  
RD5/PWM4  
RD6/PWM6  
RD7/PWM7  
Input/output port pin, or PCPWM output PWM4.  
Input/output port pin, or PCPWM output PWM6.  
Input/output port pin, or PCPWM output PWM7.  
Legend: ST = Schmitt Trigger input, TTL = TTL input  
TABLE 10-8: SUMMARY OF REGISTERS ASSOCIATED WITH PORTD  
Value on  
all other  
Resets  
Value on  
POR, BOR  
Name  
Bit 7  
Bit 6  
Bit 5  
Bit 4  
Bit 3  
Bit 2  
Bit 1  
Bit 0  
PORTD  
LATD  
RD7  
RD6  
RD5  
RD4  
RD3  
RD2  
RD1  
RD0  
xxxx xxxx  
xxxx xxxx  
1111 1111  
uuuu uuuu  
uuuu uuuu  
1111 1111  
LATD Data Output Register  
TRISD  
Legend:  
PORTD Data Direction Register  
x= unknown, u= unchanged, = unimplemented, read as ‘0’. Shaded cells are not used by PORTD.  
DS39616B-page 128  
Preliminary  
2003 Microchip Technology Inc.  
 
PIC18F2331/2431/4331/4431  
The fourth pin of PORTE (MCLR/VPP/RE3) is an input  
only pin. Its operation is controlled by the MCLRE con-  
figuration bit in Configuration Register 3H  
(CONFIG3H<7>). When selected as a port pin  
(MCLRE = 0), it functions as a digital input only pin. As  
such, it does not have TRIS or LAT bits associated with  
10.5 PORTE, TRISE and LATE  
Registers  
Note:  
PORTE is only available on PIC18F4X31  
devices.  
its operation. Otherwise, it functions as the device’s  
master clear input. In either configuration, RE3 also  
functions as the programming voltage input during  
programming.  
PORTE is a 4-bit wide bidirectional port. Three pins  
(RE0/AN6, RE1/AN67 and RE2/AN8) are individually  
configurable as inputs or outputs. These pins have  
Schmitt Trigger input buffers. When selected as an  
analog input, these pins will read as ‘0’s.  
Note: On a Power-on Reset, RE3 is enabled as a  
digital input only if Master Clear functionality  
is disabled.  
The corresponding Data Direction register is TRISE.  
Setting a TRISE bit (= 1) will make the corresponding  
PORTE pin an input (i.e., put the corresponding output  
driver in a High-Impedance mode). Clearing a TRISE  
bit (= 0) will make the corresponding PORTE pin an  
output (i.e., put the contents of the output latch on the  
selected pin).  
EXAMPLE 10-5:  
INITIALIZING PORTE  
CLRF  
PORTE  
; Initialize PORTE by  
; clearing output  
; data latches  
CLRF  
LATE  
; Alternate method  
; to clear output  
; data latches  
TRISE controls the direction of the RE pins, even when  
they are being used as analog inputs. The user must  
make sure to keep the pins configured as inputs when  
using them as analog inputs.  
MOVLW  
MOVWF  
bcf  
0x3F  
; Configure A/D  
; for digital inputs  
;
; Value used to  
; initialize data  
; direction  
ANSEL0  
ANSEL1, 0  
0x03  
Note: On a Power-on Reset, RE2:RE0 are  
MOVLW  
configured as analog inputs.  
The Data Latch register (LATE) is also memory  
mapped. Read-modify-write operations on the LATE  
register read and write the latched output value for  
PORTE.  
MOVWF  
TRISE  
; Set RE<0> as input  
; RE<1> as output  
; RE<2> as input  
10.5.1  
PORTE IN 28-PIN DEVICES  
For PIC18F2X31 devices, PORTE is only available  
when master clear functionality is disabled  
(CONFIG3H<7> = 0). In these cases, PORTE is a  
single bit, input only port comprised of RE3 only. The  
pin operates as previously described.  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 129  
 
 
 
 
PIC18F2331/2431/4331/4431  
FIGURE 10-28:  
RE2:RE0 BLOCK DIAGRAM  
VDD  
P
RD LATE  
Data Bus  
D
Q
Q
RE<0:2>  
Pins  
WR LATE  
or  
PORTE  
CK  
Data Latch  
N
D
Q
Q
WR TRISE  
VSS  
CK  
TRIS Latch  
Analog  
Input  
Mode  
RD TRISE  
Schmitt  
Trigger  
TTL  
Q
D
EN  
RD PORTE  
To A/D Converter ch. AN6 or AN7 or AN8  
FIGURE 10-29:  
RE3 BLOCK DIAGRAM  
MCLR/VPP/RE3  
MCLRE  
Data Bus  
Schmitt  
Trigger  
RD TRISE  
RD LATE  
Latch  
Q
D
EN  
RD PORTE  
High Voltage Detect  
Internal MCLR  
HV  
MCLRE  
FILTER  
Low Level  
MCLR Detect  
Note 1: Pin requires special protection due to HV.  
DS39616B-page 130  
Preliminary  
2003 Microchip Technology Inc.  
 
 
PIC18F2331/2431/4331/4431  
REGISTER 10-1: TRISE REGISTER  
U-0  
U-0  
U-0  
U-0  
U-0  
R/W-1  
R/W-1  
R/W-1  
TRISE2  
TRISE1  
TRISE0  
bit 7  
bit 0  
bit 7  
bit 6  
bit 5  
bit 4  
bit 3  
bit 2  
Unimplemented: Read as ‘0’  
Unimplemented: Read as ‘0’  
Unimplemented: Read as ‘0’  
Unimplemented: Read as ‘0’  
Unimplemented: Read as ‘0’  
TRISE2: RE2 Direction Control bit  
1= Input  
0= Output  
bit 1  
bit 0  
TRISE1: RE1 Direction Control bit  
1= Input  
0= Output  
TRISE0: RE0 Direction Control bit  
1= Input  
0= Output  
Legend:  
R = Readable bit  
W = Writable bit  
‘1’ = Bit is set  
U = Unimplemented bit, read as ‘0’  
‘0’ = Bit is cleared x = Bit is unknown  
- n = Value at POR  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 131  
 
PIC18F2331/2431/4331/4431  
TABLE 10-9:  
Name  
PORTE FUNCTIONS  
Bit #  
Buffer Type  
Function  
Input/output port pin, analog input.  
RE0/AN6  
bit 0  
bit 1  
bit 2  
bit 3  
ST  
ST  
ST  
ST  
RE1/AN7  
Input/output port pin, analog input.  
Input/output port pin, analog input.  
RE2/AN8  
MCLR/VPP/RE3  
Input only port pin or programming voltage input (if MCLR is disabled);  
Master Clear input or programming voltage input (if MCLR is  
enabled).  
Legend: ST = Schmitt Trigger input, TTL = TTL input  
TABLE 10-10: SUMMARY OF REGISTERS ASSOCIATED WITH PORTE  
Value on  
all other  
Resets  
Value on  
POR, BOR  
Name  
Bit 7  
Bit 6  
Bit 5  
Bit 4  
Bit 3  
Bit 2  
Bit 1  
Bit 0  
(1)  
PORTE  
LATE  
RE3  
RE2  
RE1  
RE0  
---- q000 ---- q000  
---- -xxx ---- -uuu  
---- -111 ---- -111  
1111 1111 1111 1111  
---- ---0 ---- ---0  
LATE Data Output Register  
PORTE Data Direction bits  
TRISE  
ANSEL0  
ANSEL1  
Legend:  
ANS7  
ANS15  
ANS6  
ANS14  
ANS5  
ANS13  
ANS4  
ANS12  
ANS3  
ANS11  
ANS2  
ANS1  
ANS9  
ANS0  
ANS8  
ANS10  
x= unknown, u= unchanged, = unimplemented, read as ‘0’, q = value depends on condition.  
Shaded cells are not used by PORTE.  
Note 1: Implemented only when Master Clear functionality is disabled (CONFIG3H<7> = 0).  
DS39616B-page 132  
Preliminary  
2003 Microchip Technology Inc.  
 
PIC18F2331/2431/4331/4431  
Figure 11-1 shows a simplified block diagram of the  
Timer0 module in 8-bit mode and Figure 11-2 shows a  
simplified block diagram of the Timer0 module in 16-bit  
11.0 TIMER0 MODULE  
The Timer0 module has the following features:  
mode.  
• Software selectable as an 8-bit or 16-bit timer/  
counter  
The T0CON register (Register 11-1) is a readable and  
writable register that controls all the aspects of Timer0,  
including the prescale selection.  
• Readable and writable  
• Dedicated 8-bit software programmable prescaler  
• Clock source selectable to be external or internal  
• Interrupt-on-overflow from FFh to 00h in 8-bit  
mode and FFFFh to 0000h in 16-bit mode  
• Edge select for external clock  
REGISTER 11-1: T0CON: TIMER0 CONTROL REGISTER  
R/W-1  
TMR0ON  
bit 7  
R/W-1  
R/W-1  
T0CS  
R/W-1  
T0SE  
R/W-1  
PSA  
R/W-1  
T0PS2  
R/W-1  
T0PS1  
R/W-1  
T0PS0  
bit 0  
T016BIT  
bit 7  
bit 6  
bit 5  
bit 4  
bit 3  
TMR0ON: Timer0 On/Off Control bit  
1= Enables Timer0  
0= Stops Timer0  
T016BIT: Timer0 16-bit Control bit  
1= Timer0 is configured as an 8-bit timer/counter  
0= Timer0 is configured as a 16-bit timer/counter  
T0CS: Timer0 Clock Source Select bit  
1= Transition on T0CKI pin  
0= Internal instruction cycle clock (CLKO)  
T0SE: Timer0 Source Edge Select bit  
1= Increment on high-to-low transition on T0CKI pin  
0= Increment on low-to-high transition on T0CKI pin  
PSA: Timer0 Prescaler Assignment bit  
1= TImer0 prescaler is NOT assigned. Timer0 clock input bypasses prescaler.  
0= Timer0 prescaler is assigned. Timer0 clock input comes from prescaler output.  
bit 2-0 T0PS2:T0PS0: Timer0 Prescaler Select bits  
111=1:256 prescale value  
110=1:128 prescale value  
101=1:64 prescale value  
100=1:32 prescale value  
011=1:16 prescale value  
010=1:8 prescale value  
001=1:4 prescale value  
000=1:2 prescale value  
Legend:  
R = Readable bit  
W = Writable bit  
‘1’ = Bit is set  
U = Unimplemented bit, read as ‘0’  
‘0’ = Bit is cleared x = Bit is unknown  
- n = Value at POR  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 133  
 
 
PIC18F2331/2431/4331/4431  
FIGURE 11-1:  
TIMER0 BLOCK DIAGRAM IN 8-BIT MODE  
Data Bus  
FOSC/4  
0
1
8
T0CKI pin  
0
Sync with  
Internal  
Clocks  
TMR0  
Programmable  
Prescaler  
1
(2 TCY delay)  
T0SE  
3
PSA  
Set Interrupt  
Flag bit TMR0IF  
on Overflow  
T0PS2, T0PS1, T0PS0  
T0CS  
Note: Upon Reset, Timer0 is enabled in 8-bit mode with clock input from T0CKI maximum prescale.  
FIGURE 11-2:  
TIMER0 BLOCK DIAGRAM IN 16-BIT MODE  
FOSC/4  
0
1
T0CKI pin  
0
1
Sync with  
Internal  
Clocks  
Set Interrupt  
Flag bit TMR0IF  
on Overflow  
TMR0  
High Byte  
TMR0L  
Programmable  
Prescaler  
8
(2 TCY delay)  
T0SE  
3
Read TMR0L  
Write TMR0L  
T0PS2, T0PS1, T0PS0  
T0CS  
PSA  
8
8
TMR0H  
8
Data Bus<7:0>  
Note: Upon Reset, Timer0 is enabled in 8-bit mode with clock input from T0CKI maximum prescale.  
DS39616B-page 134  
Preliminary  
2003 Microchip Technology Inc.  
 
 
 
PIC18F2331/2431/4331/4431  
11.2.1  
SWITCHING PRESCALER  
ASSIGNMENT  
11.1 Timer0 Operation  
Timer0 can operate as a timer or as a counter.  
The prescaler assignment is fully under software con-  
trol (i.e., it can be changed “on-the-fly” during program  
execution).  
Timer mode is selected by clearing the T0CS bit. In  
Timer mode, the Timer0 module will increment every  
instruction cycle (without prescaler). If the TMR0 regis-  
ter is written, the increment is inhibited for the following  
two instruction cycles. The user can work around this  
by writing an adjusted value to the TMR0 register.  
11.3 Timer0 Interrupt  
The TMR0 interrupt is generated when the TMR0  
register overflows from FFh to 00h in 8-bit mode, or  
FFFFh to 0000h in 16-bit mode. This overflow sets the  
TMR0IF bit. The interrupt can be masked by clearing  
the TMR0IE bit. The TMR0IF bit must be cleared in  
software by the Timer0 module interrupt service routine  
before re-enabling this interrupt. The TMR0 interrupt  
cannot awaken the processor from Sleep mode, since  
the timer requires clock cycles, even when T0CS is set.  
Counter mode is selected by setting the T0CS bit. In  
Counter mode, Timer0 will increment, either on every  
rising or falling edge of pin RC3/T0CKI. The increment-  
ing edge is determined by the Timer0 Source Edge  
Select bit (T0SE). Clearing the T0SE bit selects the  
rising edge.  
When an external clock input is used for Timer0, it must  
meet certain requirements. The requirements ensure  
the external clock can be synchronized with the internal  
phase clock (TOSC). Also, there is a delay in the actual  
incrementing of Timer0 after synchronization.  
11.4 16-Bit Mode Timer Reads and  
Writes  
TMR0H is not the high byte of the timer/counter in  
16-bit mode, but is actually a buffered version of the  
high byte of Timer0 (refer to Figure 11-2). The high byte  
of the Timer0 counter/timer is not directly readable nor  
writable. TMR0H is updated with the contents of the  
high byte of Timer0 during a read of TMR0L. This pro-  
vides the ability to read all 16 bits of Timer0 without  
having to verify that the read of the high and low byte  
were valid due to a rollover between successive reads  
of the high and low byte.  
11.2 Prescaler  
An 8-bit counter is available as a prescaler for the Timer0  
module. The prescaler is not readable or writable.  
The PSA and T0PS2:T0PS0 bits determine the  
prescaler assignment and prescale ratio.  
Clearing bit PSA will assign the prescaler to the Timer0  
module. When the prescaler is assigned to the Timer0  
module, prescale values of 1:2, 1:4, ..., 1:256 are  
selectable.  
A write to the high byte of Timer0 must also take place  
through the TMR0H buffer register. Timer0 high byte is  
updated with the contents of TMR0H when a write  
occurs to TMR0L. This allows all 16 bits of Timer0 to be  
updated at once.  
When assigned to the Timer0 module, all instructions  
writing to the TMR0 register (e.g., CLRF TMR0, MOVWF  
TMR0, BSF TMR0, x....etc.) will clear the prescaler  
count.  
Note:  
Writing to TMR0 when the prescaler is  
assigned to Timer0 will clear the prescaler  
count, but will not change the prescaler  
assignment.  
TABLE 11-1: REGISTERS ASSOCIATED WITH TIMER0  
Value on  
all other  
Resets  
Value on  
POR, BOR  
Name  
Bit 7  
Bit 6  
Bit 5  
Bit 4  
Bit 3  
Bit 2  
Bit 1  
Bit 0  
TMR0L  
TMR0H  
INTCON  
T0CON  
Timer0 Module Low Byte Register  
Timer0 Module High Byte Register  
xxxx xxxx uuuu uuuu  
0000 0000 0000 0000  
0000 000x 0000 000u  
1111 1111 1111 1111  
GIE/GIEH PEIE/GIEL TMR0IE INT0IE  
RBIE  
PSA  
TMR0IF INT0IF  
T0PS2 T0PS1  
RBIF  
TMR0ON  
T016BIT  
T0CS  
T0SE  
T0PS0  
(1)  
(1)  
TRISA  
RA7  
RA6  
PORTA Data Direction Register  
1111 1111 1111 1111  
Legend: x= unknown, u= unchanged, = unimplemented locations read as ‘0’. Shaded cells are not used by Timer0.  
Note 1: RA6 and RA7 are enabled as I/O pins depending on the Oscillator mode selected in Configuration Word 1H.  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 135  
 
 
 
 
 
 
 
 
 
 
PIC18F2331/2431/4331/4431  
NOTES:  
DS39616B-page 136  
Preliminary  
2003 Microchip Technology Inc.  
PIC18F2331/2431/4331/4431  
Register 12-1 details the Timer1 control register. This  
register controls the Operating mode of the Timer1  
module, and contains the Timer1 Oscillator Enable bit  
(T1OSCEN). Timer1 can be enabled or disabled by  
setting or clearing control bit TMR1ON (T1CON<0>).  
12.0 TIMER1 MODULE  
The Timer1 module timer/counter has the following  
features:  
• 16-bit timer/counter  
(two 8-bit registers; TMR1H and TMR1L)  
The Timer1 oscillator can be used as a secondary clock  
source in power-managed modes. When the T1RUN  
bit is set, the Timer1 oscillator provides the system  
clock. If the Fail-Safe Clock Monitor is enabled and the  
Timer1 oscillator fails while providing the system clock,  
polling the T1RUN bit will indicate whether the clock is  
being provided by the Timer1 oscillator or another  
source.  
• Readable and writable (both registers)  
• Internal or external clock select  
• Interrupt-on-overflow from FFFFh to 0000h  
• Reset from CCP module special event trigger  
• Status of system clock operation  
Figure 12-1 is a simplified block diagram of the Timer1  
module.  
Timer1 can also be used to provide Real-Time Clock  
(RTC) functionality to applications with only a minimal  
addition of external components and code overhead.  
REGISTER 12-1: T1CON: TIMER1 CONTROL REGISTER  
R/W-0  
RD16  
R-0  
R/W-0  
R/W-0  
R/W-0  
R/W-0  
R/W-0  
R/W-0  
T1RUN T1CKPS1 T1CKPS0 T1OSCEN T1SYNC TMR1CS TMR1ON  
bit 0  
bit 7  
bit 7  
bit 6  
RD16: 16-bit Read/Write Mode Enable bit  
1= Enables register read/write of TImer1 in one 16-bit operation  
0= Enables register read/write of Timer1 in two 8-bit operations  
T1RUN: Timer1 System Clock Status bit  
1= System clock is derived from Timer1 oscillator  
0= System clock is derived from another source  
bit 5-4 T1CKPS1:T1CKPS0: Timer1 Input Clock Prescale Select bits  
11=1:8 Prescale value  
10=1:4 Prescale value  
01=1:2 Prescale value  
00=1:1 Prescale value  
bit 3  
bit 2  
T1OSCEN: Timer1 Oscillator Enable bit  
1= Timer1 oscillator is enabled  
0= Timer1 oscillator is shut-off  
The oscillator inverter and feedback resistor are turned off to eliminate power drain.  
T1SYNC: Timer1 External Clock Input Synchronization Select bit  
When TMR1CS = 1(External Clock):  
1= Do not synchronize external clock input  
0= Synchronize external clock input  
When TMR1CS = 0(Internal Clock):  
This bit is ignored. Timer1 uses the internal clock when TMR1CS = 0.  
TMR1CS: Timer1 Clock Source Select bit  
bit 1  
bit 0  
1= External clock from pin RC0/T1OSO/T1CKI (on the rising edge)  
0= Internal clock (FOSC/4)  
TMR1ON: Timer1 On bit  
1= Enables Timer1  
0= Stops Timer1  
Legend:  
R = Readable bit  
W = Writable bit  
‘1’ = Bit is set  
U = Unimplemented bit, read as ‘0’  
‘0’ = Bit is cleared x = Bit is unknown  
- n = Value at POR  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 137  
 
 
 
 
 
 
PIC18F2331/2431/4331/4431  
When TMR1CS = 0, Timer1 increments every instruc-  
12.1 Timer1 Operation  
tion cycle. When TMR1CS = 1, Timer1 increments on  
every rising edge of the external clock input or the  
Timer1 oscillator, if enabled.  
Timer1 can operate in one of these modes:  
• As a timer  
• As a synchronous counter  
• As an asynchronous counter  
When the Timer1 oscillator is enabled (T1OSCEN is  
set), the RC1/T1OSI/CCP2/FLTA and RC0/T1OSO/  
T1CKI pins become inputs. That is, the  
TRISC1:TRISC0 value is ignored, and the pins are  
read as ‘0’.  
The Operating mode is determined by the Clock Select  
bit, TMR1CS (T1CON<1>).  
Timer1 also has an internal “Reset input”. This Reset  
can be generated by the CCP module (see  
Section 15.4.4 “Special Event Trigger”).  
FIGURE 12-1:  
TIMER1 BLOCK DIAGRAM  
CCP Special Event Trigger  
TMR1IF  
Overflow  
Interrupt  
Flag Bit  
Synchronized  
TMR1  
CLR  
0
Clock Input  
TMR1L  
TMR1H  
T1OSC  
1
TMR1ON  
On/Off  
T1SYNC  
1
T1CKI/T1OSO  
T1OSI  
Synchronize  
det  
T1OSCEN  
Enable  
Prescaler  
1, 2, 4, 8  
FOSC/4  
Internal  
Clock  
(1)  
Oscillator  
0
2
Peripheral Clocks  
T1CKPS1:T1CKPS0  
TMR1CS  
Note 1: When enable bit T1OSCEN is cleared, the inverter and feedback resistor are turned off. This eliminates power drain.  
FIGURE 12-2:  
TIMER1 BLOCK DIAGRAM: 16-BIT READ/WRITE MODE  
Data Bus<7:0>  
8
TMR1H  
8
8
Write TMR1L  
Read TMR1L  
CCP Special Event Trigger  
0
TMR1IF  
Overflow  
Interrupt  
Synchronized  
Clock Input  
TMR1  
8
CLR  
Timer 1  
High Byte  
TMR1L  
Flag bit  
1
TMR1ON  
T1SYNC  
on/off  
T1OSC  
T1CKI/T1OSO  
1
Synchronize  
Prescaler  
1, 2, 4, 8  
T1OSCEN  
det  
FOSC/4  
Internal  
Clock  
Enable  
0
(1)  
T1OSI  
Oscillator  
2
Peripheral Clocks  
TMR1CS  
T1CKPS1:T1CKPS0  
Note 1: When enable bit T1OSCEN is cleared, the inverter and feedback resistor are turned off. This eliminates power drain.  
DS39616B-page 138  
Preliminary  
2003 Microchip Technology Inc.  
 
 
 
 
PIC18F2331/2431/4331/4431  
12.2 Timer1 Oscillator  
12.3 Timer1 Oscillator Layout  
Considerations  
A crystal oscillator circuit is built-in between pins T1OSI  
(input) and T1OSO (amplifier output). It is enabled by  
setting control bit T1OSCEN (T1CON<3>). The oscilla-  
tor is a low-power oscillator rated for 32 kHz crystals. It  
will continue to run during all power-managed modes.  
The circuit for a typical LP oscillator is shown in  
Figure 12-3. Table 12-1 shows the capacitor selection  
for the Timer1 oscillator.  
The Timer1 oscillator for PIC18F2331/2431/4331/4431  
devices incorporates an additional low-power feature.  
When this option is selected, it allows the oscillator to  
automatically reduce its power consumption when the  
microcontroller is in Sleep mode. During normal device  
operation, the oscillator draws full current. As high  
noise environments may cause excessive oscillator  
instability in Sleep mode, this option is best suited for  
low noise applications where power conservation is an  
important design consideration.  
The user must provide a software time delay to ensure  
proper start-up of the Timer1 oscillator.  
FIGURE 12-3:  
EXTERNAL  
COMPONENTS FOR THE  
TIMER1 LP OSCILLATOR  
The low-power option is enabled by clearing the  
T1OSCMX bit (CONFIG3L<5>). By default, the option  
is disabled, which results in a more-or-less constant  
current draw for the Timer1 oscillator.  
C1  
33 pF  
PIC18FXXXX  
Due to the low power nature of the oscillator, it may also  
be sensitive to rapidly changing signals in close  
proximity.  
T1OSI  
XTAL  
32.768 kHz  
The oscillator circuit, shown in Figure 12-3, should be  
located as close as possible to the microcontroller.  
There should be no circuits passing within the oscillator  
circuit boundaries other than VSS or VDD.  
T1OSO  
C2  
33 pF  
If a high-speed circuit must be located near the oscilla-  
tor (such as the CCP1 pin in output compare or PWM  
mode, or the primary oscillator using the OSC2 pin), a  
grounded guard ring around the oscillator circuit, as  
shown in Figure 12-4, may be helpful when used on a  
single sided PCB, or in addition to a ground plane.  
Note:  
See the notes with Table 12-1 for additional  
information about capacitor selection.  
TABLE 12-1: CAPACITOR SELECTION FOR  
THE TIMER OSCILLATOR  
FIGURE 12-4:  
OSCILLATOR CIRCUIT  
WITH GROUNDED GUARD  
RING  
Osc Type  
Freq  
C1  
C2  
LP  
32 kHz  
27 pF(1)  
27 pF(1)  
VDD  
VSS  
Note 1: Microchip suggests this value as a starting  
point in validating the oscillator circuit.  
2: Higher capacitance increases the stability  
of the oscillator, but also increases the  
start-up time.  
OSC1  
OSC2  
3: Since each resonator/crystal has its own  
characteristics, the user should consult  
the resonator/crystal manufacturer for  
RC0  
RC1  
appropriate  
values  
of  
external  
components.  
4: Capacitor values are for design guidance  
only.  
RC2  
Note: Not drawn to scale.  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 139  
 
 
 
 
 
 
PIC18F2331/2431/4331/4431  
12.4 Timer1 Interrupt  
12.7 Using Timer1 as a Real-Time  
Clock  
The TMR1 register pair (TMR1H:TMR1L) increments  
from 0000h to FFFFh and rolls over to 0000h. The  
Timer1 interrupt, if enabled, is generated on overflow,  
which is latched in interrupt flag bit, TMR1IF  
(PIR1<0>). This interrupt can be enabled/disabled by  
setting/clearing Timer1 interrupt enable bit, TMR1IE  
(PIE1<0>).  
Adding an external LP oscillator to Timer1 (such as the  
one described in Section 12.2 “Timer1 Oscillator”),  
gives users the option to include RTC functionality to  
their applications. This is accomplished with an  
inexpensive watch crystal to provide an accurate time  
base, and several lines of application code to calculate  
the time. When operating in Sleep mode and using a  
battery or supercapacitor as a power source, it can  
completely eliminate the need for a separate RTC  
device and battery backup.  
12.5 Resetting Timer1 Using a CCP  
Trigger Output  
If the CCP module is configured in Compare mode to  
generate a “special event trigger” (CCP1M3:CCP1M0  
= 1011), this signal will reset Timer1 and start an A/D  
conversion if the A/D module is enabled (see  
Section 15.4.4 “Special Event Trigger” for more  
information.).  
The application code routine RTCisr, shown in  
Example 12-1, demonstrates a simple method to  
increment a counter at one-second intervals using an  
interrupt service routine. Incrementing the TMR1  
register pair to overflow triggers the interrupt and calls  
the routine, which increments the seconds counter by  
one. Additional counters for minutes and hours are  
incremented as the previous counter overflow.  
Note:  
The special event triggers from the CCP1  
module will not set interrupt flag bit  
TMR1IF (PIR1<0>).  
Since the register pair is 16-bits wide, counting up to  
overflow the register directly from a 32.768 kHz clock  
would take 2 seconds. To force the overflow at the  
required one-second intervals, it is necessary to pre-  
load it; the simplest method is to set the MSbit of  
TMR1H with a BSF instruction. Note that the TMR1L  
register is never preloaded or altered; doing so may  
introduce cumulative error over many cycles.  
Timer1 must be configured for either Timer or Synchro-  
nized Counter mode to take advantage of this feature.  
If Timer1 is running in Asynchronous Counter mode,  
this Reset operation may not work.  
In the event that a write to Timer1 coincides with a  
special event trigger from CCP1, the write will take  
precedence.  
For this method to be accurate, Timer1 must operate in  
Asynchronous mode, and the Timer1 overflow interrupt  
must be enabled (PIE1<0> = 1), as shown in the rou-  
tine RTCinit. The Timer1 oscillator must also be  
enabled and running at all times.  
In this mode of operation, the CCPR1H:CCPR1L regis-  
ters pair effectively becomes the period register for  
Timer1.  
12.6 Timer1 16-Bit Read/Write Mode  
Timer1 can be configured for 16-bit reads and writes  
(see Figure 12-2). When the RD16 control bit  
(T1CON<7>) is set, the address for TMR1H is mapped  
to a buffer register for the high byte of Timer1. A read  
from TMR1L will load the contents of the high byte of  
Timer1 into the Timer1 high byte buffer. This provides  
the user with the ability to accurately read all 16 bits of  
Timer1 without having to determine whether a read of  
the high byte, followed by a read of the low byte, is  
valid, due to a rollover between reads.  
A write to the high byte of Timer1 must also take place  
through the TMR1H buffer register. Timer1 high byte is  
updated with the contents of TMR1H when a write  
occurs to TMR1L. This allows a user to write all 16 bits  
to both the high and low bytes of Timer1 at once.  
The high byte of Timer1 is not directly readable or writ-  
able in this mode. All reads and writes must take place  
through the Timer1 high byte buffer register. Writes to  
TMR1H do not clear the Timer1 prescaler. The  
prescaler is only cleared on writes to TMR1L.  
DS39616B-page 140  
Preliminary  
2003 Microchip Technology Inc.  
 
 
 
 
PIC18F2331/2431/4331/4431  
EXAMPLE 12-1:  
IMPLEMENTING A REAL-TIME CLOCK USING A TIMER1 INTERRUPT SERVICE  
RTCinit  
MOVLW  
MOVWF  
CLRF  
0x80  
TMR1H  
TMR1L  
; Preload TMR1 register pair  
; for 1 second overflow  
MOVLW  
MOVWF  
CLRF  
b’00001111’  
T1OSC  
secs  
; Configure for external clock,  
; Asynchronous operation, external oscillator  
; Initialize timekeeping registers  
;
CLRF  
mins  
MOVLW  
MOVWF  
BSF  
.12  
hours  
PIE1, TMR1IE  
; Enable Timer1 interrupt  
RETURN  
RTCisr  
BSF  
BCF  
INCF  
MOVLW  
TMR1H, 7  
PIR1, TMR1IF  
secs, F  
.59  
; Preload for 1 sec overflow  
; Clear interrupt flag  
; Increment seconds  
; 60 seconds elapsed?  
CPFSGT secs  
RETURN  
; No, done  
CLRF  
INCF  
MOVLW  
secs  
mins, F  
.59  
; Clear seconds  
; Increment minutes  
; 60 minutes elapsed?  
CPFSGT mins  
RETURN  
; No, done  
CLRF  
INCF  
MOVLW  
mins  
hours, F  
.23  
; clear minutes  
; Increment hours  
; 24 hours elapsed?  
CPFSGT hours  
RETURN  
; No, done  
MOVLW  
MOVWF  
RETURN  
.01  
hours  
; Reset hours to 1  
; Done  
TABLE 12-2: REGISTERS ASSOCIATED WITH TIMER1 AS A TIMER/COUNTER  
Value on  
all other  
Resets  
Value on  
POR, BOR  
Name  
Bit 7  
Bit 6  
Bit 5  
Bit 4  
Bit 3  
Bit 2  
Bit 1  
Bit 0  
INTCON GIE/GIEH PEIE/GIEL TMR0IE  
INT0IE  
TXIF  
RBIE  
SSPIF  
SSPIE  
SSPIP  
TMR0IF  
INT0IF  
RBIF  
-000 000x 0000 000u  
PIR1  
ADIF  
ADIE  
ADIP  
RCIF  
RCIE  
RCIP  
CCP1IF TMR2IF TMR1IF -000 0000 -000 0000  
CCP1IE TMR2IE TMR1IE -000 0000 -000 0000  
CCP1IP TMR2IP TMR1IP 1111 1111 -111 1111  
PIE1  
TXIE  
TXIP  
IPR1  
TMR1L  
Holding Register for the Least Significant Byte of the 16-bit TMR1 Register  
xxxx xxxx uuuu uuuu  
xxxx xxxx uuuu uuuu  
TMR1H Holding Register for the Most Significant Byte of the 16-bit TMR1 Register  
T1CON RD16  
Legend: x= unknown, u= unchanged, = unimplemented, read as ‘0’. Shaded cells are not used by the Timer1 module.  
T1RUN T1CKPS1 T1CKPS0 T1OSCEN T1SYNC TMR1CS TMR1ON 0000 0000 u0uu uuuu  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 141  
 
 
PIC18F2331/2431/4331/4431  
NOTES:  
DS39616B-page 142  
Preliminary  
2003 Microchip Technology Inc.  
PIC18F2331/2431/4331/4431  
13.1 Timer2 Operation  
13.0 TIMER2 MODULE  
Timer2 can be used as the PWM time base for the  
PWM mode of the CCP module. The TMR2 register is  
readable and writable, and is cleared on any device  
Reset. The input clock (FOSC/4) has a prescale option  
of 1:1, 1:4 or 1:16, selected by control bits  
T2CKPS1:T2CKPS0 (T2CON<1:0>). The match out-  
put of TMR2 goes through a 4-bit postscaler (which  
gives a 1:1 to 1:16 scaling inclusive) to generate a  
TMR2 interrupt (latched in flag bit TMR2IF, (PIR1<1>)).  
The Timer2 module timer has the following features:  
• 8-bit timer (TMR2 register)  
• 8-bit period register (PR2)  
• Readable and writable (both registers)  
• Software programmable prescaler (1:1, 1:4, 1:16)  
• Software programmable postscaler (1:1 to 1:16)  
• Interrupt on TMR2 match with PR2  
• SSP module optional use of TMR2 output to  
generate clock shift  
The prescaler and postscaler counters are cleared  
when any of the following occurs:  
Timer2 has a control register shown in Register 13-1.  
TMR2 can be shut off by clearing control bit TMR2ON  
(T2CON<2>) to minimize power consumption.  
Figure 13-1 is a simplified block diagram of the Timer2  
module. Register 13-1 shows the Timer2 control  
register. The prescaler and postscaler selection of  
Timer2 are controlled by this register.  
• A write to the TMR2 register  
• A write to the T2CON register  
• Any device Reset (Power-on Reset, MCLR Reset,  
Watchdog Timer Reset or Brown-out Reset)  
TMR2 is not cleared when T2CON is written.  
REGISTER 13-1: T2CON: TIMER2 CONTROL REGISTER  
U-0  
R/W-0  
R/W-0  
R/W-0  
R/W-0  
R/W-0  
R/W-0  
R/W-0  
TOUTPS3 TOUTPS2 TOUTPS1 TOUTPS0 TMR2ON T2CKPS1 T2CKPS0  
bit 0  
bit 7  
bit 7  
Unimplemented: Read as ‘0’  
bit 6-3 TOUTPS3:TOUTPS0: Timer2 Output Postscale Select bits  
0000= 1:1 Postscale  
0001=1:2 Postscale  
1111=1:16 Postscale  
bit 2  
TMR2ON: Timer2 On bit  
1= Timer2 is on  
0= Timer2 is off  
bit 1-0 T2CKPS1:T2CKPS0: Timer2 Clock Prescale Select bits  
00= Prescaler is 1  
01= Prescaler is 4  
1x= Prescaler is 16  
Legend:  
R = Readable bit  
W = Writable bit  
‘1’ = Bit is set  
U = Unimplemented bit, read as ‘0’  
‘0’ = Bit is cleared x = Bit is unknown  
- n = Value at POR  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 143  
 
 
 
 
 
 
 
 
 
 
PIC18F2331/2431/4331/4431  
13.2 Timer2 Interrupt  
13.3 Output of TMR2  
The Timer2 module has an 8-bit period register, PR2.  
Timer2 increments from 00h until it matches PR2 and  
then resets to 00h on the next increment cycle. PR2 is  
a readable and writable register. The PR2 register is  
initialized to FFh upon Reset.  
The output of TMR2 (before the postscaler) is fed to the  
Synchronous Serial Port module, which optionally uses  
it to generate the shift clock.  
FIGURE 13-1:  
TIMER2 BLOCK DIAGRAM  
Sets Flag  
TMR2  
bit TMR2IF  
(1)  
Output  
Prescaler  
Reset  
EQ  
TMR2  
FOSC/4  
1:1, 1:4, 1:16  
Postscaler  
1:1 to 1:16  
2
Comparator  
PR2  
T2CKPS1:T2CKPS0  
4
TOUTPS3:TOUTPS0  
Note 1: TMR2 register output can be software selected by the SSP module as a baud clock.  
TABLE 13-1: REGISTERS ASSOCIATED WITH TIMER2 AS A TIMER/COUNTER  
Value on  
all other  
Resets  
Value on  
POR, BOR  
Name  
Bit 7  
Bit 6  
Bit 5  
Bit 4  
Bit 3  
Bit 2  
Bit 1  
Bit 0  
INTCON GIE/GIEH PEIE/GIEL TMR0IE  
INT0IE  
TXIF  
RBIE  
SSPIF  
SSPIE  
SSPIP  
TMR0IF  
CCP1IF  
INT0IF  
RBIF  
0000 000x 0000 000u  
PIR1  
PIE1  
ADIF  
ADIE  
ADIP  
RCIF  
RCIE  
RCIP  
TMR2IF  
TMR1IF -000 0000 -000 0000  
TMR1IE -000 0000 -000 0000  
TMR1IP -111 1111 -111 1111  
0000 0000 0000 0000  
TXIE  
TXIP  
CCP1IE TMR2IE  
CCP1IP TMR2IP  
IPR1  
TMR2  
T2CON  
PR2  
Timer2 Module Register  
TOUTPS3 TOUTPS2 TOUTPS1 TOUTPS0 TMR2ON T2CKPS1 T2CKPS0 -000 0000 -000 0000  
Timer2 Period Register 1111 1111 1111 1111  
Legend: x= unknown, u= unchanged, = unimplemented read as ‘0’. Shaded cells are not used by the Timer2 module.  
DS39616B-page 144  
Preliminary  
2003 Microchip Technology Inc.  
 
 
 
 
PIC18F2331/2431/4331/4431  
Timer5 is a general-purpose timer/counter that incorpo-  
rates additional features for use with the Motion Feed-  
back module (see Section 16.0 “Motion Feedback  
Module”). It may also be used as a general-purpose  
timer or a special event trigger delay timer. When used  
as a general-purpose timer, it can be configured to gen-  
erate a delayed special event trigger (e.g., an ADC  
special event trigger) using a pre-programmed period  
delay.  
14.0 TIMER5 MODULE  
The Timer5 module implements these features:  
• 16-bit timer/counter operation  
• Synchronous and asynchronous counter modes  
• Continuous and Single-Shot operating modes  
• Four programmable prescaler values (1:1 to 1:8)  
• Interrupt generated on period match  
• Special event trigger Reset function  
• Double-buffered registers  
Timer5 is controlled through the Timer5 Control Regis-  
ter (T5CON), shown in Register 14-1. The timer can be  
enabled or disabled by setting or clearing the control bit  
TMR5ON (T5CON<0>).  
• Operation during Sleep  
• CPU wake-up from Sleep  
A block diagram of Timer5 is shown in Figure 14-1.  
• Selectable hardware Reset input with a wake-up  
feature  
REGISTER 14-1: T5CON: TIMER5 CONTROL REGISTER  
R/W-0  
R/W-0  
R/W-0  
R/W-0  
T5PS1  
R/W-0  
T5PS0  
R/W-0  
R/W-0  
R/W-0  
T5SEN  
RESEN  
T5MOD  
T5SYNC TMR5CS TMR5ON  
bit 0  
bit 7  
bit 7  
T5SEN: Timer5 Sleep Enable bit(1)  
1= Timer5 enabled during Sleep  
0= Timer5 disabled during Sleep  
bit 6  
RESEN: Special Event Reset Enable bit  
1= Special Event Reset disabled  
0= Special Event Reset enabled  
bit 5  
T5MOD: Timer5 Mode bit  
1= Single-Shot mode enabled  
0= Continuous Count mode enabled  
bit 4:3  
T5PS1:T5PS0: Timer5 Input Clock Prescale Select bits  
11=1:8  
10=1:4  
01=1:2  
00=1:1  
bit 2  
T5SYNC: Timer5 External Clock Input Synchronization Select bit  
When TMR5CS = 1:  
1= Do not synchronize external clock input  
0= Synchronize external clock input  
When TMR5CS = 0:  
This bit is ignored. Timer5 uses the internal clock when TMR5CS = 0  
bit 1  
bit 0  
TMR5CS: Timer5 Clock Source Select bit  
1= External clock from pin T5CKI  
0= Internal clock (TCY)  
TMR5ON: Timer5 On bit  
1= Timer5 enabled  
0= Timer5 disabled  
Note 1: For Timer5 to operate during Sleep mode, T5SYNC must be set.  
Legend:  
R = Readable bit  
W = Writable bit  
‘1’ = Bit is set  
U = Unimplemented bit, read as ‘0’  
‘0’ = Bit is cleared x = Bit is unknown  
- n = Value at POR  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 145  
 
 
PIC18F2331/2431/4331/4431  
FIGURE 14-1:  
TIMER5 BLOCK DIAGRAM (16-BIT READ/WRITE MODE SHOWN)  
T5CKI  
Internal Data Bus  
1
0
Noise  
Filter  
1
0
Synchronize  
detect  
Prescaler  
1, 2, 4, 8  
FOSC/4  
Internal  
Clock  
2
Sleep Input  
Timer5  
On/Off  
TMR5CS  
T5PS1:T5PS0  
T5SYNC  
TMR5ON  
8
8
TMR5H  
8
Write TMR5L  
Read TMR5L  
TMR5  
TMR5L  
8
Special Event  
Trigger Input  
from IC1  
1
0
TMR5  
High Byte  
Timer5 Reset  
Timer5 Reset  
(external)  
16  
Reset  
Logic  
Comparator  
16  
PR5  
PR5L  
8
8
PR5H  
Set TMR5IF  
Special  
Event  
Logic  
Special Event  
Trigger Output  
Timer5 supports three configurations:  
14.1 Timer5 Operation  
• 16-bit Synchronous Timer  
• 16-bit Synchronous Counter  
• 16-bit Asynchronous Counter  
Timer5 combines two 8-bit registers to function as a 16-  
bit timer. The TMR5L register is the actual low byte of  
the timer; it can be read and written to directly. The high  
byte is contained in an unmapped register; it is read  
and written to through TMR5H, which serves as a  
buffer. Each register increments from 00h to FFh.  
In Synchronous Timer configuration, the timer is  
clocked by the internal device clock. The optional  
Timer5 prescaler divides the input by 2, 4, 8, or not at  
all (1:1). The TMR5 register pair increments on Q1.  
Clearing TMR5CS (= 0) selects the internal device  
clock as the timer sampling clock.  
A second register pair, PR5H and PR5L, serves as a  
period register; it sets the maximum count for the  
TMR5 register pair. When TMR5 reaches the value of  
PR5, the timer rolls over to 00h and sets the TMR5IF  
interrupt flag. A simplified block diagram of the Timer5  
module is shown in Figure 2-1.  
In Synchronous Counter configuration, the timer is  
clocked by the external clock (T5CKI) with the optional  
prescaler. The external T5CKI is selected by setting the  
TMR5CS bit (TMR5CS = 1); the internal clock is  
selected by clearing TMR5CS. The external clock is  
synchronized to the internal clock by clearing the  
T5SYNC bit. The input on T5CKI is sampled on every  
Q2 and Q4 of the internal clock. The low to rise  
transition is decoded on three adjacent samples and  
Note:  
The TIMER5 may be used as a general  
purpose timer and as the time base  
resource to the Motion Feedback module  
(Input Capture or Quadrature Encoder  
Interface).  
DS39616B-page 146  
Preliminary  
2003 Microchip Technology Inc.  
 
PIC18F2331/2431/4331/4431  
the Timer5 is incremented on the next Q1. The T5CKI  
minimum pulse width high and low time must be  
greater than TCY/2.  
Since the actual high byte of the Timer5 register pair is  
not directly readable or writable, it must be read and  
written to through the Timer5 High Byte Buffer register  
(TMR5H). The T5 high byte is updated with the con-  
tents of TMR5H when a write occurs to TMR5L. This  
allows a user to write all 16 bits to both the high and low  
bytes of Timer5 at once. Writes to TMR5H do not clear  
the Timer5 prescaler. The prescaler is only cleared on  
writes to TMR5L.  
In Asynchronous Counter configuration, Timer5 is  
clocked by the external clock (T5CKI) with the optional  
prescaler. In this mode, T5CKI is not synchronized to  
the internal clock. By setting TMR5CS, the external  
input clock (T5CKI) can be used as the counter sam-  
pling clock. When T5SYNC is set, the external clock is  
not synchronized to the internal device clock.  
14.2.1  
16-BIT READ-MODIFY-WRITE  
The timer count is not reset automatically when the  
module is disabled. The user may write the counter  
register to initialize the counter.  
Read-modify-write instructions like BSF and BCF will  
read the contents of a register, make the appropriate  
changes, and place the result back into the register.  
The write portion of a read-modify-write instruction of  
TMR5H will not update the contents of the high byte of  
TMR5 until a write of TMR5L takes place. Only then will  
the contents of TMR5H be placed into the high byte of  
TMR5.  
Note:  
The Timer5 module does NOT prevent  
writes to the PR5 registers (PR5H:PR5L)  
while the timer is enabled. Writing to PR5  
while the timer is enabled may result in  
unexpected period match events.  
14.1.1  
CONTINUOUS AND SINGLE-SHOT  
OPERATION  
14.3 Timer5 Prescaler  
The Timer5 clock input (either TCY or the external clock)  
may be divided by using the Timer5 programmable  
prescaler. The prescaler control bits T5PS1:T5PS0  
(T5CON<4:3>) select a prescale factor of 2, 4, 8 or no  
prescale.  
Timer5 has two operating modes: Continuous-count  
and Single-shot.  
Continuous-count mode is selected by clearing the  
T5MOD control bit (= 0). In this mode, the Timer5 time  
base will start incrementing according to the prescaler  
settings until a TMR5/PR5 match occurs, or until TMR5  
rolls over (FFFFh to 0000h). The TMR5IF interrupt flag  
is set, the TMR5 register is reset on the following input  
clock edge, and the timer continues to count for as long  
as the TMR5ON bit remains set.  
The Timer5 prescaler is cleared by any of the following:  
• A write to the Timer5 register  
• Disabling Timer5 (TMR5ON = 0)  
• A device Reset such as Master Clear, POR or  
BOR  
Single-shot mode is selected by setting T5MOD (= 1).  
In this mode, the Timer5 time base begins to increment  
according to the prescaler settings until a TMR5/PR5  
match occurs. This causes the TMR5IF interrupt flag to  
be set, the TMR5 register pair to be cleared on the  
following input clock edge, and the TMR5ON bit to be  
cleared by the hardware to halt the timer.  
Note:  
Writing to the T5CON register does not  
clear the Timer5.  
14.4 Noise Filter  
The Timer5 module includes an optional input noise  
filter, designed to reduce spurious signals in noisy  
operating environments. The filter ensures that the  
input is not permitted to change until a stable value has  
been registered for three consecutive sampling clock  
cycles.  
The Timer5 time base can only start incrementing in  
Single-shot mode under two conditions:  
1. Timer5 is enabled (TMR5ON is set), or  
2. Timer5 is disabled, and a Special Event Reset  
trigger is present on the Timer5 reset input. (See  
Section 14.7 “Timer5 Special Event Reset  
Input” for additional information).  
The noise filter is part of the input filter network associ-  
ated with the Motion Feedback Module (see  
Section 16.0 “Motion Feedback Module”). All of the  
filters are controlled using the Digital Filter Control  
(DFLTCON) register (Register 16-3). The Timer5 filter  
can be individually enabled or disabled by setting or  
clearing the FLT4EN bit (DFLTCON<7>). It is disabled  
on all BOR and BOR resets.  
14.2 16-bit Read/Write and Write Modes  
As noted, the actual high byte of the Timer5 register  
pair is mapped to TMR5H, which serves as a buffer.  
Reading TMR5L will load the contents of the high byte  
of the register pair into the TMR5H register. This allows  
the user to accurately read all 16 bits of the register  
pair, without having to determine whether a read of the  
high byte followed by the low byte is valid due to a  
rollover between reads.  
For additional information, refer to Section 16.3  
“Noise Filters” in the Motion Feedback module.  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 147  
PIC18F2331/2431/4331/4431  
14.7.2  
DELAYED-ACTION EVENT  
TRIGGER  
14.5 Timer5 Interrupt  
Timer5 has the ability to generate an interrupt on a  
period match. When the PR5 register is loaded with a  
new period value (00FFh), the Timer5 time base incre-  
ments until its value is equal to the value of PR5. When  
a match occurs, the Timer5 interrupt is generated on  
the rising edge of Q4; TMR5IF is set on the next TCY.  
An active edge on CAP1 can also be used to initiate  
some later action delayed by the Timer5 time base. In  
this case, Timer5 increments as before after being  
triggered. When the hardware time-out occurs, the  
special event trigger output is generated and used to  
trigger another action, such as an A/D conversion. This  
allows a given hardware action to be referenced from a  
capture edge on CAP1 and delayed by the timer.  
The interrupt latency (i.e., the time elapsed from the  
moment Timer5 rolls over until TMR5IF is set) will not  
exceed 1 TCY. When the Timer5 clock input is  
prescaled and a TMR5/PR5 match occurs, the interrupt  
will be generated on the first Q4 rising edge after TMR5  
resets.  
The event timing for the delayed action event trigger is  
discussed further in Section 16.1 “Input Capture”.  
14.7.3  
SPECIAL EVENT RESET WHILE  
TIMER5 IS INCREMENTING  
14.6 Timer5 Special Event Trigger  
Output  
In the event that a bus write to Timer5 coincides with a  
Special Event Reset trigger, the bus write will always  
take precedence over Special Event Reset trigger.  
A Timer5 special event trigger is generated on a TMR5/  
PR5 match. The special event trigger is generated on  
the falling edge of Q3.  
14.8 Operation in Sleep Mode  
Timer5 must be configured for either Synchronous  
mode (counter or timer) to take advantage of the  
special event trigger feature. If Timer5 is running in  
Asynchronous Counter mode, the special event trigger  
may not work and should not be used.  
When Timer5 is configured for asynchronous opera-  
tion, it will continue to increment each timer clock (or  
prescale multiple of clocks). Executing the SLEEP  
instruction will either stop the timer or let the timer con-  
tinue, depending on the setting of the Timer5 Sleep  
Enable bit, T5SE. If T5SE is set (= 1), the timer contin-  
ues to run when the SLEEPinstruction is executed and  
the external clock is selected (TMR5CS = 1). If T5SE is  
cleared, the timer stops when a SLEEP instruction is  
executed, regardless of the state of the GTPCS bit.  
14.7 Timer5 Special Event Reset Input  
In addition to the special event output, Timer5 has a  
Special Event Reset input that may be used with Input  
Capture channel 1 (IC1) of the Motion Feedback  
module. To use the Special Event Reset, the Capture 1  
Control register CAP1CON must be configured for one  
of the special event trigger modes (CAP1M3:CAP1M0  
= 1110or 1111). The Special Event Reset trigger can  
be disabled by setting the RESEN control bit  
(T5CON<6>).  
To summarize, Timer5 will continue to increment when  
a SLEEPinstruction is executed only if all of these bits  
are set:  
• TMR5ON  
• T5SE  
• TMR5CS  
• T5SYNC  
The Special Event Reset resets the Timer5 time base.  
This reset occurs in either Continuous-count or Single-  
shot modes.  
14.8.1  
INTERRUPT DETECT IN SLEEP  
MODE  
14.7.1  
WAKE-UP ON IC1 EDGE  
When configured as described above, Timer5 will  
continue to increment on each rising edge on T5CKI  
while in Sleep mode. When a TMR5/PR5 match  
occurs, an interrupt is generated which can wake the  
part.  
The Timer5 Special Event Reset input can act as a  
Timer5 wake-up and a start-up pulse. Timer5 must be  
in Single-shot mode and disabled (TMR5ON = 0). An  
active edge on the CAP1 input pin will set TMR5ON;  
the timer is subsequently incremented on the next fol-  
lowing clock according to the prescaler and the Timer5  
clock settings. A subsequent hardware time-out (such  
as TMR5/PR5 match) will clear the TMR5ON bit and  
stop the timer.  
DS39616B-page 148  
Preliminary  
2003 Microchip Technology Inc.  
PIC18F2331/2431/4331/4431  
TABLE 14-1:  
REGISTERS ASSOCIATED WITH TIMER5  
Value on all  
other  
Resets  
Value on:  
POR, BOR  
Name  
Bit 7  
Bit 6  
Bit 5  
Bit 4  
Bit 3  
Bit 2  
Bit 1  
Bit 0  
INTCON  
IPR3  
GIE/GIEH PEIE/GIEL TMR0IE  
INT0IE  
PTIP  
PTIE  
PTIF  
RBIE  
TMR0IF  
INT0IF  
IC1IP  
IC1IE  
IC1IF  
RBIF  
0000 000x 0000 000u  
IC3DRIP IC2QEIP  
IC3DRIE IC2QEIE  
IC3DRIF IC2QEIF  
TMR5IP ---1 1111 ---1 1111  
TMR5IE ---0 0000 ---0 0000  
TMR5IF ---0 0000 ---0 0000  
xxxx xxxx uuuu uuuu  
PIE3  
PIR3  
TMR5H  
TMR5L  
PR5H  
Timer5 Register High Byte  
TImer5 Register Low Byte  
xxxx xxxx uuuu uuuu  
Timer5 Period Register High Byte  
Timer5 Period Register Low Byte  
1111 1111 1111 1111  
PR5L  
1111 1111 1111 1111  
0000 0000 0000 0000  
T5CON  
CAP1CON  
DFLTCON  
Legend:  
T5SEN  
RESEN  
CAP1REN  
FLT4EN  
T5MOD  
T5PS1  
T5PS0 T5SYNC TMR5CS TMR5ON  
CAP1M3 CAP1M2 CAP1M1 CAP1M0 -1-- 0000 -1-0 0000  
FLT3EN FLT2EN FLT1EN FLTCK2 FLTCK1 FLTCK0 -000 0000 -000 0000  
x = unknown, u = unchanged, – = unimplemented.  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 149  
PIC18F2331/2431/4331/4431  
NOTES:  
DS39616B-page 150  
Preliminary  
2003 Microchip Technology Inc.  
PIC18F2331/2431/4331/4431  
15.0 CAPTURE/COMPARE/PWM  
(CCP) MODULES  
The CCP (Capture/Compare/PWM) module contains a  
16-bit register that can operate as a 16-bit Capture reg-  
ister, a 16-bit Compare register or a PWM Master/Slave  
Duty Cycle register. Table 15-1 shows the timer  
resources required for each of the CCP module modes.  
The operation of CCP1 is identical to that of CCP2, with  
the exception of the special event trigger. Therefore,  
operation of a CCP module is described with respect to  
CCP1, except where noted.  
REGISTER 15-1: CCPxCON: CCP MODULE CONTROL REGISTER  
U-0  
U-0  
R/W-0  
R/W-0  
R/W-0  
R/W-0  
R/W-0  
R/W-0  
DCxB1  
DCxB0  
CCPxM3 CCPxM2 CCPxM1 CCPxM0  
bit 0  
bit 7  
bit 7-6 Unimplemented: Read as ‘0’  
bit 5-4 DCxB1:DCxB0: PWM Duty Cycle bit1 and bit0  
Capture mode:  
Unused  
Compare mode:  
Unused  
PWM mode:  
These bits are the two LSbs (bit 1 and bit 0) of the 10-bit PWM duty cycle. The upper eight bits  
(DCx9:DCx2) of the duty cycle are found in CCPRxL.  
bit 3-0 CCPxM3:CCPxM0: CCPx Mode Select bits  
0000=Capture/Compare/PWM disabled (resets CCPx module)  
0001=Reserved  
0010=Compare mode, toggle output on match (CCPxIF bit is set)  
0011=Reserved  
0100=Capture mode, every falling edge  
0101=Capture mode, every rising edge  
0110=Capture mode, every 4th rising edge  
0111=Capture mode, every 16th rising edge  
1000=Compare mode, Initialize CCP pin Low, on compare match force CCP pin High  
(CCPxIF bit is set)  
1001=Compare mode, Initialize CCP pin High, on compare match force CCP pin Low  
(CCPxIF bit is set)  
1010=Compare mode, Generate software interrupt-on-compare match (CCPxIF bit is set,  
CCP pin is unaffected)  
1011=Compare mode, Trigger special event (CCP2IF bit is set)  
11xx=PWM mode  
Legend:  
R = Readable bit  
W = Writable bit  
‘1’ = Bit is set  
U = Unimplemented bit, read as ‘0’  
‘0’ = Bit is cleared x = Bit is unknown  
- n = Value at POR  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 151  
 
PIC18F2331/2431/4331/4431  
15.1 CCP1 Module  
15.2 CCP2 Module  
Capture/Compare/PWM Register  
1
(CCPR1) is  
Capture/Compare/PWM Register2 (CCPR2) is com-  
prised of two 8-bit registers: CCPR2L (low byte) and  
CCPR2H (high byte). The CCP2CON register controls  
the operation of CCP2. All are readable and writable.  
comprised of two 8-bit registers: CCPR1L (low byte)  
and CCPR1H (high byte). The CCP1CON register  
controls the operation of CCP1. All are readable and  
writable.  
TABLE 15-1: CCP MODE – TIMER  
RESOURCE  
CCP Mode  
Timer Resource  
Capture  
Compare  
PWM  
Timer1  
Timer1  
Timer2  
DS39616B-page 152  
Preliminary  
2003 Microchip Technology Inc.  
 
 
 
 
 
 
 
PIC18F2331/2431/4331/4431  
15.3.3  
SOFTWARE INTERRUPT  
15.3 Capture Mode  
When the Capture mode is changed, a false capture  
interrupt may be generated. The user should keep bit  
CCP1IE (PIE1<2>) clear to avoid false interrupts and  
should clear the flag bit, CCP1IF, following any such  
change in operating mode.  
In Capture mode, CCPR1H:CCPR1L captures the 16-  
bit value of the TMR1 register when an event occurs on  
pin RC2/CCP1. An event is defined as one of the  
following:  
• every falling edge  
• every rising edge  
15.3.4  
CCP PRESCALER  
• every 4th rising edge  
• every 16th rising edge  
There are four prescaler settings, specified by bits  
CCP1M3:CCP1M0. Whenever the CCP module is  
turned off or the CCP module is not in Capture mode,  
the prescaler counter is cleared. This means that any  
Reset will clear the prescaler counter.  
The event is selected by control bits CCP1M3:CCP1M0  
(CCP1CON<3:0>). When a capture is made, the  
interrupt request flag bit CCP1IF (PIR1<2>) is set; it  
must be cleared in software. If another capture occurs  
before the value in register CCPR1 is read, the old  
captured value is overwritten by the new captured value.  
Switching from one capture prescaler to another may  
generate an interrupt. Also, the prescaler counter will  
not be cleared, therefore, the first capture may be from  
a non-zero prescaler. Example 15-1 shows the recom-  
mended method for switching between capture pres-  
calers. This example also clears the prescaler counter  
and will not generate the “false” interrupt.  
15.3.1  
CCP PIN CONFIGURATION  
In Capture mode, the RC2/CCP1 pin should be  
configured as an input by setting the TRISC<2> bit.  
Note:  
If the RC2/CCP1 is configured as an out-  
put, a write to the port can cause a capture  
condition.  
EXAMPLE 15-1:  
CHANGING BETWEEN  
CAPTURE PRESCALERS  
CLRF  
CCP1CON, F  
; Turn CCP module off  
; Load WREG with the  
; new prescaler mode  
; value and CCP ON  
; Load CCP1CON with  
; this value  
MOVLW  
NEW_CAPT_PS  
CCP1CON  
15.3.2  
TIMER1 MODE SELECTION  
Timer 1 must be running in Timer mode or Synchro-  
nized Counter mode to be used with the capture fea-  
ture. In Asynchronous Counter mode, the capture  
operation may not work.  
MOVWF  
FIGURE 15-1:  
CAPTURE MODE OPERATION BLOCK DIAGRAM  
Set Flag bit CCP1IF  
Prescaler  
CCPR1H  
CCPR1L  
÷ 1, 4, 16  
TMR1  
Enable  
CCP1 pin  
and  
TMR1H  
TMR1L  
Edge Detect  
CCP1CON<3:0>  
Q’s  
Set Flag bit CCP2IF  
Prescaler  
÷ 1, 4, 16  
CCPR2H  
CCPR2L  
TMR1L  
TMR1  
Enable  
CCP2 pin  
and  
TMR1H  
Edge Detect  
CCP2CON<3:0>  
Q’s  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 153  
 
 
 
 
 
 
 
 
 
 
 
PIC18F2331/2431/4331/4431  
15.4.2  
TIMER1 MODE SELECTION  
15.4 Compare Mode  
Timer1 must be running in Timer mode or Synchro-  
nized Counter mode if the CCP module is using the  
compare feature. In Asynchronous Counter mode, the  
compare operation may not work.  
In Compare mode, the 16-bit CCPR1 (CCPR2) register  
value is constantly compared against the TMR1  
register pair value. When a match occurs, the RC2/  
CCP1 (RC1/CCP2) pin:  
• Is driven High  
15.4.3  
SOFTWARE INTERRUPT MODE  
• Is driven Low  
When generate software interrupt is chosen, the CCP1  
pin is not affected. Only a CCP interrupt is generated (if  
enabled).  
Toggles output (High-to-Low or Low-to-High)  
• Remains unchanged (interrupt only)  
The action on the pin is based on the value of control  
bits CCP1M3:CCP1M0 (CCP2M3:CCP2M0). At the  
same time, interrupt flag bit CCP1IF (CCP2IF) is set.  
15.4.4  
SPECIAL EVENT TRIGGER  
In this mode, an internal hardware trigger is generated,  
which may be used to initiate an action.  
15.4.1  
CCP PIN CONFIGURATION  
The special event trigger output of CCP1 resets the  
TMR1 register pair. This allows the CCPR1 register to  
effectively be a 16-bit programmable period register for  
Timer1.  
The user must configure the CCPx pin as an output by  
clearing the appropriate TRISC bit.  
Note:  
Clearing the CCP1CON register will force  
the RC2/CCP1 compare output latch to  
the default low level. This is not the  
PORTC I/O data latch.  
The special trigger output of CCP2 resets the TMR1  
register pair. Additionally, the CCP2 special event  
trigger will start an A/D conversion if the A/D module is  
enabled.  
Note:  
The special event trigger from the CCP2  
module will not set the Timer1 interrupt  
flag bit.  
FIGURE 15-2:  
COMPARE MODE OPERATION BLOCK DIAGRAM  
Special Event Trigger will:  
Reset Timer1, but not set Timer1 interrupt flag bit,  
and set bit GO/DONE (ADCON0<2>), which starts an A/D conversion (CCP2 only)  
Special Event Trigger  
Set Flag bit CCP1IF  
CCPR1H CCPR1L  
Comparator  
Q
S
R
Output  
Logic  
Match  
RC2/CCP1 pin  
TRISC<2>  
Output Enable  
CCP1CON<3:0>  
Mode Select  
TMR1H TMR1L  
Special Event Trigger  
Set Flag bit CCP2IF  
Q
S
R
Output  
Logic  
Comparator  
Match  
RC1/CCP2 pin  
TRISC<1>  
Output Enable  
CCPR2H CCPR2L  
CCP2CON<3:0>  
Mode Select  
DS39616B-page 154  
Preliminary  
2003 Microchip Technology Inc.  
 
 
 
 
 
 
 
 
PIC18F2331/2431/4331/4431  
TABLE 15-2: REGISTERS ASSOCIATED WITH CAPTURE, COMPARE AND TIMER1  
Value on  
Value on  
POR, BOR  
Name  
Bit 7  
Bit 6  
Bit 5  
Bit 4  
Bit 3  
Bit 2  
Bit 1  
Bit 0  
all other  
Resets  
INTCON  
PIR1  
GIE/GIEH PEIE/GIEL TMR0IE  
INT0IE  
TXIF  
RBIE  
SSPIF  
SSPIE  
SSPIP  
TMR0IF  
INT0IF  
RBIF  
0000 000x 0000 000u  
ADIF  
ADIE  
ADIP  
RCIF  
RCIE  
RCIP  
CCP1IF TMR2IF TMR1IF -000 0000 -000 0000  
CCP1IE TMR2IE TMR1IE -000 0000 -000 0000  
CCP1IP TMR2IP TMR1IP -111 1111 -111 1111  
1111 1111 1111 1111  
PIE1  
TXIE  
TXIP  
IPR1  
TRISC  
TMR1L  
TMR1H  
T1CON  
CCPR1L  
PORTC Data Direction Register  
Holding Register for the Least Significant Byte of the 16-bit TMR1 Register  
Holding Register for the Most Significant Byte of the 16-bit TMR1 Register  
xxxx xxxx uuuu uuuu  
xxxx xxxx uuuu uuuu  
RD16  
T1RUN T1CKPS1 T1CKPS0 T1OSCEN T1SYNC TMR1CS TMR1ON 0000 0000 uuuu uuuu  
Capture/Compare/PWM Register1 (LSB)  
xxxx xxxx uuuu uuuu  
xxxx xxxx uuuu uuuu  
CCPR1H Capture/Compare/PWM Register1 (MSB)  
CCP1CON  
CCPR2L  
DC1B1  
DC1B0  
CCP1M3 CCP1M2 CCP1M1 CCP1M0 --00 0000 --00 0000  
xxxx xxxx uuuu uuuu  
Capture/Compare/PWM Register2 (LSB)  
CCPR2H Capture/Compare/PWM Register2 (MSB)  
xxxx xxxx uuuu uuuu  
CCP2CON  
PIR2  
DC2B1  
DC2B0  
EEIF  
CCP2M3 CCP2M2 CCP2M1 CCP2M0 --00 0000 --00 0000  
OSCFIF  
OSCFIE  
OSCFIP  
CMIF  
CMIE  
CMIP  
BCLIF  
BCLIE  
BCLIP  
LVDIF  
LVDIE  
LVDIP  
TMR3IF CCP2IF 00-0 0000 00-0 0000  
TMR3IE CCP2IE 00-0 0000 00-0 0000  
TMR3IP CCP2IP 11-1 1111 11-1 1111  
PIE2  
EEIE  
EEIP  
IPR2  
Legend: x= unknown, u= unchanged, — = unimplemented, read as ‘0’. Shaded cells are not used by Capture and Timer1.  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 155  
 
PIC18F2331/2431/4331/4431  
15.5.1  
PWM PERIOD  
15.5 PWM Mode  
The PWM period is specified by writing to the PR2  
register. The PWM period can be calculated using the  
following equation.  
In Pulse Width Modulation (PWM) mode, the CCP1 pin  
produces up to a 10-bit resolution PWM output. Since  
the CCP1 pin is multiplexed with the PORTC data latch,  
the TRISC<2> bit must be cleared to make the CCP1  
pin an output.  
EQUATION 15-1:  
PWM period = [(PR2) + 1] • 4 • TOSC •  
(TMR2 prescale value)  
Note:  
Clearing the CCP1CON register will force  
the CCP1 PWM output latch to the default  
low level. This is not the PORTC I/O data  
latch.  
PWM frequency is defined as 1/[PWM period]. When  
TMR2 is equal to PR2, the following three events occur  
on the next increment cycle:  
Figure 15-3 shows a simplified block diagram of the  
CCP module in PWM mode.  
• TMR2 is cleared  
For a step-by-step procedure on how to set up the CCP  
module for PWM operation, see Section 15.5.3  
“Setup for PWM Operation”.  
• The CCP1 pin is set (if PWM duty cycle = 0%, the  
CCP1 pin will not be set)  
• The PWM duty cycle is copied from CCPR1L into  
CCPR1H  
FIGURE 15-3:  
SIMPLIFIED PWM BLOCK  
DIAGRAM  
Note: The Timer2 postscaler (see Section 13.0  
“Timer2 Module”) is not used in the deter-  
mination of the PWM frequency. The  
postscaler could be used to have a servo  
update rate at a different frequency than  
the PWM output.  
CCP1CON<5:4>  
Duty Cycle Registers  
CCPR1L  
15.5.2  
PWM DUTY CYCLE  
CCPR1H (Slave)  
Comparator  
The PWM duty cycle is specified by writing to the  
CCPR1L register and to the CCP1CON<5:4> bits. Up  
to 10-bit resolution is available. The CCPR1L contains  
the eight MSbs and the CCP1CON<5:4> contains the  
two LSbs. This 10-bit value is represented by  
CCPR1L:CCP1CON<5:4>. The PWM duty cycle is  
calculated by the following equation.  
R
S
Q
RC2/CCP1  
(Note 1)  
TMR2  
TRISC<2>  
Comparator  
PR2  
Clear Timer,  
CCP1 pin and  
latch D.C.  
EQUATION 15-2:  
PWM duty cycle = (CCPR1L:CCP1CON<5:4>) •  
Tosc • (TMR2 prescale value)  
Note: 8-bit timer is concatenated with 2-bit internal Q clock or  
2 bits of the prescaler to create 10-bit time base.  
CCPR1L and CCP1CON<5:4> can be written to at any  
time, but the duty cycle value is not copied into  
CCPR1H until a match between PR2 and TMR2 occurs  
(i.e., the period is complete). In PWM mode, CCPR1H  
is a read-only register.  
A PWM output (Figure 15-4) has a time base  
(period) and a time that the output is high (duty  
cycle). The frequency of the PWM is the inverse of  
the period (1/period).  
FIGURE 15-4:  
PWM OUTPUT  
Period  
Duty Cycle  
TMR2 = PR2  
TMR2 = Duty Cycle  
TMR2 = PR2  
DS39616B-page 156  
Preliminary  
2003 Microchip Technology Inc.  
 
 
 
 
 
 
 
 
 
 
 
PIC18F2331/2431/4331/4431  
The CCPR1H register and a 2-bit internal latch are  
used to double buffer the PWM duty cycle. This double  
buffering is essential for glitchless PWM operation.  
When the CCPR1H and 2-bit latch match TMR2,  
concatenated with an internal 2-bit Q clock or two bits  
of the TMR2 prescaler, the CCP1 pin is cleared. The  
maximum PWM resolution (bits) for a given PWM  
frequency is given by the following equation.  
15.5.3  
SETUP FOR PWM OPERATION  
The following steps should be taken when configuring  
the CCP module for PWM operation:  
1. Set the PWM period by writing to the PR2 register.  
2. Set the PWM duty cycle by writing to the CCPR1L  
register and CCP1CON<5:4> bits.  
3. Make the CCP1 pin an output by clearing the  
TRISC<2> bit.  
EQUATION 15-3:  
4. Set the TMR2 prescale value and enable Timer2  
by writing to T2CON.  
FOSC  
FPWM  
log  
5. Configure the CCP1 module for PWM operation.  
PWM Resolution (max) =  
bits  
log(2)  
Note:  
If the PWM duty cycle value is longer than  
the PWM period, the CCP1 pin will not be  
cleared.  
TABLE 15-3: EXAMPLE PWM FREQUENCIES AND RESOLUTIONS AT 40 MHz  
PWM Frequency  
2.44 kHz  
9.77 kHz  
39.06 kHz 156.25 kHz 312.50 kHz 416.67 kHz  
Timer Prescaler (1, 4, 16)  
PR2 Value  
16  
FFh  
10  
4
1
1
3Fh  
8
1
1Fh  
7
1
FFh  
10  
FFh  
10  
17h  
6.58  
Maximum Resolution (bits)  
TABLE 15-4: REGISTERS ASSOCIATED WITH PWM AND TIMER2  
Value on  
POR,  
BOR  
Value on  
all other  
Resets  
Name  
Bit 7  
Bit 6  
Bit 5  
Bit 4  
Bit 3  
Bit 2  
Bit 1  
Bit 0  
INTCON  
PIR1  
GIE/GIEH PEIE/GIEL TMR0IE  
INT0IE  
TXIF  
RBIE  
SSPIF  
SSPIE  
SSPIP  
TMR0IF  
CCP1IF  
INT0IF  
RBIF  
0000 000x 0000 000u  
ADIF  
ADIE  
ADIP  
RCIF  
RCIE  
RCIP  
TMR2IF  
TMR1IF -000 0000 -000 0000  
TMR1IE -000 0000 -000 0000  
TMR1IP -111 1111 -111 1111  
1111 1111 1111 1111  
PIE1  
TXIE  
TXIP  
CCP1IE TMR2IE  
CCP1IP TMR2IP  
IPR1  
TRISC  
TMR2  
PR2  
PORTC Data Direction Register  
Timer2 Module Register  
0000 0000 0000 0000  
Timer2 Module Period Register  
1111 1111 1111 1111  
T2CON  
CCPR1L  
TOUTPS3 TOUTPS2 TOUTPS1 TOUTPS0 TMR2ON T2CKPS1 T2CKPS0 -000 0000 -000 0000  
Capture/Compare/PWM Register1 (LSB)  
xxxx xxxx uuuu uuuu  
xxxx xxxx uuuu uuuu  
CCPR1H Capture/Compare/PWM Register1 (MSB)  
CCP1CON  
CCPR2L  
DC1B1  
DC1B0  
CCP1M3 CCP1M2 CCP1M1 CCP1M0 --00 0000 --00 0000  
xxxx xxxx uuuu uuuu  
Capture/Compare/PWM Register2 (LSB)  
CCPR2H Capture/Compare/PWM Register2 (MSB)  
CCP2CON DC2B1 DC2B0  
xxxx xxxx uuuu uuuu  
CCP2M3 CCP2M2 CCP2M1 CCP2M0 --00 0000 --00 0000  
Legend: x= unknown, u= unchanged, – = unimplemented, read as ‘0’. Shaded cells are not used by PWM and Timer2.  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 157  
 
 
 
 
 
PIC18F2331/2431/4331/4431  
NOTES:  
DS39616B-page 158  
Preliminary  
2003 Microchip Technology Inc.  
PIC18F2331/2431/4331/4431  
Many of the features for the IC and QEI submodules  
16.0 MOTION FEEDBACK MODULE  
are fully programmable, creating a flexible peripheral  
structure that can accommodate a wide range of  
in-system uses. An overview of the available features  
is presented in Table 16-1. A simplified block diagram  
of the entire Motion Feedback module is shown in  
Figure 16-1.  
The Motion Feedback module is a special-purpose  
peripheral designed for motion feedback applications.  
Together with the Power Control PWM module (see  
Section 17.0 “Power Control PWM Module”), it pro-  
vides a variety of control solutions for a wide range of  
electric motors.  
Note:  
Because the same input pins are common  
to the IC and QEI submodules, only one of  
these two submodules may be used at any  
given time. If both modules are on, the QEI  
submodule will take precedence.  
The module actually consists of two hardware  
sub-modules:  
• Input Capture module (IC)  
Quadrature Encoder Interface (QEI).  
Together with Timer5 (see Section 14.0 “Timer5 Mod-  
ule”), these modules provide a number of options for  
motion and control applications.  
TABLE 16-1: SUMMARY OF MOTION FEEDBACK MODULE FEATURES  
Submodule  
Mode(s)  
Features  
Timer  
Function  
IC (3x)  
• Synchronous  
• Input Capture  
• Flexible input capture modes  
• Available prescaler  
• Selectable time base reset  
• Special event trigger for ADC  
sampling/conversion or  
TMR5 • 3x Input Capture (edge  
capture, pulse width, period  
measurement, capture on  
change)  
• Special event triggers the A/D  
conversion on the CAP1 input  
optional TMR5 Reset feature  
(CAP1 only)  
• Wake-up from Sleep function  
• Selectable interrupt frequency  
• Optional noise filter  
QEI  
QEI  
• Detect position  
16-bit • Position measurement  
position • Direction of rotation status  
counter  
• Detect direction of rotation  
• Large bandwidth (Fcy/16)  
• Optional noise filter  
Velocity  
measurement  
• 2x and 4x update modes  
• Velocity event postscaler  
• Counter overflow flag for low  
rotation speed  
TMR5 • Precise velocity measurement  
• Direction of rotation status  
• Utilizes Input Capture 1 logic  
(IC1)  
• High and low velocity support  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 159  
 
PIC18F2331/2431/4331/4431  
FIGURE 16-1:  
MOTION FEEDBACK MODULE BLOCK DIAGRAM  
TMR5IF  
Special Reset Trigger  
Timer Reset  
TMR5  
Reset  
Control  
Special Event output  
Timer5  
TMR5<15:0>  
8
Filter  
TCY  
T5CKI  
3x Input Capture Logic  
TMR5<15:0>  
IC3IF  
Filter  
8
8
IC3  
CAP3/QEB  
IC2IF  
Filter  
Filter  
IC2  
IC1  
CAP2/QEA  
IC1IF  
Special Reset Trigger  
8
CAP1/INDX  
Clock  
Divider  
8
Postscaler  
TCY  
QEB  
Velocity Event  
Timer reset  
8
Direction  
Clock  
QEA  
Position Counter  
QEIF  
QEI  
Control  
CHGIF  
Logic  
INDX  
8
QEI Logic  
CHGIF  
IC3IF  
IC3DRIF  
QEI  
Mode  
8
Decoder  
QEIF  
IC2IF  
IC2QEIF  
DS39616B-page 160  
Preliminary  
2003 Microchip Technology Inc.  
PIC18F2331/2431/4331/4431  
Input channel (IC1) includes a special event trigger  
that can be configured for use in Velocity Measure-  
ment mode. Its block diagram is shown in Figure 16-2.  
IC2 and IC3 are similar, but lack the special event trig-  
ger features or additional velocity-measurement logic.  
16.1 Input Capture  
The Input Capture (IC) submodule implements the  
following features:  
• Three channels of independent input capture  
(16-bits/channel) on the CAP1, CAP2 and CAP3  
pins  
A
representative block diagram is shown in  
Figure 16-3. Please note that the time base is Timer5.  
• Edge-trigger, period or pulse width measurement  
operating modes for each channel  
• Programmable prescaler on every input capture  
channel  
• Special event trigger output (IC1 only)  
• Selectable noise filters on each capture input  
FIGURE 16-2:  
INPUT CAPTURE BLOCK DIAGRAM FOR IC1  
CAP1 Pin  
and  
Mode  
Select  
Clock  
Prescaler  
1, 4, 16  
Noise  
Filter  
CAP1BUF/VELR(1)  
3
4
FLTCK<2:0>  
Q clocks  
CAP1M<3:0>  
IC1IF  
IC1_TR  
Reset  
TMR5  
Special  
Reset  
Event  
Reset  
Control  
Clock/  
Timer5 Logic  
Reset/  
Interrupt  
Decode  
Logic  
1
CAP1BUF_clk  
First Event  
Reset  
MUX  
0
Timer  
Reset  
Control  
Timer5 Reset  
velcap(2)  
VELM  
Q Clocks  
CAP1M<3:0>  
Note 1: CAP1BUF register is reconfigured as VELR register when QEI mode is active.  
2: QEI generated velocity pulses, vel_out, are downsampled to produce this velocity capture signal.  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 161  
 
PIC18F2331/2431/4331/4431  
FIGURE 16-3:  
INPUT CAPTURE BLOCK DIAGRAM FOR IC2 AND IC3  
Capture  
Clock  
CAPxBUF(1,2,3)  
CAP2/CAP3 Pin  
and  
Prescaler  
Noise  
Filter  
Mode  
1, 4, 16  
Select  
TMR5  
Enable  
3
Q’s  
4
TMR5  
CAPxM<3:0>(1)  
FLTCK<2:0>  
ICxIF(1)  
Capture Clock/  
Reset/  
CAPxBUF_clk(1)  
Interrupt  
Decode  
Logic  
TMR5 Reset  
Timer  
Reset  
Control  
Reset  
Q clocks CAPxM<3:0>(1)  
CAPxREN(2)  
Note 1: IC2 and IC3 are denoted as x=2 and 3.  
2: CAP2BUF is enabled as POSCNT when QEI mode is active.  
3: CAP3BUF is enabled as MAXCNT when QEI mode is active.  
DS39616B-page 162  
Preliminary  
2003 Microchip Technology Inc.  
PIC18F2331/2431/4331/4431  
The three Input Capture channels are controlled  
through the Input Capture Control Registers  
CAP1CON, CAP2CON, and CAP3CON. Each channel  
is configured independently with its dedicated register.  
The implementation of the registers is identical, except  
for the Special Event trigger (see Section 16.1.8 “Spe-  
cial Event Trigger (CAP1 Only)”). The typical Capture  
Control register is shown in Register 16-1.  
REGISTER 16-1: CAPxCON: INPUT CAPTURE CONTROL REGISTER  
U-0  
R/W-0  
U-0  
R/W-0  
R/W-0  
R/W-0  
R/W-0  
R/W-0  
CAPxREN  
CAPxM3 CAPxM2 CAPxM1 CAPxM0  
bit 0  
bit 7  
bit 7  
bit 6  
Unimplemented: Read as ‘0’  
CAPxREN: Time Base Reset Enable bit  
1= Enabled  
0= Disable selected time base Reset on capture.  
bit 5  
Unimplemented: Read as ‘0’  
Unimplemented: Read as ‘0’  
bit 4  
bit 3-0  
CAPxM3:CAPxM0: Input Capture 1 (ICx) Mode Select bits  
1111= Special Event Trigger mode. The trigger occurs on every rising edge on CAP1 input(1)  
1110= Special Event Trigger mode. The trigger occurs on every falling edge on CAP1 input(1)  
1101= Unused  
1100= Unused  
1011= Unused  
1010= Unused  
1001= Unused  
1000= Capture on every CAPx input state change  
0111= Pulse Width Measurement mode, every rising to falling edge  
0110= Pulse Width Measurement mode, every falling to rising edge  
0101= Frequency Measurement mode, every rising edge  
0100= Capture mode, every 16th rising edge  
0011= Capture mode, every 4th rising edge  
0010= Capture mode, every rising edge  
0001= Capture mode, every falling edge  
0000= Input Capture 1 (ICx) off  
Note 1: Special Event Trigger is only available on CAP1. For CAP2 and CAP3, this config-  
uration is unused.  
Legend:  
R = Readable bit  
W = Writable bit  
‘1’ = Bit is set  
U = Unimplemented bit, read as ‘0’  
‘0’ = Bit is cleared x = Bit is unknown  
- n = Value at POR  
When in Counter mode, the counter must be  
configured as the synchronous counter only  
(TMR5SYNC = 0). When configured in Asynchronous  
mode, the IC module will not work properly.  
Note:  
Throughout this section, references to  
registers and bit names that may be asso-  
ciated with a specific capture channel will  
be referred to generically by the use of the  
term ‘x’ in place of the channel number.  
For example, ‘CAPxREN’ may refer to the  
Capture Reset Enable bit in CAP1CON,  
CAP2CON or CAP3CON.  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 163  
 
PIC18F2331/2431/4331/4431  
16.1.1  
EDGE CAPTURE MODE  
Note 1: Input capture prescalers are reset  
In this mode, the value of the time base is captured  
either on every rising edge, every falling edge, every  
4th rising edge, or every 16th rising edge. The edge  
present on the input capture pin (CAP1, CAP2 or  
CAP3) is sampled by the synchronizing latch. The  
signal is used to load the input capture buffer (ICxBUF  
register) on the following Q1 clock (see Figure 16-4).  
Consequently, Timer5 is either reset to ‘0’ (Q1  
immediately following the capture event) or left free  
running, depending on the setting of Capture Reset  
Enable, CAPxREN, in the CAPxCON register.  
(cleared) when the Input Capture module  
is disabled (CAPxM = 0000).  
2: When the Input Capture mode is changed  
without first disabling the module and  
entering the new Input Capture mode, a  
false interrupt (or special event trigger on  
IC1) may be generated. The user should  
either (1) disable the Input Capture before  
entering another mode or (2) disable IC  
interrupts to avoid false interrupts during  
IC mode changes.  
Note:  
On the first capture edge following the  
setting of the Input Capture mode (i.e.,  
MOVWF CAP1CON), Timer5 contents are  
always captured into the corresponding  
input capture buffer (i.e., CAPxBUF).  
Timer5 can optionally be reset; however,  
this is dependent on the setting of the  
Capture Reset Enable bit (CAPxREN),  
see Figure 16-4.  
3: During IC mode changes, the prescaler  
count will not be cleared, therefore the  
first capture in the new IC mode may be  
from the non-zero prescaler.  
FIGURE 16-4:  
EDGE CAPTURE MODE TIMING  
Q1Q2 Q3 Q4 Q1  
Q4Q1Q2Q3 Q4 Q1Q2Q3Q4 Q1Q2 Q3 Q4 Q1Q2Q3Q4Q1Q2Q3Q4 Q1Q2 Q3 Q4 Q1Q2Q3Q4 Q1Q2Q3Q4  
Q2Q3  
OSC  
(1)  
0012  
0013  
0014  
0015  
0000  
0016  
0001  
0002  
0000  
0001  
0002  
TMR5  
(2)  
CAP1 pin  
ABCD  
0003  
0002  
(3)  
CAP1BUF  
Note 5  
(4)  
TMR5 reset  
Instruction  
Execution  
MOVWF CAP1CON  
BCF CAP1CON, CAP1REN  
Note 1: TMR5 is a synchronous time base input to the Input Capture, prescaler = 1:1. It increments on Q1 rising edge.  
2: IC1 is configured in Edge Capture mode (CAP1M3:CAP1M0 = 0010) with the time base reset upon edge capture  
(CAP1REN = 1) and no noise filter.  
3: TMR5 value is latched by CAP1BUF on TCY. In the event that a write to TMR5 coincides with an input capture event,  
the write will always take precedence. All input capture buffers, CAP1BUF, CAP2BUF and CAP3BUF, are updated with  
the incremented value of the time base on the next TCY clock edge when the capture event takes place (see Note 4  
when Reset occurs).  
4: TMR5 Reset is normally an asynchronous reset signal to TMR5. When used with the input capture, it is active immedi-  
ately after the time base value is captured.  
5: TMR5 Reset pulse is disabled by clearing CAP1REN bit (e.g, BCF CAP1CON, CAP1REN).  
DS39616B-page 164  
Preliminary  
2003 Microchip Technology Inc.  
 
PIC18F2331/2431/4331/4431  
of the CAPx input pin (CAPxM3:CAPxM0 = 0110), or  
on the rising to falling edge (CAPxM3:CAPxM0 =  
0111).  
16.1.2  
PERIOD MEASUREMENT MODE  
The Period Measurement mode is selected by setting  
CAPxM3:CAPxM0 = 0101. In this mode, the value of  
Timer5 is latched into the CAPxBUF register on the ris-  
ing edge of the input capture trigger and Timer5 is sub-  
sequently reset to 0000h (optional by setting  
CAPxREN = 1) on the next TCY (see capture and reset  
relationship in Figure 16-4).  
Timer5 is always reset on the edge when the  
measurement is first initiated. For example, when the  
measurement is based on the falling to rising edge,  
Timer5 is first reset on the falling edge and the timer  
value is captured on the rising edge thereafter. Upon  
entry into the Pulse Width Measurement mode, the  
very first edge detected on the CAPx pin is always  
captured. The TMR5 value is reset on the first active  
edge (see Figure 16-5).  
16.1.3  
PULSE WIDTH MEASUREMENT  
MODE  
The Pulse Width Measurement mode can be config-  
ured for two different edge sequences, such that the  
pulse width is based on either the falling to rising edge  
FIGURE 16-5:  
PULSE WIDTH MEASUREMENT MODE TIMING  
Q1Q2Q3 Q4  
0001  
Q1Q2Q3Q4 Q1Q2Q3Q4Q1Q2Q3Q4Q1Q2Q3Q4 Q1Q2Q3Q4Q1Q2Q3Q4Q1Q2Q3Q4 Q1Q2Q3Q4  
Q1Q2Q3Q4  
0002  
(1)  
0012  
0013  
0014  
0015  
0000  
0001  
0002  
0001  
0000  
TMR5  
(2)  
CAP1 pin  
0015  
0002  
(3)  
CAP1BUF  
(4,5)  
TMR5 reset  
MOVWF CAP1CON  
(2)  
Instruction  
Execution  
Note 1: TMR5 is a synchronous time base input to the Input Capture, prescaler = 1:1. It increments on every Q1 rising edge.  
2: IC1 is configured in Pulse Width Measurement mode (CAP1M3:CAP1M0 = 0111, rising to falling pulse width measure-  
ment). No noise filter on CAP1 input is used. MOVWFinstruction loads CAP1CON when W = 0111.  
3: TMR5 value is latched by CAP1BUF on TCY rising edge. In the event that a write to TMR5 coincides with an input cap-  
ture event, the write will always take precedence. All input capture buffers, CAP1BUF, CAP2BUF and CAP3BUF, are  
updated with the incremented value of the time base on the next TCY clock edge when the capture event takes place  
(see Note 4 when Reset occurs).  
4: TMR5 Reset is normally an asynchronous Reset signal to TMR5. When used in Pulse Width Measurement mode, it is  
always present on the edge that first initiates the pulse width measurement (i.e., when configured in the rising to falling  
Pulse Width Measurement mode, it is active on each rising edge detected. In the falling to rising Pulse Width Measure-  
ment mode, it is active on each falling edge detected.  
5: TMR5 Reset pulse is activated on the capture edge. CAP1REN bit has no bearing in this mode.  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 165  
 
 
PIC18F2331/2431/4331/4431  
16.1.3.1  
Pulse Width Measurement Timing  
16.1.4  
INPUT CAPTURE ON STATE  
CHANGE  
Pulse width measurement accuracy can be only  
ensured when the pulse width high and low present on  
CAPx input exceeds one TCY clock cycle. The  
limitations depend on the mode selected:  
When CAPxM3:CAPxM0 = 1000, the value is captured  
on every signal change on the CAPx input. If all three  
capture channels are configured in this mode, the  
three-input-capture can be used as the Hall-effect  
sensor state transition detector. The value of Timer5  
can be captured, Timer5 reset and the interrupt  
generated. Any change on CAP1, CAP2 or CAP3 is  
detected and the associated time base count is  
captured.  
• When CAPxM3:CAPxM0 = 0110(rising-to-falling  
edge delay), the CAPx input high pulse width  
(TccH) must exceed TCY + 10 ns.  
• When CAPxM3:CAPxM0 = 0111(falling-to-rising  
edge delay), the CAPx input low pulse width  
(TccL) must exceed TCY + 10 ns.  
For position and velocity measurement in this mode,  
the timer can be optionally reset (see Section 16.1.6  
“Timer5 Reset” for Reset options).  
Note 1: The Period Measurement mode will  
produce valid results upon sampling of  
the second rising edge of the input  
capture. CAPxBUF values latched during  
the first active edge after initialization are  
invalid.  
2: The Pulse Width Measurement mode will  
latch the value of the timer upon sampling  
of the first input signal edge by the input  
capture.  
FIGURE 16-6:  
INPUT CAPTURE ON STATE CHANGE (HALL-EFFECT SENSOR MODE)  
1
0
1
0
1
1
0
1
0
1
0
0
CAP1  
CAP2  
CAP3  
1
0
0
0
1
1
0FFFh  
0000h  
(1)  
Time Base  
(2)  
CAP1BUF  
(2)  
CAP2BUF  
CAP3BUF  
(2)  
(1)  
Time Base Reset  
Note 1: TMR5 can be selected as the time base for input capture. Time base can be optionally reset when the capture reset  
enabled bit is set (CAPXREN = 1).  
2: Detailed CAPxBUF event timing (all modes reflect same capture and Reset timing) is shown in Figure 16-4.There are  
six commutation BLDC hall-effect sensor states shown. The other two remaining states (i.e., 000h and 111h) are  
invalid in the normal operation. They are still to be decoded by the CPU firmware in BLDC motor application.  
DS39616B-page 166  
Preliminary  
2003 Microchip Technology Inc.  
 
PIC18F2331/2431/4331/4431  
16.1.5  
ENTERING INPUT CAPTURE MODE  
AND CAPTURE TIMING  
16.1.6  
TIMER5 RESET  
Every Input Capture trigger can optionally reset  
(TMR5). Capture Reset Enable bit, CAPxREN, gates  
the automatic Reset of the time base of the capture  
event with this enable Reset signal. All capture events  
reset the selected timer when CAPxREN is set. Resets  
are disabled when CAPxREN is cleared (see  
Figure 16-4, Figure 16-5 and Figure 16-6).  
The following is a summary of functional operation  
upon entering any of the Input Capture modes:  
1. After the module is configured for one of the  
capture modes by setting the Mode Select bits  
(CAPxM3:CAPxM0), the first detected edge  
captures Timer5 value and stores it in the CAPx-  
BUF register. The timer is then reset (depending  
on the setting of CAPxREN bit) and starts to  
increment according to its settings, see  
Figure 16-4, Figure 16-5 and Figure 16-6.  
Note:  
The CAPxREN bit has no effect in Pulse  
Width Measurement mode.  
16.1.7  
IC INTERRUPTS  
2. On all edges, the capture logic performs the fol-  
lowing:  
There are four operating modes for which the IC  
module can generate an interrupt and set one of the  
Interrupt Capture flag bits (IC1IF, IC2QEIF or  
IC3DRIF). The interrupt flag that is set depends on the  
channel in which the event occurs. The modes are:  
a) Input Capture mode is decoded and the  
active edge is identified  
b) The CAPxREN bit is checked to determine  
whether Timer5 is reset or not.  
• Edge capture (CAPxM3:CAPxM0 = 0001, 0010,  
0011or 0100)  
c) On every active edge, the Timer5 value is  
recorded in the input capture buffer (CAPx-  
BUF).  
• Period measurement event  
(CAPxM3:CAPxM0 = 0101)  
d) Reset Timer5 after capturing the value of  
the timer when CAPxREN bit is enabled.  
Timer5 is reset on every active capture  
edge in this case.  
• Pulse width measurement event  
(CAPxM3:CAPxM0 = 0110or 0111)  
• State change event (CAPxM3:CAPxM0 = 1000)  
Note:  
The special event trigger is generated only  
in the Special Event Trigger mode on  
CAP1 input (CAP1M2:CAP1M0> = 1110  
and 1111). IC1IF interrupt is not set in this  
mode.  
e) On all continuing capture edge events  
repeat steps 1 through 4 until the Opera-  
tional mode is terminated either by user  
firmware, POR or BOR.  
f) The timer value is not affected when switch-  
ing into and out of various input capture  
modes.  
The timing of interrupt and special trigger events is  
shown in Figure 16-7. Any active edge is detected on  
the rising edge of Q2 and propagated on the rising  
edge of Q4 rising edge. If an active edge happens to  
occur any later than this (on the falling edge of Q2, for  
example), then it will be recognized on the next Q2  
rising edge.  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 167  
 
PIC18F2331/2431/4331/4431  
FIGURE 16-7:  
CAPXIF INTERRUPTS AND IC1 SPECIAL EVENT TRIGGER  
Q1 Q2 Q3  
Q4  
Q1 Q2 Q3  
Q4  
Q1 Q2 Q3  
Q4  
Q1 Q2 Q3  
Q4  
Q1 Q2 Q3  
Q4  
OSC  
CAP1 pin  
IC1IF  
TMR5 Reset  
TMR5  
0001  
XXXX  
0000  
(1)  
TMR5ON  
Note 1: Timer5 is only reset and enabled (assuming: TMR5ON = 0and TMR5MOD = 1) when the Special Event Reset Trigger  
is enabled for the Timer5 Reset input. TMR5ON bit is asserted and Timer5 is reset on the Q1 rising edge following the  
event capture. With the Special Event Reset Trigger disabled, Timer5 cannot be reset by the Special Event Reset  
Trigger on CAP1 input. In order for the Special Event Reset Trigger to work as the Reset trigger to Timer5, IC1 must be  
configured in the Special Event Trigger mode (CAP1M<3:0> = 1110or 1111).  
16.1.8  
SPECIAL EVENT TRIGGER (CAP1  
ONLY)  
16.1.10 OTHER OPERATING MODES  
Although the IC and QEI submodules are mutually  
exclusive, the IC can be reconfigured to work with the  
QEI module to perform specific functions. In effect, the  
QEI “borrows” hardware from the IC to perform these  
operations.  
The Special Event Trigger mode of IC1  
(CAP1M3:CAP1M0 = 1110 or 1111) enables the  
Special Event Trigger signal. The trigger signal can be  
used as the Special Event Reset input to TMR5,  
resetting the timer when the specific event happens on  
IC1. The events are summarized in Table 16-2.  
For velocity measurement, the QEI uses dedicated  
hardware in channel IC1. The CAP1BUF registers are  
remapped, becoming the VREG registers. Its operation  
and use are described in Section 16.2.6 “Velocity  
Measurement”.  
TABLE 16-2: SPECIAL EVENT TRIGGER  
CAP1M3:  
Description  
CAP1M0  
While in QEI mode, the CAP2BUF and CAP3BUF reg-  
isters of channel IC2 and IC3 are used for position  
determination. They are remapped as the POSCNT  
and MAXCNT buffer registers, respectively.  
1110  
The trigger occurs on every falling  
edge on CAP1 input  
1111  
The trigger occurs on every rising  
edge on CAP1 input  
16.1.9  
OPERATING MODES SUMMARY  
Table 16-3 shows a summary of the input capture con-  
figuration when used in conjunction with TMR5 timer  
resource.  
DS39616B-page 168  
Preliminary  
2003 Microchip Technology Inc.  
 
 
PIC18F2331/2431/4331/4431  
TABLE 16-3: INPUT CAPTURE TIME BASE RESET SUMMARY  
Reset Timer  
on Capture  
Pin  
CAPxM  
Mode  
Timer  
Description  
CAP1 0001-0100 Edge Capture  
TMR5  
optional(1)  
Simple edge Capture mode (includes a  
selectable prescaler)  
0101  
Period Measurement  
TMR5  
TMR5  
optional(1)  
always  
Captures Timer5 on period boundaries  
Captures Timer5 on pulse boundaries  
0110-0111 Pulse Width  
Measurement  
1000  
Input Capture on State  
Change  
TMR5  
TMR5  
optional(1)  
optional(2)  
Captures Timer5 on state change  
1110-1111 Special Event Trigger  
Used as a special event trigger to be used  
with the Timer5 or other peripheral  
modules  
(rising or falling edge)  
CAP2 0001-0100 Edge Capture  
TMR5  
optional(1)  
Simple edge Capture mode (includes a  
selectable prescaler  
0101  
Period Measurement  
TMR5  
TMR5  
optional(1)  
always  
Captures Timer5 on period boundaries  
Captures Timer5 on pulse boundaries  
0110-0111 Pulse Width  
Measurement  
1000  
Input Capture on State  
Change  
CAP3 0001-0100 Edge Capture  
TMR5  
TMR5  
optional(1)  
optional(1)  
Captures Timer5 on state change  
Simple edge Capture mode (includes a  
selectable prescaler  
0101  
Period Measurement  
TMR5  
TMR5  
optional(1)  
always  
Captures Timer5 on period boundaries  
Captures Timer5 on pulse boundaries  
0110-0111 Pulse Width  
Measurement  
1000  
Input Capture on State  
Change  
Note 1: Timer5 may be reset on capture events only when CAPxRE = 1.  
2: Trigger mode will not reset Timer5 unless RESEN = 0in the T5CON register.  
TMR5  
optional(1)  
Captures Timer5 on state change  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 169  
PIC18F2331/2431/4331/4431  
The QEI control logic detects the leading edge on the  
16.2 Quadrature Encoder Interface  
QEA or QEB phase input pins, and generates the count  
pulse which is sent to the position counter logic. It also  
samples the index input signal (INDX), and generates  
the direction of rotation signal (up/down) and the veloc-  
ity event signals.  
The Quadrature Encoder Interface (QEI) decodes  
speed and motion sensor information. It can be used in  
any application that uses a quadrature encoder for  
feedback. The interface implements these features:  
• Three QEI inputs: two phase signals (QEA and  
QEB) and one index signal (INDX)  
The position counter acts as an integrator for tracking  
distance traveled. The QEA and QEB input edges  
serve as the stimulus to create the input clock which  
advances the Position Counter Register (POSCNT).  
The register is incremented on either the QEA input  
edge, or the QEA and QEB input edges, depending on  
the operating mode. It is reset either by a rollover on  
match to the Period Register, MAXCNT, or on the exter-  
nal index pulse input signal (INDX). An interrupt is gen-  
erated on a reset of POSCNT if the position counter  
interrupt is enabled.  
• Direction of movement detection with a direction  
change interrupt (IC3DRIF)  
• 16-bit up/down position counter  
• Standard and high-precision position tracking  
modes  
• Two position update modes (x2 and x4)  
• Velocity measurement with a programmable  
postscaler for high-speed velocity measurement  
• Position counter interrupt (IC2QEIF in the PIR3  
register)  
The velocity postscaler down-samples the velocity  
pulses used to increment the velocity counter by a  
specified ratio. It essentially divides down the number  
of velocity pulses to one output per so many input, pre-  
serving the pulse width in the process.  
• Velocity control interrupt (IC1IF in the PIR3  
register)  
The QEI sub-module has three main components: the  
QEI control logic block, the position counter and  
velocity postscaler.  
A simplified block-diagram of the QEI module is shown  
in Figure 16-8.  
FIGURE 16-8:  
QEI BLOCK DIAGRAM  
QEI Module  
Direction change  
Timer reset  
Set CHGIF  
Reset Timer5  
Velocity Capture  
8
Velocity Event  
Postscaler  
Set UP/DOWN  
QEB  
Filter  
Direction  
Clock  
8
POSCNT/CAP2BUF  
Comparator  
QEA  
Reset on match  
CAP3/QEB  
CAP2/QEA  
INDX  
Filter  
Filter  
Set IC2QEIF  
8
MAXCNT/CAP3BUF  
QEI  
Control  
Logic  
Position Counter  
CAP1/INDX  
8
8
DS39616B-page 170  
Preliminary  
2003 Microchip Technology Inc.  
 
PIC18F2331/2431/4331/4431  
The operation of the QEI is controlled by the QEICON  
configuration register. See Register 16-2.  
16.2.1  
QEI CONFIGURATION  
The QEI module shares its input pins with the Input  
Capture module. The inputs are mutually exclusive;  
only the IC module or the QEI module (but not both)  
can be enabled at one time. Also, because the IC and  
QEI are multiplexed to the same input pins, the  
programmable noise filters can be dedicated to one  
module only.  
Note:  
In the event that both QEI and IC are  
enabled, QEI will take precedence and IC  
will remain disabled.  
REGISTER 16-2: QEICON: QUADRATURE ENCODER INTERFACE CONTROL REGISTER  
R/W-0  
VELM  
R/W-0  
R-0  
R/W-0  
R/W-0  
R/W-0  
R/W-0  
R/W-0  
ERROR UP/DOWN QEIM2  
QEIM1  
QEIM0  
PDEC1  
PDEC0  
bit 7  
bit 0  
bit 7  
bit 6  
bit 5  
VELM: Velocity Mode bit  
1= Velocity mode disabled  
0= Velocity mode enabled  
ERROR: QEI error bit(1)  
1= Position counter(4) overflow or underflow  
0= No overflow or underflow  
UP/DOWN: Direction of Rotation Status bit(1)  
1= Forward  
0= Reverse  
bit 4-2 QEIM2:QEIM0: QEI Mode bits(2,3)  
111=Unused  
110=QEI enabled in 4x Update mode; position counter reset on period match  
(POSCNT = MAXCNT)  
101=QEI enabled in 4x Update mode; INDX resets the position counter  
100=Unused  
010=QEI enabled in 2x Update mode; position counter reset on period match  
(POSCNT = MAXCNT)  
001=QEI enabled in 2x Update mode; INDX resets the position counter  
000=QEI off  
bit 1-0 PDEC1:PDEC0: Velocity Pulse Reduction Ratio bit  
11=1:64  
10=1:16  
01=1:4  
00=1:1  
Note 1: QEI must be enabled and in Index mode.  
2: QEI mode select must be cleared (= 000) to enable CAP1, CAP2 or CAP3 inputs. If QEI and  
IC modules are both enabled, QEI will take precedence.  
3: Enabling one of the QEI operating modes remaps the IC buffer registers CAP1BUFH,  
CAP1BUFL, CAP2BUFH, CAP2BUFL, CAP3BUFH and CAP3BUFL as the VREGH,  
VREGL, POSCNTH, POSCNTL, MAXCNTH, and MAXCNTL registers (respectively) for the  
QEI.  
4: ERROR bit must be cleared in software.  
Legend:  
R = Readable bit  
-n = Value at POR  
W = Writable bit  
‘1’ = bit is set  
U = Unimplemented bit, read as ‘0’  
‘0’ = bit is cleared x = bit is unknown  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 171  
 
PIC18F2331/2431/4331/4431  
16.2.2  
QEI MODES  
16.2.3  
QEI OPERATION  
Position measurement resolution depends on how  
often the Position Counter register, POSCNT, is  
incremented. There are two QEI update modes to  
measure the rotor’s position: QEI x2 and QEI x4.  
The Position Counter register pair (POSCNTH:  
POSCNTL) acts as an integrator, whose value is pro-  
portional to the position of the sensor rotor that corre-  
sponds to the number of active edges detected.  
POSCNT can either increment or decrement, depend-  
ing on a number of selectable factors which are  
decoded by the QEI logic block. These include the  
Count mode selected, the phase relationship of QEA to  
QEB (“lead/lag”), the direction of rotation, and if a reset  
event occurs. The logic is detailed in the sections that  
follow.  
TABLE 16-4: QEI MODES  
QEIM2:  
QEIM0  
Mode/  
Reset  
Description  
QEI disabled(1)  
000  
001  
x2 update/ Two clocks per QEA pulse.  
index pulse INDX resets POSCNT.  
16.2.3.1  
Edge and Phase Detect  
010  
x2 update/ Two clocks per QEA pulse.  
In the first step, the active edges of QEA and QEB are  
detected, and the phase relationship between them is  
determined. The position counter is changed based on  
the selected QEI mode.  
period  
match  
POSCNT reset by the period  
match (MAXCNT).  
011  
100  
101  
unused  
unused  
In QEI x2 Update mode, the position counter incre-  
ments or decrements on every QEA edge based on the  
phase relationship of the QEA and QEB signals.  
x4 update/ Four clocks per QEA and  
index  
QEB pulse pair.  
INDX resets POSCNT.  
In QEI x4 Update mode, the position counter  
increments or decrements on every QEA and QEB  
edge based on the phase relationship of the QEA and  
QEB signals. For example, if QEA leads QEB, the  
position counter is incremented by 1. If QEB lags QEA,  
the position counter is decremented by 1.  
110  
x4 update/ Four clocks per QEA and  
period  
match  
QEB pulse pair.  
POSCNT reset by the period  
match (MAXCNT).  
111  
unused  
Note 1: QEI module is disabled. The position  
counter and the velocity measurement  
functions are fully disabled in this mode.  
16.2.3.2  
Direction of Count  
The QEI control logic generates a signal that sets  
the UP/DOWN bit (QEICON<5>); this in turn  
determines the direction of the count. When QEA  
leads QEB, UP/DOWN is set (= 1), and the position  
counter increments on every active edge. When  
QEA lags QEB, UP/DOWN is cleared, and the  
position counter decrements on every active edge.  
16.2.2.1  
QEI x2 Update Mode  
QEI x2 Update mode is selected by setting the QEI  
Mode Select bits (QEIM2:QEIM0) to ‘001’ or ‘010’. In  
this mode, the QEI logic detects every edge on the  
QEA input only. Every rising and falling edge on the  
QEA signal clocks the position counter.  
The position counter can be reset by either an input on  
the INDX pin (QEIM2:QEIM0 = 001), or by a  
period-match, even when the POSCNT register pair  
equals MAXCNT (QEIM2:QEIM0 = 010).  
TABLE 16-5: DIRECTION OF ROTATION  
Previous Signal  
Detected  
Current  
Signal  
Detected  
Pos.  
Rising Falling  
Cntrl.(1)  
16.2.2.2  
QEI 4x Update Mode  
QEA QEB QEA QEB  
QEI x4 Update mode provides for a finer resolution of  
the rotor position, since the counter increments or  
decrements more frequently for each QEA/QEB input  
pulse pair than in QEI x2 mode. This mode is selected  
by setting the QEI Mode Select bits to 101 or 110. In  
QEI x4, the phase measurement is made on the rising  
and the falling edges of both QEA and QEB inputs. The  
position counter is clocked on every QEA and QEB  
edge.  
QEA rising  
QEA falling  
QEB rising  
QEB falling  
x
INC  
DEC  
DEC  
INC  
x
x
x
x
x
x
x
INC  
DEC  
INC  
DEC  
Like QEI x2 mode, the position counter can be reset by  
an input on the pin (QEIM2:QEIM0 = 101), or by the  
period-match event (QEIM2:QEIM0 = 010).  
Note 1: When UP/DOWN = 1, the position  
counter is incremented; when UP/DOWN  
= 0, the position counter is decremented.  
DS39616B-page 172  
Preliminary  
2003 Microchip Technology Inc.  
 
PIC18F2331/2431/4331/4431  
16.2.4  
QEI INTERRUPTS  
The position counter interrupt occurs, and the interrupt  
flag (IC2QEIF) is set, based on the following events:  
16.2.3.3  
Reset and Update Events  
The position counter will continue to increment or dec-  
rement until one of the following events takes place.  
The type of event and the direction of rotation when it  
happens determines if a register reset or update  
occurs.  
• A POSCNT/MAXCNT period match event  
(QEIM2:QEIM0 = 010or 110)  
• A POSCNT rollover (FFFFh to 0000h) in Period  
mode only (QEIM2:QEIM0 = 010or 110)  
• An index pulse detected on INDX.  
1. An index pulse is detected on the INDX input  
The interrupt timing diagrams for IC2QEIF are shown in  
Figure 16-10 and Figure 16-11.  
(QEIM2:QEIM0 = 001).  
If the encoder is traveling in the forward direc-  
tion, POSCNT is reset (00h) on the next clock  
edge after the index marker, INDX, has been  
detected. The position counter resets on the  
QEA or QEB edge once the INDX rising edge  
has been detected.  
When the direction has changed, the direction change  
Interrupt flag (IC3DRIF) is set on the following TCY  
clock (see Figure 16-10).  
If the position counter rolls over in Index mode, the  
ERROR bit will be set.  
If the encoder is traveling in the reverse direc-  
tion, the value in the MAXCNT register is loaded  
into POSCNT on the next quadrature pulse  
edge (QEA or QEB) after the falling edge on  
INDX has been detected.  
16.2.5  
QEI SAMPLE TIMING  
The quadrature input signals, QEA and QEB, may vary  
in quadrature frequency. The minimum quadrature  
input period TQEI is 16TCY.  
2. A POSTCNT/MAXCNT period match occurs  
The position count rate, FPOS, is directly proportional to  
the rotor’s RPM, line count D and QEI Update mode (x2  
vs. x4); that is,  
(QEIM2:QEIM0 = 010).  
If the encoder is traveling in the forward direc-  
tion, POSCNT is reset (00h) on the next clock  
edge when POSCNT = MAXCNT. An interrupt  
event is triggered on the next TCY after the reset  
(see Figure 16-10)  
4D RPM  
------------------------  
=
FPOS  
60  
Note:  
The number of incremental lines in the  
position encoder is typically set at  
D = 1024 and the QEI Update mode = x4.  
If the encoder is traveling in the reverse  
direction and the value of POSCNT reaches  
00h, POSCNT is loaded with the contents of  
MAXCNT register on the next clock edge. An  
interrupt event is triggered on the next TCY after  
the load operation (see Figure 16-10).  
The maximum position count rate (i.e., 4x QEI  
Update mode, D = 1024) with FCY = 10 MIPS is equal  
to 2.5 MHz, which corresponds to FQEI of 625 kHz.  
The value of the position counter is not affected during  
QEI mode changes, nor when the QEI is disabled  
altogether.  
Figure 16-9 shows QEA and QEB quadrature inputs  
timing when sampled by the noise filter.  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 173  
PIC18F2331/2431/4331/4431  
FIGURE 16-9:  
QEI INPUTS WHEN SAMPLED BY THE FILTER (DIVIDE RATIO = 1:1)  
TCY  
QEA pin  
QEB pin  
(1)  
TQEI = 16TCY  
QEA input  
QEB input  
TGD = 3TCY  
Note 1: The module design allows a quadrature frequency of up to FQEI = FCY/16.  
FIGURE 16-10:  
QEI MODULE RESET TIMING ON PERIOD MATCH  
Forward  
Reverse  
QEA  
QEB  
+1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1  
-1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1  
count (+/-)  
(1)  
POSCNT  
MAXCNT  
MAXCNT=1527  
Note 6  
Note 2  
IC2QEIF  
Note 2  
UP/DOWN  
(3)  
(3)  
Q4  
Q4  
(5)  
(4)  
Q1  
Q1  
position  
counter load  
IC3DRIF  
(5)  
Q1  
Note 1: POSCNT register is shown in QEI x4 Update mode (POSCNT increments on every rising and every falling edge of  
QEA and QEB input signals). Asynchronous external QEA and QEB input are synchronized to TCY clock by the input  
sampling FF in the noise filter (see Figure 16-14).  
2: When POSCNT = MAXCNT, POSCNT is reset to ‘0’ on the next QEA rising edge. POSCNT is set to MAXCNT when  
POSCNT = 0(when decrementing), which occurs on the next QEA falling edge.  
3: IC2QEI is generated on Q4 rising edge.  
4: Position counter is loaded with ‘0’ (which is a rollover event in this case) on POSCNT = MAXCNT.  
5: Position counter is loaded with MAXCNT value (1527h) on underflow.  
6: IC2QEIF must be cleared in software.  
DS39616B-page 174  
Preliminary  
2003 Microchip Technology Inc.  
PIC18F2331/2431/4331/4431  
FIGURE 16-11:  
QEI MODULE RESET TIMING WITH THE INDEX INPUT  
Forward  
Reverse  
Note 2  
Note 2  
QEA  
QEB  
+1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1 +1  
-1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1  
count (+/-)  
(1)  
POSCNT  
MAXCNT  
INDX  
MAXCNT=1527  
Note 6  
IC2QEIF  
UP/DOWN  
(3)  
(3)  
Q4  
Q4  
(5)  
(4)  
Q1  
Q1  
Position  
counter load  
Note 1: POSCNT register is shown in QEI x4 Update mode (POSCNT increments on every rising and every falling edge of  
QEA and QEB input signals)  
2: When INDX Reset pulse is detected, POSCNT is reset to ‘0’ on the next QEA or QEB edge. POSCNT is set to  
MAXCNT when POSCNT = 0(when decrementing), which occurs on the next QEA or QEB edge. Similar Reset  
sequence occurs for the reverse direction except that the INDX signal is recognized on its falling edge. The Reset  
is generated on the next QEA or QEB edge.  
3: IC2QEI is enabled for one TCY clock cycle.  
4: Position counter is loaded with ‘0000h’ (i.e., Reset) on the next QEA or QEB edge when INDX is high.  
5: Position counter is loaded with MAXCNT value (e.g., 1527h) on the next QEA or QEB edge following the INDX  
falling edge input signal detect).  
6: IC2QEIF must be cleared in software.  
16.2.6  
VELOCITY MEASUREMENT  
TABLE 16-6: VELOCITY PULSES  
The velocity pulse generator, in conjunction with the  
IC1 and the synchronous TMR5 (in synchronous  
operation), provides a method for high accuracy speed  
measurements at both low and high mechanical motor  
speeds. The Velocity mode is enabled when the VELM  
bit is cleared (= 0) and QEI is set to one of its operating  
modes (see Table 16-6).  
QEIM<2:0>  
Velocity Event Mode  
001  
010  
x2 Velocity Event mode. The velocity  
pulse is generated on every QEA  
edge.  
101  
110  
x4 Velocity Event mode. The velocity  
pulse is generated on every QEA and  
QEB active edge.  
To optimize register space, the input capture channel  
one (IC1) is used to capture TMR5 counter values.  
Input capture buffer register, CAP1BUF, is redefined in  
Velocity Measurement mode, VELM = 0, as the  
Velocity Register buffer (VREGH, VREGL).  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 175  
 
PIC18F2331/2431/4331/4431  
Each velocity pulse serves as a capture pulse. With the  
TMR5 in Synchronous Timer mode, the value of TMR5  
is captured on every output pulse of the postscaler. The  
counter is subsequently reset to ‘0’. TMR5 is reset  
upon a capture event.  
16.2.6.1  
Velocity Event Timing  
The event pulses are reduced by a fixed ratio by the  
velocity pulse divider. The divider is useful for  
high-speed measurements where the velocity events  
happen frequently. By producing a single output pulse  
for a given number of input event pulses, the counter  
can track larger pulse counts (i.e., distance travelled)  
for a given time interval. Time is measured by utilizing  
the TMR5 time base.  
Figure 16-13 shows the velocity measurement timing  
diagram.  
FIGURE 16-12:  
VELOCITY MEASUREMENT BLOCK DIAGRAM  
TMR5 Reset  
Reset  
Logic  
QEI  
Control  
Logic  
Clock  
TMR5  
TCY  
16  
Velocity Mode  
Velocity Capture  
IC1  
(VELR Register)  
Velocity Event  
Postscaler  
CAP3/QEB  
QEB  
QEA  
INDX  
Direction  
Clock  
Position  
Counter  
CAP2/QEA  
CAP1/INDX  
DS39616B-page 176  
Preliminary  
2003 Microchip Technology Inc.  
 
PIC18F2331/2431/4331/4431  
FIGURE 16-13:  
VELOCITY MEASUREMENT TIMING(1)  
Forward  
Reverse  
QEA  
QEB  
vel_out  
velcap  
(2)  
TMR5  
(2)  
1537  
Old Value  
1529  
VELR  
(3)  
cnt_reset  
Q1  
Q1  
Q1  
(4)  
IC1IF  
CAP1REN  
Instr.  
Execution  
MOVWF QEICON(5)  
BCF PIE2, IC1IE  
BSF PIE2, IC1IE  
BCF TMR5CON, VELM  
Note 1: Timing shown is for QEIM<2:0> = 101, 110or 111(x4 Update mode enabled) and the velocity postscaler divide ratio  
is set to divide by 4 (PDEC<1:0> = 01).  
2: VELR register latches the TMR5 count on the “velcap” capture pulse. Timer5 must be set to the synchronous timer or  
Counter mode. In this example, it is set to the Synchronous Timer mode where the TMR5 prescaler divide ratio = 1  
(i.e., Timer5 clock = TCY).  
3: The TMR5 counter is reset on the next Q1 clock cycle following the “velcap” pulse. TMR5 value is unaffected when the  
Velocity Measurement mode is first enabled (VELM = 0). The velocity postscaler values must be reconfigured to their  
previous settings when re-entering Velocity Measurement mode. While making speed measurements of very slow  
rotational speeds (e.g., servo-controller applications), the Velocity Measurement mode may not provide sufficient  
precision. The Pulse Width Measurement mode may have to be used to provide the additional precision. In this case,  
the input pulse is measured on the CAP1 input pin.  
4: IC1IF interrupt is enabled by setting IC1IE as follows, BSF PIE2, IC1IE. Assume IC1E bit is placed in PIE2 Peripheral  
Interrupt Enable register in the target device. The actual IC1IF bit is written on Q2 rising edge.  
5: Post decimation value is changed from PDEC = 01(decimate by 4) to PDEC = 00(decimate by 1).  
16.2.6.2  
Velocity Postscaler  
16.2.6.3  
CAP1REN in Velocity Mode  
The velocity event pulse (velcap, see Figure 16-12)  
serves as the TMR5 capture trigger to IC1 while in the  
Velocity mode. The number of velocity events are  
reduced by the velocity postscaler before they are used  
as the input capture clock. The velocity event reduction  
ratio can be set with the PDEC1:PDEC0 control bits  
(QEICON<1:0>) to 1:4, 1:16, 1:64 or no reduction (1:1).  
The TMR5 value can be reset (TMR5 register pair =  
0000h) on a velocity event capture by setting the  
CAP1REN bit (CAP1CON<6>). When CAP1REN is  
cleared, the TMR5 time base will not be reset on any  
velocity event capture pulse. The VELR register pair,  
however, will continue to be updated with the current  
TMR5 value.  
The velocity postscaler settings are automatically  
reloaded from their previous values as the Velocity  
mode is re-enabled.  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 177  
PIC18F2331/2431/4331/4431  
programmed by the FLTCK2:FLTCK0 configuration  
bits. TCY is used as the clock reference to the clock  
divider block.  
16.3 Noise Filters  
The Motion Feedback module includes three noise  
rejection filters on CAP1/INDX, CAP2/QEA and  
CAP3/QEB. The filter block also includes a fourth filter  
for the T5CKI pin. They are intended to help reduce  
spurious noise spikes which may cause the input sig-  
nals to become corrupted at the inputs. The filter  
ensures that the input signals are not permitted to  
change until a stable value has been registered for  
three consecutive sampling clock cycles.  
The noise filters can either be added or removed from  
the input capture or QEI signal path by setting or  
clearing the appropriate FLTxEN bit, respectively. Each  
capture channel provides for individual enable control  
of the filter output. The FLT4EN bit enables or disabled  
the noise filter available on TMR5CKI input in the  
Timer5 module.  
The filter network for all channels is disabled on POR  
and BOR resets , as the DFLTCON register is cleared  
on resets. The operation of the filter is shown in the  
timing diagram in Figure 16-14.  
The filters are controlled using the Digital Filter Control  
(DFLTCON) register (see Register 16-3). The filters  
can be individually enabled or disabled by setting or  
clearing the corresponding FLTxEN bit in the  
DFLTCON register. The sampling frequency, which  
must be the same for all three noise filters, can be  
REGISTER 16-3: DFLTCON: DIGITAL FILTER CONTROL REGISTER  
U-0  
R/W-0  
R/W-0  
R/W-0  
R/W-0  
R/W-0  
R/W-0  
R/W-0  
FLT4EN  
FLT3EN  
FLT2EN  
FLT1EN FLTCK2 FLTCK1  
FLTCK0  
bit 7  
bit 0  
bit 7  
bit 6  
Unimplemented: Read as ‘0’  
FLT4EN: Noise Filter Output Enable bit, T5CKI input  
1= Enabled  
0= Disabled  
bit 5  
bit 4  
bit 3  
FLT3EN: Noise Filter Output Enable bit, CAP3/QEB input(1)  
1= Enabled  
0= Disabled  
FLT2EN: Noise Filter Output Enable bit, CAP2/QEA input(1)  
1= Enabled  
0= Disabled  
FLT1EN: Noise Filter Output Enable bit, CAP1/INDX input(1)  
1= Enabled  
0= Disabled  
bit 2-0 FLTCK<2:0>: Noise Filter Clock Divider Ratio bits  
111=Unused  
110=1:128  
101=1:64  
100=1:32  
011=1:16  
010=1:4  
001=1:2  
000=1:1  
Note 1: Noise Filter Output Enables are functional in both QEI and IC operating modes  
Legend:  
R = Readable bit  
-n = Value at POR  
W = Writable bit  
‘1’ = bit is set  
U = Unimplemented bit, read as ‘0’  
‘0’ = bit is cleared x = bit is unknown  
Note:  
The Noise Filter is intended for random high-frequency filtering and not continuous  
high-frequency filtering.  
DS39616B-page 178  
Preliminary  
2003 Microchip Technology Inc.  
 
PIC18F2331/2431/4331/4431  
FIGURE 16-14:  
FILTER TIMING DIAGRAM (CLOCK DIVIDER = 1:1)  
TQEI = 16TCY  
TCY  
(3)  
(3)  
Noise glitch  
Noise glitch  
(1)  
CAP1/INDX pin  
(input to filter)  
TGD = 3TCY  
(2)  
CAP1/INDX input  
(output from filter)  
Note 1: Only CAP1/INDX pin input is shown for simplicity. Similar event timing occurs on CAP2/QEA and CAP3/QEB pins.  
2: Noise filtering occurs in shaded portions of CAP1 input.  
3: Filter’s group delay: TGD = 3 TCY.  
16.4 IC and QEI Shared Interrupts  
16.5 Operation in Sleep Mode  
The IC and QEI sub-modules can each generate three  
distinct interrupt signals; however, they share the use  
of the same three interrupt flags in register PIR3. The  
meaning of a particular interrupt flag at any given time  
depends on which module is active at the time the  
interrupt is set. The meaning of the flags in context are  
summarized in Table 16-7.  
16.5.1  
3X INPUT CAPTURE IN SLEEP  
MODE  
Since the input capture can operate only when its time  
base is configured in a Synchronous mode, the input  
capture will not capture any events. This is because the  
device’s internal clock has been stopped, and any  
internal timers in synchronous modes will not incre-  
ment. The prescaler will continue to count the events  
(not synchronized).  
When the IC submodule is active, the three flags  
(IC1IF, IC2QEIF and IC3DRIF) function as  
interrupt-on-capture event flags for their respective  
input capture channels. The channel must be  
configured for one of the events that will generate an  
interrupt (see Section 16.1.7 “IC Interrupts” for more  
information).  
When the specified capture event occurs, the CAPxIF  
interrupt will be set. The Capture Buffer register will be  
updated upon wake-up from sleep to the current TMR5  
value. If the CAPxIF interrupt is enabled, the device will  
wake-up from sleep. This effectively enables all input  
capture channels to be used as the external interrupts.  
When the QEI is enabled, the IC1IF interrupt flag  
indicates an interrupt caused by  
a
velocity  
measurement event, usually an update of the VELR  
register. The IC2QEIF interrupt indicates that a position  
measurement event has occurred. IC3DRIF indicates  
that a direction change has been detected.  
16.5.2  
QEI IN SLEEP MODE  
All QEI functions are halted in Sleep mode.  
TABLE 16-7: MEANING OF IC AND QEI  
INTERRUPT FLAGS  
Meaning  
Interrupt  
Flag  
IC Mode  
QEI Mode  
IC1IF  
IC1 capture  
event  
Velocity register  
update  
IC2QEIF  
IC3DRIF  
IC2 capture  
event  
Position measurement  
update  
IC3 capture  
event  
Direction change  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 179  
 
PIC18F2331/2431/4331/4431  
TABLE 16-8: REGISTERS ASSOCIATED WITH THE MOTION FEEDBACK MODULE  
Value on all  
other  
Resets  
Value on:  
POR, BOR  
Name  
Bit 7  
Bit 6  
Bit 5  
Bit 4  
Bit 3  
Bit 2  
Bit 1  
Bit 0  
INTCON  
IPR3  
GIE/GIEH PEIE/GIEL  
TMR0IE  
INT0IE  
PTIP  
PTIE  
PTIF  
RBIE  
TMR0IF  
IC2QEIP  
IC2QEIE  
IC2QEIF  
INT0IF  
IC1IP  
IC1IE  
IC1IF  
RBIF  
0000 000x 0000 000u  
IC3DRIP  
IC3DRIE  
IC3DRIF  
TMR5IP ---1 1111 ---1 1111  
TMR5IE ---0 0000 ---0 0000  
TMR5IF ---0 0000 ---0 0000  
xxxx xxxx uuuu uuuu  
PIE3  
PIR3  
TMR5H  
TMR5L  
PR5H  
PR5L  
Timer5 Register High Byte (Buffer)  
Timer5 Register Low Byte  
xxxx xxxx uuuu uuuu  
Timer5 Period Register High Byte  
Timer5 Period Register Low Byte  
1111 1111 1111 1111  
1111 1111 1111 1111  
T5CON  
T5SEN  
RESEN  
T5MOD  
T5PS1  
T5PS0  
T5SYNC  
TMR5CS TMR5ON 0000 0000 0000 0000  
(1)  
CAP1BUFH/ Capture 1 Register, High Byte / Velocity Register, High Byte  
VELRH  
xxxx xxxx uuuu uuuu  
(1)  
CAP1BUFL/ Capture 1 Register Low Byte / Velocity Register, Low Byte  
VELRL  
xxxx xxxx uuuu uuuu  
xxxx xxxx uuuu uuuu  
xxxx xxxx uuuu uuuu  
xxxx xxxx uuuu uuuu  
xxxx xxxx uuuu uuuu  
(1)  
CAP2BUFH/ Capture 2 Register, High Byte / QEI Position Counter Register, High Byte  
POSCNTH  
(1)  
CAP2BUFL/ Capture 2 Register, Low Byte / QEI Position Counter Register, Low Byte  
POSCNTL  
(1)  
CAP3BUFH/ Capture 3 Register, High Byte / QEI Max. Count Limit Register, High Byte  
MAXCNTH  
(1)  
CAP3BUFL/ Capture 3 Register, Low Byte / QEI Max. Count Limit Register, Low Byte  
MAXCNTL  
CAP1CON  
CAP2CON  
CAP3CON  
DFLTCON  
CAP1REN  
CAP2REN  
CAP3REN  
FLT4EN  
CAP1M3  
CAP2M3  
CAP3M3  
FLT1EN  
CAP1M2  
CAP1M1 CAP1M0 -0-- 0000 -0-- 0000  
CAP2M1 CAP2M0 -0-- 0000 -0-- 0000  
CAP3M1 CAP3M0 -0-- 0000 -0-- 0000  
CAP2M2  
CAP3M2  
FLTCK2  
FLT3EN  
FLT2EN  
FLTCK1  
PDEC1  
FLTCK0 -000 0000 -000 0000  
PDEC0 0000 0000 0000 0000  
QEICON  
VELM  
ERROR  
UP/DOWN  
QEIM2  
QEIM1  
QEIM0  
Legend:  
x= unknown, u= unchanged, = unimplemented, q= value depends on condition.  
Shaded cells are not used by the Motion Feedback module.  
Note 1:  
Register name and function determined by which submodule is selected (IC/QEI, respectively). See Section 16.1.10 “Other  
Operating Modes” for more information.  
DS39616B-page 180  
Preliminary  
2003 Microchip Technology Inc.  
PIC18F2331/2431/4331/4431  
The PWM module has the following features:  
17.0 POWER CONTROL PWM  
MODULE  
• Up to eight PWM I/O pins with four duty cycle  
generators. Pins can be paired to get a complete  
The Power Control PWM module simplifies the task of  
generating multiple, synchronized pulse width  
modulated (PWM) outputs for use in the control of  
motor controllers and power conversion applications.  
In particular, the following power and motion control  
applications are supported by the PWM module:  
half-bridge control.  
• Up to 14-bit resolution, depending upon the PWM  
period.  
• “On-the-fly” PWM frequency changes.  
• Edge- and Center-aligned Output modes.  
• Single-pulse Generation mode.  
• Three-phase and Single-phase AC Induction  
Motors  
• Programmable dead time control between paired  
PWMs.  
• Switched Reluctance Motors  
• Brushless DC (BLDC) Motors  
• Uninterruptible Power Supplies (UPS)  
• Multiple DC Brush Motors  
• Interrupt support for asymmetrical updates in  
Center-aligned mode.  
• Output override for Electrically Commutated  
Motor (ECM) operation; for example, BLDC.  
• Special Event comparator for scheduling other  
peripheral events.  
• PWM outputs disable feature sets PWM outputs  
to their inactive state when in Debug mode.  
The Power Control PWM module supports three PWM  
generators and six output channels on PIC18F2X31  
devices, and four generators and eight channels on  
PIC18F4X31 devices. A simplified block diagram of the  
module is shown in Figure 17-1. Figure 17-2 and  
Figure 17-3 show how the module hardware is config-  
ured for each PWM output pair for the complementary  
and independent output modes.  
Each functional unit of the PWM module will be  
discussed in subsequent sections.  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 181  
PIC18F2331/2431/4331/4431  
FIGURE 17-1:  
POWER CONTROL PWM MODULE BLOCK DIAGRAM  
Internal Data Bus  
8
8
8
8
8
PWMCON0  
PWM Enable and Mode  
PWMCON1  
DTCON  
Dead Time Control  
Fault Pin Control  
FLTCON  
OVDCON<D/S>  
PWM Manual Control  
(1)  
PWM Generator #3  
PDC3 Buffer  
8
PDC3  
(2)  
(2)  
Channel 3  
Dead Time Generator  
and Override Logic  
PWM7  
PWM6  
Comparator  
(2)  
8
PWM Generator  
#2  
Channel 2  
Dead Time Generator  
and Override Logic  
PWM5  
PWM4  
PTMR  
Output  
Driver  
Block  
Comparator  
PTPER  
PWM Generator  
#1  
Channel 1  
Dead Time Generator  
and Override Logic  
PWM3  
PWM2  
PWM Generator  
#0  
Channel 0  
Dead Time Generator  
and Override Logic  
PWM1  
PWM0  
8
8
PTPER Buffer  
FLTA  
PTCON  
(2)  
FLTB  
Special Event  
Postscaler  
Comparator  
Special Event Trigger  
SEVTDIR  
PTDIR  
8
SEVTCMP  
Note 1: Only PWM Generator #3 is shown in detail. The other generators are identical; their details are omitted for clarity.  
2: PWM Generator #3 and its logic, PWM channels 6 and 7, and FLTB and its associated logic are not implemented  
on PIC18F2X31 devices.  
DS39616B-page 182  
Preliminary  
2003 Microchip Technology Inc.  
PIC18F2331/2431/4331/4431  
FIGURE 17-2:  
PWM MODULE BLOCK DIAGRAM, ONE OUTPUT PAIR, COMPLEMENTARY  
MODE  
VDD  
Dead-Band  
Generator  
PWM1  
Duty Cycle Comparator  
HPOL  
LPOL  
PWM Duty Cycle Register  
PWM0  
Fault Override Values  
Channel Override Values  
Fault A pin  
Fault B pin  
Fault Pin Assignment  
Logic  
Note:  
In the Complementary mode, the even channel cannot be  
forced active by a fault or override event when the odd channel  
is active. The even channel is always the complement of the  
odd channel and is inactive, with dead time inserted, before  
the odd channel is driven to its active state.  
FIGURE 17-3:  
PWM MODULE BLOCK DIAGRAM, ONE OUTPUT PAIR, INDEPENDENT MODE  
VDD  
PWM Duty Cycle Register  
PWM1  
Duty Cycle Comparator  
HPOL  
VDD  
PWM0  
LPOL  
Fault Override Values  
Channel Override Values  
Fault A pin  
Fault B pin  
Fault Pin Assignment  
Logic  
This module contains four duty-cycle generators,  
numbered 0 through 3. The module has eight PWM  
output pins, numbered 0 through 7. The eight PWM  
outputs are grouped into output pairs of even and odd  
numbered outputs. In complimentary modes, the even  
PWM pins must always be the complement of the  
corresponding odd PWM pin. For example, PWM0 will  
be the complement of PWM1, PWM2 will be the  
complement of PWM3, and so on. The dead time  
generator inserts an “off” period called “dead time”  
between the going off of one pin to the going on of the  
complementary pin of the paired pins. This is to prevent  
damage to the power switching devices that will be  
connected to the PWM output pins.  
The time base for the PWM module is provided by its  
own 12-bit timer, which also incorporates selectable  
prescaler and postscaler options.  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 183  
 
 
PIC18F2331/2431/4331/4431  
17.1 Control Registers  
17.2 Module Functionality  
The operation of the PWM module is controlled by a  
total of 22 registers. Eight of these are used to  
configure the features of the module:  
The PWM module supports several modes of operation  
that are beneficial for specific power and motor control  
applications. Each mode of operation is described in  
subsequent sections.  
• PWM Timer Control register 0 (PTCON0)  
• PWM Timer Control register 1 (PTCON1)  
• PWM Control register 0 (PWMCON0)  
• PWM Control register 1 (PWMCON1)  
• Dead Time Control register (DTCON)  
• Output Override Control register (OVDCOND)  
• Output State register (OVDCONS)  
The PWM module is composed of several functional  
blocks. The operation of each is explained separately  
in relation to the several modes of operation:  
• PWM Time Base  
• PWM Time Base Interrupts  
• PWM Period  
• PWM Duty Cycle  
• Fault Configuration register (FLTCONFIG)  
• Dead Time Generators  
• PWM Output Overrides  
• PWM Fault Inputs  
There are also 14 registers that are configured as  
seven register pairs of 16 bits. These are used for the  
configuration values of specific features. They are:  
• PWM Special Event Trigger  
• PWM Time Base Registers (PTMRH and PTMRL)  
• PWM Period Registers (PTPERH and PTPERL)  
17.3 PWM Time Base  
• PWM Special Event Compare Registers  
(SEVTCMPH and SEVTCMPL)  
The PWM time base is provided by a 12-bit timer with  
prescaler and postscaler functions. A simplified block  
diagram of the PWM time base is shown in Figure 17-4.  
The PWM time base is configured through the  
PTCON0 and PTCON1 registers. The time base is  
enabled or disabled by respectively setting or clearing  
the PTEN bit in the PTCON1 register.  
• PWM Duty Cycle #0 Registers  
(PDC0H and PDC0L)  
• PWM Duty Cycle #1 Registers  
(PDC1H and PDC1L)  
• PWM Duty Cycle #2 Registers  
(PDC2H and PDC2L)  
Note:  
The PTMR register pair (PTMRL:PTMRH)  
is not cleared when the PTEN bit is  
cleared in software.  
• PWM Duty Cycle #3 registers  
(PDC3H and PDC3L)  
All of these register pairs are double-buffered.  
DS39616B-page 184  
Preliminary  
2003 Microchip Technology Inc.  
PIC18F2331/2431/4331/4431  
FIGURE 17-4:  
PWM TIME BASE BLOCK DIAGRAM  
PTMR Clock  
PTMR Register  
Timer RESET  
Up/Down  
Zero match  
Comparator  
Timer  
Direction  
Control  
PTDIR  
Period match  
PTMOD1  
Comparator  
PTPER  
Duty Cycle Load  
Period load  
PTPER Buffer  
Update disable (UDIS)  
Zero match  
Period match  
PTMOD1  
PTMR clock  
Clock  
Control  
PTMOD0  
Prescaler  
1:1, 1:4, 1:16, 1:64  
PTEN  
FOSC/4  
Zero  
match  
Postscaler  
1:1 - 1:16  
Interrupt  
Control  
PTIF  
Period  
match  
PTMOD1  
PTMOD0  
The PWM time base can be configured for four different  
modes of operation:  
• Free Running mode  
• Single-shot mode  
• Continuous Up/Down Count mode  
• Continuous Up/Down Count mode with interrupts  
for double updates  
These four modes are selected by the  
PTMOD1:PTMOD0 bits in the PTCON0 register. The  
Free Running mode produces edge-aligned PWM  
generation. The up/down counting modes produce  
center-aligned PWM generation. The Single-shot  
mode allows the PWM module to support pulse control  
of certain electronically commutated motors (ECMs)  
and produces edge-aligned operation.  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 185  
PIC18F2331/2431/4331/4431  
REGISTER 17-1: PTCON0: PWM TIMER CONTROL REGISTER 0  
R/W-0  
R/W-0  
R/W-0  
R/W-0  
R/W-0  
R/W-0  
R/W-0  
R/W-0  
PTOPS3 PTOPS2 PTOPS1 PTOPS0 PTCKPS1 PTCKPS0 PTMOD1 PTMOD0  
bit 7  
bit 0  
bit 7-4 PTOPS3:PTOPS0: PWM Time Base Output Postscale Select bits  
0000=1:1 Postscale  
0001=1:2 Postscale  
.
.
.
1111=1:16 Postscale  
bit 3-2 PTCKPS1:PTCKPS0: PWM Time Base Input Clock Prescale Select bits  
00=PWM time base input clock is Fosc/4 (1:1 prescale)  
01=PWM time base input clock is Fosc/16 (1:4 prescale)  
10=PWM time base input clock is Fosc/64 (1:16 prescale)  
11=PWM time base input clock is Fosc/256 (1:64 prescale)  
bit 1-0 PTMOD1:PTMOD0: PWM Time Base Mode Select bits  
11=PWM time base operates in a Continuous Up/Down mode with interrupts for double PWM  
updates.  
10=PWM time base operates in a Continuous Up/Down Counting mode.  
01=PWM time base configured for Single-shot mode.  
00=PWM time base operates in a Free Running mode.  
Legend:  
R = Readable bit  
-n = Value at POR  
W = Writable bit  
‘1’ = bit is set  
U = Unimplemented bit, read as ‘0’  
‘0’ = bit is cleared x = bit is unknown  
REGISTER 17-2: PTCON1: PWM TIMER CONTROL REGISTER 1  
R/W-0  
PTEN  
R-0  
U-0  
U-0  
U-0  
U-0  
U-0  
U-0  
PTDIR  
bit 7  
bit 0  
bit 7  
bit 6  
PTEN: PWM Time Base Timer Enable bit  
1= PWM time base is ON  
0= PWM time base is OFF  
PTDIR: PWM Time Base Count Direction Status bit  
1= PWM time base counts down.  
0= PWM time base counts up.  
bit 5-0 Unimplemented: Read as ‘0’.  
Legend:  
R = Readable bit  
-n = Value at POR  
W = Writable bit  
U = Unimplemented bit, read as ‘0’  
‘0’ = bit is cleared x = bit is unknown  
‘1’= bit is set  
DS39616B-page 186  
Preliminary  
2003 Microchip Technology Inc.  
PIC18F2331/2431/4331/4431  
REGISTER 17-3: PWMCON0: PWM CONTROL REGISTER 0  
U-0  
R/W-1(1) R/W-1(1) R/W-1(1)  
R/W-0  
R/W-0  
R/W-0  
R/W-0  
PWMEN2 PWMEN1 PWMEN0 PMOD3(3) PMOD2  
PMOD1  
PMOD0  
bit 7  
bit 0  
bit 7  
Unimplemented: Read as ‘0’.  
bit 6-4  
PWMEN2:PWMEN0: PWM Module Enable bits(1)  
111=All odd PWM I/O pins enabled for PWM output(2)  
110=PWM1, PWM3 pins enabled for PWM output.  
.
101=All PWM I/O pins enabled for PWM output(2)  
.
100=PWM0, PWM1, PWM2, PWM3, PWM4 and PWM5 pins enabled for PWM output.  
011=PWM0, PWM1, PWM2 and PWM3 I/O pins enabled for PWM output.  
010=PWM0 and PWM1 pins enabled for PWM output.  
001=PWM1 pin is enabled for PWM output.  
000=PWM module disabled. All PWM I/O pins are general purpose I/O.  
bit 3-0  
PMOD3:PMOD0: PWM Output Pair Mode bits  
For PMOD0:  
1= PWM I/O pin pair (PWM0, PWM1) is in the Independent mode.  
0= PWM I/O pin pair (PWM0, PWM1) is in the Complementary mode.  
For PMOD1:  
1= PWM I/O pin pair (PWM2, PWM3) is in the Independent mode.  
0= PWM I/O pin pair (PWM2, PWM3) is in the Complementary mode.  
For PMOD2:  
1= PWM I/O pin pair (PWM4, PWM5) is in the Independent mode.  
0= PWM I/O pin pair (PWM4, PWM5) is in the Complementary mode.  
For PMOD3(3)  
:
1= PWM I/O pin pair (PWM6, PWM7) is in the Independent mode.  
0= PWM I/O pin pair (PWM6, PWM7) is in the Complementary mode.  
Note 1: Reset condition of PWMEN bits depends on PWMPIN device configuration bit.  
2: When PWMEN2:PWMEN0 101, PWM[5:0] outputs are enabled for  
=
PIC18F2X31 devices; PWM[7:0] outputs are enabled for PIC18F4X31devices.  
When PWMEN2:PWMEN0 = 111, PWM outputs 1, 3 and 5 are enabled in  
PIC18F2X31devices; PWM outputs 1, 3, 5 and 7 are enabled in PIC18F4X31  
devices.  
3: Unimplemented in PIC18F2X31 devices; maintain these bits clear.  
Legend:  
R = Readable bit  
-n = Value at POR  
W = Writable bit  
‘1’ = bit is set  
U = Unimplemented bit, read as ‘0’  
‘0’ = bit is cleared x = bit is unknown  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 187  
 
PIC18F2331/2431/4331/4431  
REGISTER 17-4: PWMCON1: PWM CONTROL REGISTER 1  
R/W-0  
R/W-0  
R/W-0  
R/W-0  
R/W-0  
U-0  
R/W-0  
UDIS  
R/W-0  
SEVOPS3 SEVOPS2 SEVOPS1 SEVOPS0 SEVTDIR  
bit 7  
OSYNC  
bit 0  
bit 7-4 SEVOPS3:SEVOPS0: PWM Special Event Trigger Output Postscale Select bits  
0000=1:1 Postscale  
0001=1:2 Postscale  
.
.
.
1111=1:16 Postscale  
bit 3  
SEVTDIR: Special Event Trigger Time Base Direction bit  
1= A special event trigger will occur when the PWM time base is counting downwards.  
0= A special event trigger will occur when the PWM time base is counting upwards.  
bit 2  
bit 1  
Unimplemented: Read as ‘0’.  
UDIS: PWM Update Disable bit  
1= Updates from duty cycle and period buffer registers are disabled.  
0= Updates from duty cycle and period buffer registers are enabled.  
bit 0  
OSYNC: PWM Output Override Synchronization bit  
1= Output overrides via the OVDCON register are synchronized to the PWM time base.  
0= Output overrides via the OVDCON register are asynchronous.  
Legend:  
R = Readable bit  
-n = Value at POR  
W = Writable bit  
‘1’ = bit is set  
U = Unimplemented bit, read as ‘0’  
‘0’ = bit is cleared  
x = bit is unknown  
17.3.1  
FREE RUNNING MODE  
Note:  
When the PWM timer is enabled in  
Up/Down Count mode, during the first half  
of the first period of the up/down counting  
modes, the PWM outputs are kept  
inactive. By doing this, PWM pins will  
output garbage duty cycle due to unknown  
value in the PTMR registers.  
In the Free Running mode, the PWM time base  
(PTMRL and PTMRH) will begin counting upwards until  
the value in the Time Base Period Register, PTPER  
(PTPERL and PTPERH), is matched. The PTMR regis-  
ters will be reset on the following input clock edge and  
the time base will continue counting upwards as long  
as the PTEN bit remains set.  
17.3.4  
PWM TIME BASE PRESCALER  
17.3.2  
SINGLE-SHOT MODE  
The input clock to PTMR (FOSC/4) has prescaler  
options of 1:1, 1:4, 1:16 or 1:64. These are selected by  
control bits PTCKPS<1:0> in the PTCON0 register. The  
prescaler counter is cleared when any of the following  
occurs:  
In the Single-shot mode, the PWM time base will begin  
counting upwards when the PTEN bit is set. When the  
value in the PTMR register matches the PTPER regis-  
ter, the PTMR register will be reset on the following  
input clock edge and the PTEN bit will be cleared by the  
hardware to halt the time base.  
• Write to the PTMR register  
• Write to the PTCON (PTCON0 or PTCON1)  
register  
17.3.3  
CONTINUOUS UP/DOWN  
COUNTING MODES  
• Any device Reset  
In continuous up/down counting modes, the PWM time  
base counts upwards until the value in the PTPER  
register matches with PTMR. On the following input  
clock edge, the timer counts downwards. The PTDIR  
bit in the PTCON1 register is read-only and indicates  
the counting direction. The PTDIR bit is set when the  
timer counts downwards.  
Note:  
The PTMR register is not cleared when  
PTCON is written.  
Table 17-1 shows the minimum PWM frequencies that  
can be generated with the PWM time base and the  
prescaler. An operating frequency of 40 MHz  
(FCYC = 10 MHz) and PTPER = 0xFFF is assumed in  
the table. The PWM module must be capable of gener-  
ating PWM signals at the line frequency (50 Hz or  
60 Hz) for certain power control applications.  
DS39616B-page 188  
Preliminary  
2003 Microchip Technology Inc.  
PIC18F2331/2431/4331/4431  
TABLE 17-1: MINIMUM PWM FREQUENCY  
17.4 PWM Time Base Interrupts  
Minimum PWM Frequencies vs. Prescaler Value  
for FCYC = 10 MIPS, (PTPER = 0FFFh)  
The PWM timer can generate interrupts based on the  
modes of operation selected by PTMOD<1:0> bits and  
the postscaler bits (PTOPS<3:0>).  
PWM  
PWM  
Prescale  
Frequency  
Edge-aligned Center-aligned  
Frequency  
17.4.1  
INTERRUPTS IN FREE RUNNING  
MODE  
1:1  
1:4  
2441 Hz  
610 Hz  
153 Hz  
38 Hz  
1221 Hz  
305 Hz  
76 Hz  
When the PWM time base is in the Free Running mode  
(PTMOD<1:0> = 00), an interrupt event is generated  
each time a match with the PTPER register occurs. The  
PTMR register is reset to zero in the following clock  
edge.  
1:16  
1:64  
19 Hz  
17.3.5  
PWM TIME BASE POSTSCALER  
Using a postscaler selection other than 1:1 will reduce  
the frequency of interrupt events.  
The match output of PTMR can optionally be  
post-scaled through a 4-bit postscaler (which gives a  
1:1 to 1:16 scaling inclusive) to generate an interrupt.  
The postscaler counter is cleared when any of the  
following occurs:  
• Write to the PTMR register  
• Write to the PTCON register  
• Any device Reset  
The PTMR register is not cleared when PTCON is  
written.  
FIGURE 17-5:  
PWM TIME BASE INTERRUPT TIMING, FREE RUNNING MODE  
A: PRESCALER = 1:1  
Q1 Q2 Q3  
Q4  
Q1 Q2 Q3  
Q4  
Q1 Q2 Q3  
Q4  
Q1 Q2 Q3  
Q4  
Q1 Q2 Q3  
Q4  
FOSC/4  
PTMR  
1
FFEh  
FFFh  
000h  
001h  
002h  
PTMR_INT_REQ  
PTIF bit  
B: PRESCALER = 1:4  
Q4  
Q4  
Qc  
Qc Qc  
Qc  
Qc  
Qc Qc  
Qc  
Qc  
Qc Qc  
Qc  
Qc  
Qc Qc  
001h  
Qc  
Qc Qc Qc  
Qc  
1
PTMR  
FFEh  
FFFh  
000h  
002h  
PTMR_INT_REQ  
PTIF bit  
Note 1: PWM Time Base Period register, PTPER, is loaded with the value FFFh for this example.  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 189  
PIC18F2331/2431/4331/4431  
17.4.2  
INTERRUPTS IN SINGLE-SHOT  
MODE  
17.4.3  
INTERRUPTS IN CONTINUOUS  
UP/DOWN COUNTING MODE  
When the PWM time base is in the Single-shot mode  
(PTMOD<1:0> = 01), an interrupt event is generated  
when a match with the PTPER register occurs. The  
PWM timer register (PTMR) is reset to zero on the  
following input clock edge, and the PTEN bit is cleared.  
The postscaler selection bits have no effect in this  
Timer mode.  
In the Up/Down Counting mode (PTMOD<1:0> = 10),  
an interrupt event is generated each time the value of  
the PTMR register becomes zero and the PWM time  
base begins to count upwards. The postscaler  
selection bits may be used in this mode of the timer to  
reduce the frequency of the interrupt events.  
Figure 17-7 shows the interrupts in continuous  
Up/Down Counting mode.  
FIGURE 17-6:  
PWM TIME BASE INTERRUPT TIMING, SINGLE SHOT MODE  
A: PRESCALER = 1:1  
Q1 Q2 Q3  
Q4  
Q1 Q2 Q3  
Q4  
Q1 Q2 Q3  
Q4  
Q1 Q2 Q3  
Q4  
Q1 Q2 Q3  
Q4  
FOSC/4  
2
PTMR  
FFEh  
FFFh  
000h  
000h  
000h  
1
1
1
PTMR_INT_REQ  
PTIF bit  
B: PRESCALER = 1:4  
Q4  
Q4  
Qc  
Qc Qc  
Qc  
Qc  
Qc Qc  
FFFh  
Qc  
Qc  
Qc Qc  
Qc  
Qc  
Qc Qc  
000h  
Qc  
Qc  
Qc Qc  
000h  
Qc  
2
PTMR  
FFEh  
000h  
1
1
1
PTMR_INT_REQ  
PTIF bit  
Note 1: Interrupt flag bit PTIF is sampled here (every Q1).  
2: PWM Time Base Period register, PTPER, is loaded with the value FFFh for this example.  
DS39616B-page 190  
Preliminary  
2003 Microchip Technology Inc.  
PIC18F2331/2431/4331/4431  
FIGURE 17-7:  
PWM TIME BASE INTERRUPTS, UP/DOWN COUNTING MODE  
PRESCALER = 1:1  
Q1 Q2 Q3  
Q4  
Q1 Q2 Q3  
Q4  
Q1 Q2 Q3  
Q4  
Q1 Q2 Q3  
Q4  
Q1 Q2 Q3  
Q4  
FOSC/4  
PTMR  
002h  
001h  
000h  
001h  
002h  
PTDIR bit  
PTMR_INT_REQ  
PTIF bit  
1
1
1
1
PRESCALER = 1:4  
Q4  
Q4  
Qc  
Qc Qc  
Qc  
Qc  
Qc Qc  
001h  
Qc  
Qc  
Qc Qc  
Qc  
Qc  
Qc Qc  
001h  
Qc  
Qc  
Qc Qc  
002h  
Qc  
PTMR  
002h  
000h  
PTDIR bit  
1
1
1
1
PTMR_INT_REQ  
PTIF bit  
Note 1: Interrupt flag bit PTIF is sampled here (every Q1).  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 191  
PIC18F2331/2431/4331/4431  
17.4.4  
INTERRUPTS IN DOUBLE UPDATE  
MODE  
Note:  
Do not change PTMOD while PTEN is  
active. It will yield unexpected results. To  
change PWM Timer mode of operation,  
first clear PTEN bit, load PTMOD with  
required data and then set PTEN.  
This mode is available in Up/Down Counting mode. In  
the Double Update mode (PTMOD<1:0> = 11), an  
interrupt event is generated each time the PTMR  
register is equal to zero and each time the PTMR  
matches with PTPER register. Figure 17-8 shows the  
interrupts in Up/Down Counting mode with double  
updates.  
The Double Update mode provides two additional  
functions to the user in Center-Aligned mode.  
1. The control loop bandwidth is doubled because  
the PWM duty cycles can be updated twice per  
period.  
2. Asymmetrical center-aligned PWM waveforms  
can be generated, which are useful for  
minimizing output waveform distortion in certain  
motor control applications.  
FIGURE 17-8:  
PWM TIME BASE INTERRUPTS, UP/DOWN COUNTING MODE WITH DOUBLE  
UPDATES  
A: PRESCALER = 1:1  
Case 1: PTMR Counting Upwards  
Q1 Q2 Q3  
Q4  
Q1 Q2 Q3  
Q4  
Q1 Q2 Q3  
Q4  
Q1 Q2 Q3  
Q4  
Q1 Q2 Q3  
Q4  
OSC1  
PTMR  
2
3FDh  
3FEh  
3FFh  
3FEh  
3FDh  
PTDIR bit  
PTMR_INT_REQ  
PTIF bit  
1
1
1
1
Case 2: PTMR Counting Downwards  
Q1 Q2 Q3  
Q4  
Q1 Q2 Q3  
Q4  
Q1 Q2 Q3  
Q4  
Q1 Q2 Q3  
Q4  
Q1 Q2 Q3  
Q4  
OSC1  
PTMR  
002h  
001h  
000h  
001h  
002h  
PTDIR bit  
PTMR_INT_REQ  
PTIF bit  
1
1
1
1
Note 1: Interrupt flag bit PTIF is sampled here (every Q1).  
2: PWM Time Base Period register, PTPER, is loaded with the value 3FFh for this example.  
DS39616B-page 192  
Preliminary  
2003 Microchip Technology Inc.  
 
PIC18F2331/2431/4331/4431  
The maximum resolution (in bits) for a given device  
oscillator and PWM frequency can be determined from  
the following formula:  
17.5 PWM Period  
The PWM period is defined by the PTPER register pair  
(PTPERL and PTPERH). The PWM period has 12-bit  
resolution by combining 4 LSBs of PTPERH and 8-bits  
of PTPERL. PTPER is a double-buffered register used  
to set the counting period for the PWM time base.  
EQUATION 17-3: PWM RESOLUTION  
Fosc/4  
Fpwm  
log  
The PTPER buffer contents are loaded into the PTPER  
register at the following times:  
Resolution =  
log(2)  
• Free Running and Single-shot modes: when the  
PTMR register is reset to zero after a match with  
the PTPER register.  
The PWM resolutions and frequencies are shown for a  
selection of execution speeds and PTPER values in  
Table 17-2. The PWM frequencies in Table 17-2 are  
calculated for Edge-aligned PWM mode. For  
Center-aligned mode, the PWM frequencies will be  
approximately one-half the values indicated in this  
table.  
• Up/Down Counting modes: When the PTMR  
register is zero. The value held in the PTPER  
buffer is automatically loaded into the PTPER  
register when the PWM time base is disabled  
(PTEN = 0). Figure 17-9 and Figure 17-10  
indicate the times when the contents of the  
PTPER buffer are loaded into the actual PTPER  
register.  
TABLE 17-2: EXAMPLE PWM  
FREQUENCIES AND  
RESOLUTIONS  
The PWM period can be calculated from the following  
formulas:  
PWM Frequency = 1/TPWM  
EQUATION 17-1: PWM PERIOD FOR FREE  
RUNNING MODE  
PTPER  
Value  
PWM  
PWM  
Fosc  
MIPS  
Resolution Frequency  
40 MHz  
40 MHz  
40 MHz  
40 MHz  
40 MHz  
40 MHz  
40 MHz  
40 MHz  
40 MHz  
10  
10  
10  
10  
10  
10  
10  
10  
10  
0FFFh  
07FFh  
03FFh  
01FFh  
FFh  
14 bits  
13 bits  
12 bits  
11 bits  
10 bits  
9 bits  
2.4 kHz  
4.9 kHz  
9.8 kHz  
19.5 kHz  
39.0 kHz  
78.1 kHz  
156.2 kHz  
312.5 kHz  
625 kHz  
1.5 kHz  
6.1 kHz  
24.4 kHz  
610 Hz  
(PTPER + 1)  
TPWM =  
Fosc/(PTMRPS/4)  
or  
(PTPER + 1) x PTMRPS  
TPWM =  
Fosc/4  
7Fh  
3Fh  
8 bits  
1Fh  
7 bits  
EQUATION 17-2: PWM PERIOD FOR  
UP/DOWN COUNTING  
MODE  
0Fh  
6 bits  
25 MHz 6.25 0FFFh  
25 MHz 6.25 03FFh  
14 bits  
12 bits  
10 bits  
14 bits  
12 bits  
10 bits  
14 bits  
12 bits  
10 bits  
14 bits  
12 bits  
10 bits  
25 MHz 6.25  
FFh  
(2 x PTPER)  
TPWM =  
10 MHz  
10 MHz  
10 MHz  
5 MHz  
5 MHz  
5 MHz  
4 MHz  
4 MHz  
4 MHz  
2.5 0FFFh  
Fosc/(PTMRPS/4)  
2.5  
2.5  
03FFh  
FFh  
2.4 kHz  
9.8 kHz  
305 Hz  
The PWM frequency is the inverse of period; or  
1.25 0FFFh  
1.25 03FFh  
1
PWM frequency = ------------------------------  
1.2 kHz  
4.9 kHz  
244 Hz  
PWM period  
1.25  
FFh  
0FFFh  
03FFh  
FFh  
1
1
1
976 Hz  
3.9 kHz  
Note: For center-aligned operation, PWM frequencies will  
be approximately 1/2 the value indicated in the table.  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 193  
 
PIC18F2331/2431/4331/4431  
FIGURE 17-9:  
PWM PERIOD BUFFER UPDATES IN FREE RUNNING COUNT MODE  
Period value loaded from PTPER Buffer register  
7
6
New PTPER value = 007  
Old PTPER value = 004  
5
4
4
4
3
3
3
2
2
2
1
1
1
0
0
0
New value written to PTPER buffer.  
FIGURE 17-10:  
PWM PERIOD BUFFER UPDATES IN UP/DOWN COUNTING MODES  
Period value loaded from  
PTPER Buffer register  
7
New PTPER value = 007  
6
6
5
5
4
Old PTPER value = 004  
4
4
3
3
3
3
2
2
2
2
1
1
1
1
0
0
0
New value written to PTPER buffer.  
DS39616B-page 194  
Preliminary  
2003 Microchip Technology Inc.  
PIC18F2331/2431/4331/4431  
The value in each Duty Cycle register determines the  
amount of time that the PWM output is in the active  
17.6 PWM Duty Cycle  
PWM duty cycle is defined by PDCx (PDCxL and  
PDCxH) registers. There are a total of 4 PWM Duty  
Cycle registers for 4 pairs of PWM channels. The Duty  
Cycle registers have 14-bit resolution by combining  
6 LSbs of PDCxH with the 8 bits of PDCxL. PDCx is a  
double-buffered register used to set the counting  
period for the PWM time base.  
state. The upper 12 bits of PDCn hold the actual duty  
cycle value from PTMRH/L<11:0>, while the lower 2  
bits control which internal Q-clock the duty cycle match  
occurs. This 2-bit value is decoded from the Q-clocks  
as shown in Figure 17-11 (when the prescaler is 1:1  
(PTCKPS = 00)).  
In Edge-aligned mode, the PWM period starts at Q1  
and ends when the Duty Cycle register matches the  
PTMR register as follows. The duty cycle match is con-  
sidered when the upper 12 bits of the PDC is equal to  
the PTMR and the lower 2 bits are equal to Q1, Q2, Q3  
or Q4, depending on the lower two bits of the PDC  
(when the prescaler is 1:1, or PTCKPS = 00).  
17.6.1  
PWM DUTY CYCLE REGISTERS  
There are four 14-bit special function registers used to  
specify duty cycle values for the PWM module:  
• PDC0 (PDC0L and PDC0H)  
• PDC1 (PDC1L and PDC1H)  
• PDC2 (PDC2L and PDC2H)  
• PDC3 (PDC3L and PDC3H)  
Note:  
When prescaler is not 1:1 (PTCKPS ≠  
~00), the duty cycle match occurs at Q1  
clock of the instruction cycle when the  
PTMR and PDC match occurs.  
Each compare unit has logic that allows override of the  
PWM signals. This logic also ensures that the PWM  
signals will complement each other (with dead time  
insertion) in Complementary mode (see Section 17.7  
“Dead Time Generators”).  
FIGURE 17-11:  
DUTY CYCLE COMPARISON  
PTMRH<7:0>  
PTMRL<7:0>  
PTMR<11:0>  
Q-CLOCKS(1)  
PTMRL<7:0>  
PTMRH<3:0>  
UNUSED  
<1:0>  
COMPARATOR  
UNUSED  
PDCnH<5:0>  
PDCnL<7:0>  
PDCnL<7:0>  
PDCn<13:0>  
PDCnH<7:0>  
Note 1: This value is decoded from the Q-Clocks:  
00= duty cycle match occurs on Q1  
01= duty cycle match occurs on Q2  
10= duty cycle match occurs on Q3  
11= duty cycle match occurs on Q4  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 195  
 
PIC18F2331/2431/4331/4431  
17.6.2  
DUTY CYCLE REGISTER BUFFERS  
17.6.3  
EDGE-ALIGNED PWM  
The four PWM Duty Cycle registers are  
double-buffered to allow glitchless updates of the PWM  
outputs. For each duty cycle block, there is a Duty  
Cycle Buffer register that is accessible by the user and  
a second Duty Cycle register that holds the actual  
compare value used in the present PWM period.  
Edge-aligned PWM signals are produced by the  
module when the PWM time base is in the Free  
Running mode or the Single-shot mode. For  
edge-aligned PWM outputs, the output for a given  
PWM channel has a period specified by the value  
loaded in PTPER and a duty cycle specified by the  
appropriate Duty Cycle register (see Figure 17-12).  
The PWM output is driven active at the beginning of the  
period (PTMR = 0) and is driven inactive when the  
value in the Duty Cycle register matches PTMR. A new  
cycle is started when PTMR matches the PTPER as  
explained in the PWM period section.  
In edge-aligned PWM Output mode, a new duty cycle  
value will be updated whenever a PTMR match with the  
PTPER register occurs and PTMR is reset as shown in  
Figure 17-12. Also, the contents of the duty cycle  
buffers are automatically loaded into the Duty Cycle  
registers when the PWM time base is disabled  
(PTEN = 0).  
If the value in a particular Duty Cycle register is zero,  
then the output on the corresponding PWM pin will be  
inactive for the entire PWM period. In addition, the out-  
put on the PWM pin will be active for the entire PWM  
period if the value in the Duty Cycle register is greater  
than the value held in the PTPER register.  
When the PWM time base is in the Up/Down Counting  
mode, new duty cycle values will be updated when the  
value of the PTMR register is zero and the PWM time  
base begins to count upwards. The contents of the duty  
cycle buffers are automatically loaded into the Duty  
Cycle registers when the PWM time base is disabled  
(PTEN = 0). Figure 17-13 shows the timings when the  
duty cycle update occur for the Up/Down Count mode.  
In this mode, up to one entire PWM period is available  
for calculating and loading the new PWM duty cycle  
before changes take effect.  
FIGURE 17-12:  
EDGE-ALIGNED PWM  
New Duty Cycle Latched  
PTPER  
PTMR  
PDC  
(old)  
When the PWM time base is in the Up/Down Counting  
mode with double updates, new duty cycle values will  
be updated when the value of the PTMR register is zero  
and when the value of the PTMR register matches the  
value in the PTPER register. The contents of the duty  
cycle buffers are automatically loaded into the Duty  
Cycle registers during both of the above said  
conditions. Figure 17-14 shows the duty cycle updates  
for Up/Down mode with double update. In this mode,  
only up to half of a PWM period is available for  
calculating and loading the new PWM duty cycle before  
changes take effect.  
Value  
PDC  
(new)  
0
Duty Cycle  
Active at  
beginning  
of period  
Period  
FIGURE 17-13:  
DUTY CYCLE UPDATE TIMES IN UP/DOWN COUNTING MODE  
Duty cycle value loaded from buffer register  
PWM output  
PTMR Value  
New value written to duty cycle buffer  
DS39616B-page 196  
Preliminary  
2003 Microchip Technology Inc.  
 
 
PIC18F2331/2431/4331/4431  
FIGURE 17-14:  
DUTY CYCLE UPDATE TIMES IN UP/DOWN COUNTING MODE WITH DOUBLE  
UPDATES  
Duty cycle value loaded from buffer register  
PWM output  
PTMR Value  
New values written to duty cycle buffer.  
inactive for the entire PWM period. In addition, the  
output on the PWM pin will be active for the entire PWM  
period if the value in the Duty Cycle register is equal to  
or greater than the value in the PTPER register.  
17.6.4  
CENTER-ALIGNED PWM  
Center-aligned PWM signals are produced by the  
module when the PWM time base is configured in an  
Up/Down Counting mode (see Figure 17-15). The  
PWM compare output is driven to the active state when  
the value of the Duty Cycle register matches the value  
of PTMR and the PWM time base is counting  
downwards (PTDIR = 1). The PWM compare output  
will be driven to the inactive state when the PWM time  
base is counting upwards (PTDIR = 0) and the value in  
the PTMR register matches the duty cycle value. If the  
value in a particular Duty Cycle register is zero, then  
the output on the corresponding PWM pin will be  
Note:  
When the PWM  
is started in  
Center-aligned mode, the period register  
(PTPER) is loaded into the PWM Timer  
register (PTMR) and the PTMR is  
configured  
automatically  
to  
start  
down-counting. This is done to ensure that  
all the PWM signals don’t start at the same  
time.  
FIGURE 17-15:  
START OF CENTER-ALIGNED PWM  
Period/2  
PTPER  
PTMR  
Value  
Duty  
Cycle  
0
Start of  
first  
Duty Cycle  
PWM  
Period  
Period  
Period  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 197  
 
PIC18F2331/2431/4331/4431  
17.6.5  
COMPLEMENTARY PWM  
OPERATION  
FIGURE 17-16:  
TYPICAL LOAD FOR  
COMPLEMENTARY PWM  
OUTPUTS  
The Complementary mode of PWM operation is useful  
to drive one or more power switches in half-bridge  
configuration as shown in Figure 17-16. This inverter  
topology is typical for a 3-phase induction motor,  
brushless DC motor or a 3-phase Uninterruptible  
Power Supply (UPS) control applications. Each  
+V  
3 Phase  
Load  
upper/lower power switch pair is fed by  
a
complementary PWM signal. Dead time may be  
optionally inserted during device switching where both  
outputs are inactive for  
a
short period (see  
Section 17.7 “Dead Time Generators”). In  
Complementary mode, the duty cycle comparison units  
are assigned to the PWM outputs as follows:  
• PDC0 register controls PWM1/PWM0 outputs  
• PDC1 register controls PWM3/PWM2 outputs  
• PDC2 register controls PWM5/PWM4 outputs  
• PDC3 register controls PWM7/PWM6 outputs  
The Complementary mode is selected for each PWM  
I/O pin pair by clearing the appropriate PMODx bit in  
the PWMCON0 register. The PWM I/O pins are set to  
Complementary mode by default upon all kinds of  
device resets.  
PWM1/3/5/7 are the main PWMs that are controlled by  
the PDC registers and PWM0/2/4/6 are the  
complemented outputs. When using the PWMs to  
control the half bridge, the odd number PWMs can be  
used to control the upper power switch and the even  
numbered PWMs for the lower switches.  
DS39616B-page 198  
Preliminary  
2003 Microchip Technology Inc.  
 
PIC18F2331/2431/4331/4431  
17.7.1  
DEAD TIME INSERTION  
17.7 Dead Time Generators  
Each complementary output pair for the PWM module  
has a 6-bit down counter used to produce the dead  
time insertion. As shown in Figure 17-17, each dead  
time unit has a rising and falling edge detector con-  
nected to the duty cycle comparison output. The dead  
time is loaded into the timer on the detected PWM edge  
event. Depending on whether the edge is rising or fall-  
ing, one of the transitions on the complementary out-  
puts is delayed until the timer counts down to zero. A  
timing diagram indicating the dead time insertion for  
one pair of PWM outputs is shown in Figure 17-18.  
In power inverter applications where the PWMs are  
used in Complementary mode to control the upper and  
lower switches of a half-bridge, a dead time insertion is  
highly recommended. The dead time insertion keeps  
both outputs in inactive state for a brief time. This  
avoids any overlap in the switching during the state  
change of the power devices due to TON and TOFF  
characteristics.  
Because the power output devices cannot switch  
instantaneously, some amount of time must be pro-  
vided between the turn-off event of one PWM output in  
a complementary pair and the turn-on event of the  
other transistor. The PWM module allows dead time to  
be programmed. Following sections explain the dead  
time block in detail.  
FIGURE 17-17:  
DEAD TIME CONTROL UNIT BLOCK DIAGRAM FOR ONE PWM OUTPUT PAIR  
Dead Time  
Select Bits  
Zero Compare  
Clock Control  
FOSC  
6-Bit Down Counter  
and Prescaler  
Odd PWM Signal To  
Output Control Block  
Dead Time  
Prescale  
Even PWM Signal To  
Output Control Block  
Dead Time Register  
Duty Cycle  
Compare Input  
FIGURE 17-18:  
DEAD TIME INSERTION FOR COMPLEMENTARY PWM  
t
t
d
d
PDC1  
compare  
output  
PWM1  
PWM0  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 199  
 
 
PIC18F2331/2431/4331/4431  
REGISTER 17-5: DTCON – DEAD TIME CONTROL REGISTER  
R/W-0  
R/W-0  
R/W-0  
DT5  
R/W-0  
DT4  
R/W-0  
DT3  
R/W-0  
DT2  
R/W-0  
DT1  
R/W-0  
DT0  
DTPS1  
DTPS0  
bit 7  
bit 0  
bit 7-6 DTPS1:DTPS0: Dead Time Unit A Prescale Select bits  
11= Clock source for Dead Time Unit is FOSC/16.  
10= Clock source for Dead Time Unit is FOSC/8.  
01= Clock source for Dead Time Unit is FOSC/4.  
00= Clock source for Dead Time Unit is FOSC/2.  
bit 5-0 DT5:DT0: Unsigned 6-bit dead time value bits for Dead Time Unit.  
Legend:  
R = Readable bit  
-n = Value at POR  
W = Writable bit  
‘1’ = bit is set  
U = Unimplemented bit, read as ‘0’  
‘0’ = bit is cleared x = bit is unknown  
3. The dead time counter is clocked using every  
other Q-clock depending on the two LSbs in the  
Duty Cycle registers:  
17.7.2  
DEAD TIME RANGES  
The amount of dead time provided by the dead time  
unit is selected by specifying the input clock prescaler  
value and a 6-bit unsigned value defined in the DTCON  
register. Four input clock prescaler selections have  
been provided to allow a suitable range of dead times  
based on the device operating frequency. FOSC/2,  
FOSC/4, FOSC/8 and FOSC/16 are the clock prescaler  
options available using the DTPS1:DTPS0 control bits  
in the DTCON register.  
• If the PWM duty cycle match occurs on Q1 or  
Q3, then the dead time counter is clocked  
using every Q1 and Q3.  
• If the PWM duty cycles match occurs on Q2  
or Q4, then the dead time counter is clocked  
using every Q2 and Q4.  
4. When the DTPS1:DTPS0 bits are set to any of  
the other dead time prescaler settings, (i.e.,  
FOSC/4, FOSC/8 or FOSC/16) and the PWM Time  
Base Prescaler is set to 1:1, the dead time  
counter is clocked by the Q-clock corresponding  
to the Q-clocks on which the PWM duty cycle  
match occurs.  
After selecting an appropriate prescaler value, the  
dead time is adjusted by loading a 6-bit unsigned value  
into DTCON<5:0>. The dead time unit prescaler is  
cleared on any of the following events:  
• On a load of the down timer due to a duty cycle  
comparison edge event;  
The actual dead time is calculated from the DTCON  
register as follows:  
• On a write to the DTCON register; or  
• On any device Reset.  
Dead Time = Dead time value / (FOSC/prescaler)  
17.7.3  
DECREMENTING THE DEAD TIME  
COUNTER  
Table 17-3 shows example dead time ranges as a  
function of the input clock prescaler selected and the  
device operating frequency.  
The dead time counter is clocked from any of the Q  
clocks based on the following conditions.  
1. The dead time counter is clocked on Q1 when:  
• The DTPS bits are set to any of the following  
dead time prescaler settings: Fosc/4, FOSC/8,  
FOSC/16  
• The PWM Time Base Prescale bits  
(PTCKPS) are set to any of the following  
prescale ratios: FOSC/16, FOSC/64,  
FOSC/256.  
2. The dead time counter is clocked by a pair of  
Q-clocks when the PWM Time Base Prescale  
bits are set to 1:1 (PTCKPS1:PTCKPS0 = 00,  
FOSC/4) and the dead time counter is clocked by  
the FOSC/2 (DTPS1:DTPS0 = 00).  
DS39616B-page 200  
Preliminary  
2003 Microchip Technology Inc.  
PIC18F2331/2431/4331/4431  
TABLE 17-3: EXAMPLE DEAD TIME  
RANGES  
17.7.4  
DEAD TIME DISTORTION  
Note 1: For small PWM duty cycles, the ratio of  
dead time to the active PWM time may  
become large. In this case, the inserted  
dead time will introduce distortion into  
waveforms produced by the PWM mod-  
ule. The user can ensure that dead time  
distortion is minimized by keeping the  
PWM duty cycle at least three times  
larger than the dead time. A similar effect  
occurs for duty cycles at or near 100%.  
The maximum duty cycle used in the  
application should be chosen such that  
the minimum inactive time of the signal is  
at least three times larger than the dead  
time. If the dead time is greater or equal  
to the duty cycle of one of the PWM out-  
puts pairs, then that PWM pair will be  
inactive for the whole period.  
Fosc  
(MHz)  
Prescaler  
Selection Time Min Time Max  
Dead  
Dead  
MIPS  
40  
40  
40  
40  
32  
32  
32  
32  
25  
25  
25  
25  
20  
20  
20  
20  
10  
10  
10  
10  
5
10  
10  
10  
10  
8
FOSC/2  
FOSC/4  
FOSC/8  
FOSC/16  
FOSC/2  
FOSC/4  
FOSC/8  
FOSC/16  
FOSC/2  
FOSC/4  
FOSC/8  
FOSC/16  
FOSC/2  
FOSC/4  
FOSC/8  
FOSC/16  
FOSC/2  
FOSC/4  
FOSC/8  
FOSC/16  
FOSC/2  
FOSC/4  
FOSC/8  
FOSC/16  
FOSC/2  
FOSC/4  
FOSC/8  
FOSC/16  
50 ns  
100 ns  
200 ns  
400 ns  
62.5 ns  
125 ns  
250 ns  
500 ns  
80 ns  
3.2 µs  
6.4 µs  
12.8 µs  
25.6 µs  
4 µs  
8
8 µs  
8
16 µs  
8
32 µs  
6.25  
6.25  
6.25  
6.25  
5
5.12 vs  
10.2 µs  
20.5 µs  
41 µs  
160 ns  
320 ns  
640 ns  
100 ns  
200 ns  
400  
6.4 µs  
2: Changing the dead time values in  
DTCON when the PWM is enabled may  
result in undesired situation. Disable the  
PWM (PTEN = 0) before changing the  
dead time value  
5
12.8 µs  
25.6 vs  
51.2 µs  
12.8 µs  
25.6 µs  
51.2 µs  
102.4 µs  
25.6 µs  
51.2 µs  
102.4 µs  
204.8 µs  
32 µs  
5
5
800  
2.5  
2.5  
2.5  
2.5  
1.25  
1.25  
1.25  
1.25  
1
200 ns  
400 ns  
800 ns  
1.6 µs  
400 ns  
800 ns  
1.6 µs  
3.2 µs  
0.5 µs  
1 µs  
17.8 Independent PWM Output  
Independent PWM mode is used for driving the loads  
as shown in Figure 17-19 for driving one winding of a  
switched reluctance motor. A particular PWM output  
pair is configured in the Independent Output mode  
when the corresponding PMOD bit in the PWMCON0  
register is set. No dead time control is implemented  
between the PWM I/O pins when the module is operat-  
ing in the Independent mode and both I/O pins are  
allowed to be active simultaneously. This mode can  
also be used to drive stepper motors.  
5
5
5
4
4
1
64 µs  
4
1
2 µs  
128 µs  
256 µs  
4
1
4 µs  
17.8.1  
DUTY CYCLE ASSIGNMENT IN THE  
INDEPENDENT MODE  
In the Independent mode, each duty cycle generator is  
connected to both PWM output pins in a given PWM  
output pair. The odd and the even PWM output pins are  
driven with a single PWM duty cycle generator. PWM1  
and PWM0 are driven by the PWM channel which uses  
PDC0 register to set the duty cycle, PWM3 and PWM2  
with PDC1, PWM5 and PWM4 with PDC2, PWM7 and  
PWM6 with PDC3, see Figure 17-3 and Register 17-3.  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 201  
PIC18F2331/2431/4331/4431  
OVDCOND and OVDCONS registers are used to  
define the PWM override options. The OVDCOND  
register contains eight bits, POVD7:POVD0, that  
determine which PWM I/O pins will be overridden. The  
17.8.2  
PWM CHANNEL OVERRIDE  
PWM output may be manually overridden for each  
PWM channel by using the appropriate bits in the  
OVDCOND and OVDCONS registers. The user may  
select the following signal output options for each PWM  
output pin operating in the Independent mode:  
OVDCONS  
register  
contains  
eight  
bits,  
POUT7:POUT0, that determine the state of the PWM  
I/O pins when a particular output is overridden via the  
POVD bits.  
• I/O pin outputs PWM signal  
• I/O pin inactive  
The POVD bits are active-low control bits. When the  
POVD bits are set, the corresponding POUT bit will  
have no effect on the PWM output. In other words, the  
pins corresponding to POVD bits that are set will have  
the duty PWM cycle set by the PDC registers. When  
one of the POVD bits is cleared, the output on the cor-  
responding PWM I/O pin will be determined by the  
state of the POUT bit. When a POUT bit is set, the  
PWM pin will be driven to its active state. When the  
POUT bit is cleared, the PWM pin will be driven to its  
inactive state.  
• I/O pin active  
Refer to Section 17.10 “PWM Output Override” for  
details for all the override functions.  
FIGURE 17-19:  
CENTER-CONNECTED  
LOAD  
+V  
LOAD  
PWM1  
17.10.1 COMPLEMENTARY OUTPUT MODE  
The even-numbered PWM I/O pin has override restric-  
tions when a pair of PWM I/O pins are operating in the  
Complementary mode (PMODx = 0). In Complemen-  
tary mode, if the even-numbered pin is driven active by  
clearing the corresponding POVD bit and by setting  
POUT bits in OVDCOND and OVDCONS registers, the  
output signal is forced to be the complement of the  
odd-numbered I/O pin in the pair (see Figure 17-2 for  
details).  
PWM0  
17.9 Single-Pulse PWM Operation  
The single pulse PWM operation is available only in  
Edge-aligned mode. In this mode, the PWM module will  
produce single pulse output. Single-pulse operation is  
configured when the PTMOD1:PTMOD0 bits are set to  
01’ in PTCON0 register. This mode of operation is use-  
ful for driving certain types of ECMs.  
17.10.2 OVERRIDE SYNCHRONIZATION  
If the OSYNC bit in the PWMCON1 register is set, all  
output overrides performed via the OVDCOND and  
OVDCONS registers will be synchronized to the PWM  
time base. Synchronous output overrides will occur on  
following conditions:  
In Single-pulse mode, the PWM I/O pin(s) are driven to  
the active state when the PTEN bit is set. When the  
PWM timer match with Duty Cycle register occurs, the  
PWM I/O pin is driven to the inactive state. When the  
PWM timer match with the PTPER register occurs, the  
PTMR register is cleared, all active PWM I/O pins are  
driven to the inactive state, the PTEN bit is cleared, and  
an interrupt is generated, if the corresponding interrupt  
bit is set.  
• When the PWM is in Edge-aligned mode, syn-  
chronization occurs when PTMR is zero.  
• When the PWM is in Center-aligned mode,  
synchronization occurs when PTMR is zero and  
when the value of PTMR matches PTPER.  
Note 1: In the Complementary mode, the even  
channel cannot be forced active by a fault  
or override event when the odd channel is  
active. The even channel is always the  
complement of the odd channel with dead  
time inserted, before the odd channel can  
be driven to its active state as shown in  
Figure 17-20.  
Note:  
PTPER and PDC values are held as it is  
after the single pulse output. To have  
another cycle of single pulse, only PTEN  
has to be enabled.  
17.10 PWM Output Override  
2: Dead time inserted to the PWM channels  
The PWM output override bits allow the user to manu-  
ally drive the PWM I/O pins to specified logic states  
independent of the duty cycle comparison units. The  
PWM override bits are useful when controlling various  
types of ECMs like a BLDC motor.  
even when they are in Override mode.  
DS39616B-page 202  
Preliminary  
2003 Microchip Technology Inc.  
 
PIC18F2331/2431/4331/4431  
FIGURE 17-20:  
OVERRIDE BITS IN COMPLEMENTARY MODE  
1
POUT0  
POUT1  
4
5
PWM1  
PWM0  
2
7
3
6
Assume: PVOD0 = 0; PVOD1 = 0; PMOD0 = 0  
1. Even override bits have no effect in Complementary mode.  
2. Odd override bit is activated, which causes the even PWM to deactivate.  
3. Dead time insertion.  
4. Odd PWM activated after the dead time.  
5. Odd override bit is deactivated, which causes the odd PWM to deactivate.  
6. Dead time insertion.  
7. Even PWM is activated after the dead time.  
The PWM Duty Cycle registers may be used in con-  
junction with the OVDCOND and OVDCONS registers.  
The Duty Cycle registers control the average voltage  
across the load and the OVDCOND and OVDCONS  
registers control the commutation sequence.  
Figure 17-22 shows the waveforms, while Table 17-4  
and Table 17-5 show the OVDCOND and OVDCONS  
register values used to generate the signals.  
17.10.3 OUTPUT OVERRIDE EXAMPLES  
Figure 17-21 shows an example of a waveform that  
might be generated using the PWM output override  
feature. The figure shows a six-step commutation  
sequence for a BLDC motor. The motor is driven  
through a 3-phase inverter as shown in Figure 17-16.  
When the appropriate rotor position is detected, the  
PWM outputs are switched to the next commutation  
state in the sequence. In this example, the PWM out-  
puts are driven to specific logic states. The OVDCOND  
and OVDCONS register values used to generate the  
signals in Figure 17-21 are given in Table 17-4.  
REGISTER 17-6: OVDCOND: OUTPUT OVERRIDE CONTROL REGISTER  
R/W-1  
R/W-1  
R/W-1  
R/W-1  
R/W-1  
R/W-1  
R/W-1  
R/W-1  
POVD7(1) POVD6(1) POVD5  
POVD4  
POVD3  
POVD2  
POVD1  
POVD0  
bit 7  
bit 0  
bit 7-0 POVD7:POVD0: PWM Output Override bits(1)  
1= Output on PWM I/O pin is controlled by the value in the Duty Cycle register and the PWM  
time base.  
0= Output on PWM I/O pin is controlled by the value in the corresponding POUT bit.  
Note 1: Unimplemented in PIC18F2X31 devices; maintain these bits clear.  
Legend:  
R = Readable bit  
-n = Value at POR  
W = Writable bit  
‘1’ = bit is set  
U = Unimplemented bit, read as ‘0’  
‘0’ = bit is cleared x = bit is unknown  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 203  
PIC18F2331/2431/4331/4431  
REGISTER 17-7: OVDCONS: OUTPUT STATE REGISTER  
R/W-0  
R/W-0  
R/W-0  
R/W-0  
R/W-0  
R/W-0  
R/W-0  
R/W-0  
POUT7(1) POUT6(1)  
POUT5  
POUT4  
POUT3  
POUT2  
POUT1  
POUT0  
bit 7  
bit 0  
bit 7-0 POUT7:POUT0: PWM Manual Output bits(1)  
1= Output on PWM I/O pin is ACTIVE when the corresponding PWM output override bit is  
cleared.  
0= Output on PWM I/O pin is INACTIVE when the corresponding PWM output override bit is  
cleared.  
Note 1: Unimplemented in PIC18F2X31 devices; maintain these bits clear.  
Legend:  
R = Readable bit  
-n = Value at POR  
W = Writable bit  
‘1’ = bit is set  
U = Unimplemented bit, read as ‘0’  
‘0’ = bit is cleared x = bit is unknown  
FIGURE 17-21:  
PWM OUTPUT OVERRIDE  
EXAMPLE #1  
FIGURE 17-22:  
PWM OUTPUT OVERRIDE  
EXAMPLE #2  
1
2
3
4
5
6
1
2
3
4
PWM5  
PWM4  
PWM3  
PWM2  
PWM1  
PWM0  
PWM7  
PWM6  
PWM5  
PWM4  
PWM3  
PWM2  
PWM1  
TABLE 17-4: PWM OUTPUT OVERRIDE  
EXAMPLE #1  
State  
OVDCOND(POVD) OVDCONS(POUT)  
1
2
3
4
5
6
00000000b  
00000000b  
00000000b  
00000000b  
00000000b  
00000000b  
00100100b  
00100001b  
00001001b  
00011000b  
00010010b  
00000110b  
PWM0  
TABLE 17-5: PWM OUTPUT OVERRIDE  
EXAMPLE #2  
State OVDCOND (POVD) OVDCONS (POUT)  
1
2
3
4
11000011b  
11110000b  
00111100b  
00001111b  
00000000b  
00000000b  
00000000b  
00000000b  
DS39616B-page 204  
Preliminary  
2003 Microchip Technology Inc.  
PIC18F2331/2431/4331/4431  
17.11.1 OUTPUT PIN CONTROL  
17.11 PWM Output and Polarity Control  
The PWMEN2:PWMEN0 control bits enable each  
PWM output pin as required in the application.  
There are three device configuration bits associated  
with the PWM module that provide PWM output pin  
control defined in CONFIG3L configuration register.  
All PWM I/O pins are general purpose I/O. When a pair  
of pins are enabled for PWM output, the PORT and  
TRIS registers controlling the pin are disabled. Refer to  
Figure 17-23 for details.  
• HPOL configuration bit  
• LPOL configuration bit  
• PWMPIN configuration bit  
These three configuration bits work in conjunction with  
the three PWM enable bits (PWMEN2:PWMEN0) in the  
PWMCON0 register. The configuration bits and PWM  
enable bits ensure that the PWM pins are in the correct  
states after a device Reset occurs.  
FIGURE 17-23:  
PWM I/O PIN BLOCK DIAGRAM  
PWM signal from  
module  
1
0
PWM Pin Enable  
Data Bus  
D
Q
Q
VDD  
P
WR PORT  
CK  
Data Latch  
I/O Pin  
D
Q
Q
N
WR TRIS  
RD TRIS  
CK  
VSS  
TRIS Latch  
TTL or  
Schmitt  
Trigger  
Q
D
EN  
RD PORT  
Note: I/O pin has protection diodes to VDD and VSS. PWM polarity selection logic not shown for clarity.  
The LPOL configuration bit sets the output polarity for  
17.11.2 OUTPUT POLARITY CONTROL  
the low-side PWM outputs, PWM0, PWM2, PWM4 and  
PWM6. As with HPOL, they are active-high when LPOL  
is cleared, and active-low when set.  
The polarity of the PWM I/O pins is set during device  
programming via the HPOL and LPOL configuration  
bits in the CONFIG3L device configuration register.  
The HPOL configuration bit sets the output polarity for  
the high-side PWM outputs, PWM1, PWM3, PWM5  
and PWM7. The polarity is active-high when HPOL is  
cleared (= 0), and active-low when it is set (= 1).  
All output signals generated by the PWM module are  
referenced to the polarity control bits, including those  
generated by fault inputs or manual override (see  
Section 17.10 “PWM Output Override”).  
The default polarity configuration bits have the PWM  
I/O pins in active-high output polarity.  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 205  
 
PIC18F2331/2431/4331/4431  
17.11.3 PWM OUTPUT PIN RESET STATES  
17.12.2 MFAULT INPUT MODES  
The PWMPIN configuration bit determines the PWM  
output pins to be PWM output pins or digital I/O pins,  
after the device comes out of reset. If the PWMPIN con-  
figuration bit is unprogrammed (default), the  
PWMEN2:PWMEN0 control bits will be cleared on a  
device Reset. Consequently, all PWM outputs will be  
tri-stated and controlled by the corresponding PORT  
and TRIS registers. If the PWMPIN configuration bit is  
programmed low, the PWMEN2:PWMEN0 control bits  
will be set as follows on a device Reset:  
The FLTAMOD and FLTBMOD bits in the FLTCONFIG  
register determine the modes of PWM I/O pins that are  
deactivated when they are overridden by fault input.  
FLTAS and FLTBS bits in the FLTCONFIG register give  
the status of FaultA and FaultB inputs.  
Each of the fault inputs have two modes of operation:  
• Inactive Mode (FLTxMOD = 0)  
This is a catastrophic Fault Management mode.  
When the fault occurs in this mode, the PWM out-  
puts are deactivated. The PWM pins will remain in  
Inactivated mode until the fault is cleared (fault  
input is driven high) and the corresponding fault  
status bit has been cleared in software. The PWM  
outputs are enabled immediately at the beginning  
of the following PWM period, after Fault Status bit  
(FLTxS) is cleared.  
• PWMEN2:PWMEN0 = 101if device has 8 PWM  
pins (PIC18F4X31 devices)  
• PWMEN2:PWMEN0 = 100if device has 6 PWM  
pins (PIC18F2X31 devices)  
All PWM pins will be enabled for PWM output and will  
have the output polarity defined by the HPOL and  
LPOL configuration bits.  
• Cycle-by-Cycle Mode (FLTxMOD = 1)  
17.12 PWM Fault Inputs  
When the fault occurs in this mode, the PWM out-  
puts are deactivated. The PWM outputs will  
remain in the defined fault states (all PWM outputs  
inactive) for as long as the fault pin is held low.  
After the fault pin is driven high, the PWM outputs  
will return to normal operation at the beginning of  
the following PWM period, and the FLTS bit is  
automatically cleared.  
There are two fault inputs associated with the PWM  
module. The main purpose of the input fault pins is to  
disable the PWM output signals and drive them into an  
inactive state. The action of the fault inputs is  
performed directly in hardware so that when a fault  
occurs, it can be managed quickly and the PWMs  
outputs are put into an inactive state to save the power  
devices connected to the PWMs.  
The PWM fault inputs are FLTA and FLTB, which can  
come from I/O pins, the CPU or another module. The  
FLTA and FLTB pins are active-low inputs so it is easy  
to “OR” many sources to the same input.  
The FLTCONFIG register (Register 17-8) defines the  
settings of FLTA and FLTB inputs.  
Note:  
The inactive state of the PWM pins are  
dependent on the HPOL and LPOL config-  
uration bit settings, which defines the  
active and inactive state for PWM outputs.  
17.12.1 FAULT PIN ENABLE BITS  
By setting the bits FLTAEN and FLTBEN in the  
FLTCONFIG register, the corresponding fault inputs  
are enabled. If both bits are cleared, then the fault  
inputs have no effect on the PWM module.  
DS39616B-page 206  
Preliminary  
2003 Microchip Technology Inc.  
PIC18F2331/2431/4331/4431  
17.12.3 PWM OUTPUTS WHILE IN FAULT  
CONDITION  
Note:  
It is highly recommended to enable the  
fault condition on breakpoint if a debug-  
ging tool is used, while developing the  
firmware and the high-power circuitry is  
used. When the device is ready to pro-  
gram after debugging the firmware,  
BRFEN bit can be disabled.  
While in the fault state (i.e., one or both FLTA and FLTB  
inputs are active), the PWM output signals are driven  
into their inactive states. The selection of which PWM  
outputs are deactivated (while in the fault state) is  
determined by the FLTCON bit in the FLTCONFIG  
register as follows:  
• FLTCON = 1. When FLTA or FLTB is asserted,  
the PWM outputs (i.e., PWM[7:0]) are driven into  
their inactive state  
• FLTCON = 0. When FLTA or FLTB is asserted,  
only PWM[5:0] outputs are driven inactive, leaving  
PWM[7:6] activated.  
Note:  
Disabling only three PWM channels and  
leaving one PWM channel enabled when  
in the fault state, allows the flexibility to  
have at least one PWM channel enabled.  
None of the PWM outputs can be enabled  
(driven with the PWM Duty Cycle regis-  
ters) while FLTCON = 1and the fault con-  
dition is present.  
17.12.4 PWM OUTPUTS IN DEBUG MODE  
The BRFEN bit in the FLTCONFIG register controls the  
simulation of fault condition when a breakpoint is hit,  
while debugging the application using a In-Circuit  
Emulator (ICE) or a In-Circuit Debugger (ICD). Setting  
the BRFEN to high, enables the fault condition on  
breakpoint, thus driving the PWM outputs to inactive  
state. This is done to avoid any continuous keeping of  
status on the PWM pin, which may result in damage of  
the power devices connected to the PWM outputs.  
If BRFEN = 0, the fault condition on breakpoint is  
disabled.  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 207  
PIC18F2331/2431/4331/4431  
REGISTER 17-8: FLTCONFIG: FAULT CONFIGURATION REGISTER  
R/W-0  
R/W-0  
R/W-0  
R/W-0  
R/W-0  
R/W-0  
R/W-0  
R/W-0  
BRFEN FLTBS(1) FLTBMOD(1) FLTBEN(1) FLTCON  
FLTAS FLTAMOD FLTAEN  
bit 0  
bit 7  
bit 7  
bit 6  
BRFEN: Breakpoint Fault Enable bit  
1= Enable fault condition on a breakpoint (i.e., only when HDMIN = 1)  
0= Disable fault condition  
FLTBS: Fault B Status bit(1)  
1= FLTB is asserted;  
if FLTBMOD = 0, cleared by the user  
if FLTBMOD = 1, cleared automatically at beginning of the new period when FLTB is  
deasserted  
0= No Fault  
bit 5  
FLTBMOD: Fault B Mode bit(1)  
1= Cycle-by-cycle mode: Pins are inactive for the remainder of the current PWM period, or until  
FLTB is deasserted. FLTBS is cleared automatically when FLTB is inactive (no fault present).  
0= Inactive mode: Pins are deactivated (catastrophic failure) until FLTB is deasserted and  
FLTBS is cleared by the user only.  
bit 4  
bit 3  
bit 2  
FLTBEN: Fault B Enable bit(1)  
1= Enable Fault B  
0= Disable Fault B  
FLTCON: Fault Configuration bit  
1= FLTA , FLTB or both deactivates all PWM outputs  
0= FLTA or FLTB deactivates PWM[5:0]  
FLTAS: Fault A Status bit  
1= FLTA is asserted;  
If FLTAMOD = 0, cleared by the user  
If FLTAMOD = 1, cleared automatically at beginning of the new period when FLTA is  
deasserted.  
0= No Fault  
bit 1  
bit 0  
FLTAMOD: Fault A Mode bit  
1= Cycle-by-cycle mode: Pins are inactive for the remainder of the current PWM period, or until  
FLTA is deasserted. FLTAS is cleared automatically.  
0= Inactive mode: Pins are deactivated (catastrophic failure) until FLTA is deasserted and  
FLTAS is cleared by the user only.  
FLTAEN: Fault A Enable bit  
1= Enable Fault A  
0= Disable Fault A  
Note 1: Unimplemented in PIC18F2X31 devices; maintain these bits clear.  
Legend:  
R = Readable bit  
-n = Value at POR  
W = Writable bit  
‘1’ = bit is set  
U = Unimplemented bit, read as ‘0’  
‘0’ = bit is cleared x = bit is unknown  
DS39616B-page 208  
Preliminary  
2003 Microchip Technology Inc.  
PIC18F2331/2431/4331/4431  
17.14.1 SPECIAL EVENT TRIGGER ENABLE  
The PWM module will always produce special event  
trigger pulses. This signal may optionally be used by  
the A/D module. Refer to Chapter 20.0 "10-bit  
High-Speed Analog-to-Digital Converter (A/D)  
Module" for details.  
17.13 PWM Update Lockout  
For a complex PWM application, the user may need to  
write up to four Duty Cycle registers and the Time Base  
Period Register, PTPER, at a given time. In some  
applications, it is important that all buffer registers be  
written before the new duty cycle and period values are  
loaded for use by the module.  
17.14.2 SPECIAL EVENT TRIGGER  
POSTSCALER  
A PWM update lockout feature may optionally be  
enabled so the user may specify when new duty cycle  
buffer values are valid. The PWM update lockout  
feature is enabled by setting the control bit UDIS in the  
PWMCON1 register. This bit affects all Duty Cycle  
Buffer registers and the PWM time base period buffer,  
PTPER.  
The PWM special event trigger has a postscaler that  
allows a 1:1 to 1:16 postscale ratio. The postscaler is  
configured by writing the SEVOPS3:SEVOPS0 control  
bits in the PWMCON1 register.  
The special event output postscaler is cleared on any  
write to the SEVTCMP register pair, or on any device  
Reset.  
To perform a PWM update lockout:  
1. Set the UDIS bit.  
2. Write all Duty Cycle registers and PTPER, if  
applicable.  
3. Clear the UDIS bit to re-enable updates.  
4. With this, when UDIS bit is cleared, the buffer  
values will be loaded to the actual registers. This  
makes a synchronous loading of the registers.  
17.14 PWM Special Event Trigger  
The PWM module has a special event trigger capability  
that allows A/D conversions to be synchronized to the  
PWM time base. The A/D sampling and conversion  
time may be programmed to occur at any point within  
the PWM period. The special event trigger allows the  
user to minimize the delay between the time when A/D  
conversion results are acquired and the time when the  
duty cycle value is updated.  
The PWM 16-bit Special Event Trigger register  
SEVTCMP (high and low), and five control bits in  
PWMCON1 register are used to control its operation.  
The PTMR value for which a special event trigger  
should occur is loaded into the SEVTCMP register pair.  
SEVTDIR bit in PWMCON1 register specifies the  
counting phase when the PWM time base is in an  
Up/Down Counting mode.  
If the SEVTDIR bit is cleared, the special event trigger  
will occur on the upward counting cycle of the PWM  
time base. If SEVTDIR is set, the special event trigger  
will occur on the downward count cycle of the PWM  
time base. The SEVTDIR bit has effect only when PWM  
timer is in the Up/Down Counting mode.  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 209  
PIC18F2331/2431/4331/4431  
TABLE 17-6: REGISTERS ASSOCIATED WITH THE POWER CONTROL PWM MODULE  
Value on:  
POR,  
BOR  
Value on  
all other  
Resets  
Name  
Bit 7  
Bit 6  
Bit 5  
Bit 4  
Bit 3  
Bit 2  
Bit 1  
Bit 0  
INTCON  
GIE/GIEH PEIE/GIEL  
TMR0IE  
INT0IE  
PTIP  
PTIE  
PTIF  
RBIE  
TMR0IF  
IC2QEIP  
IC2QEIE  
IC2QEIF  
INT0IF  
IC1IP  
IC1IE  
IC1IF  
RBIF  
0000 000x 0000 000u  
IPR3  
IC3DRIP  
IC3DRIE  
IC3DRIF  
TMR5IP ---1 1111 ---1 1111  
TMR5IE ---0 0000 ---0 0000  
TMR5IF ---0 0000 ---0 0000  
PIE3  
PIR3  
PTCON0  
PTCON1  
PTOPS3 PTOPS2  
PTEN PTDIR  
PTOPS1  
PTOPS0 PTCKPS1 PTCKPS0 PTMOD1 PTMOD0 0000 0000 0000 0000  
00-- ---- 00-- ----  
0000 0000 0000 0000  
---- 0000 ---- 0000  
1111 1111 1111 1111  
---- 1111 ---- 1111  
0000 0000 0000 0000  
---- 0000 ---- 0000  
(1)  
PTMRL  
PTMRH  
PWM Time Base (lower 8 bits)  
(1)  
PWM Time Base (upper 4 bits)  
(1)  
PTPERL  
PWM Time Base Period (lower 8 bits)  
(1)  
PTPERH  
PWM Time Base Period (upper 4 bits)  
(1)  
(1)  
SEVTCMPL  
PWM Special Event Compare (lower 8 bits)  
SEVTCMPH  
PWMCON0  
PWMCON1  
DTCON  
PWM Special Event Compare (upper 4 bits)  
(2)  
PWMEN2  
PWMEN1 PWMEN0 PMOD3  
PMOD2  
PMOD1  
UDIS  
PMOD0 -101 0000 -101 0000  
OSYNC 0000 0-00 0000 0-00  
0000 0000 0000 0000  
SEVOPS3 SEVOPS2 SEVOPS1 SEVOPS0 SEVTDIR  
DTPS1  
BRFEN  
DTPS0 Dead Time A Value register  
(2)  
(2)  
(2)  
FLTCONFIG  
OVDCOND  
OVDCONS  
FLTBS  
FLTBMOD  
POVD5  
FLTBEN  
FLTCON  
POVD3  
POUT3  
FLTAS  
POVD2  
POUT2  
FLTAMOD FLTAEN 0000 0000 0000 0000  
(2)  
(2)  
POVD7  
POUT7  
POVD6  
POUT6  
POVD4  
POUT4  
POVD1  
POUT1  
POVD0 1111 1111 1111 1111  
POUT0 0000 0000 0000 0000  
--00 0000 --00 0000  
0000 0000 0000 0000  
0000 0000 0000 0000  
--00 0000 --00 0000  
0000 0000 0000 0000  
--00 0000 --00 0000  
0000 0000 0000 0000  
--00 0000 --00 0000  
(2)  
(2)  
POUT5  
(1)  
PDC0L  
PWM Duty Cycle #0L register (lower 8 bits)  
PWM Duty Cycle #0H register (upper 6 bits)  
PWM Duty Cycle #1L register (lower 8 bits)  
PWM Duty Cycle #1H register (upper 6 bits)  
PWM Duty Cycle #2L register (Lower 8 bits)  
PWM Duty Cycle #2H register (Upper 6 bits)  
PWM Duty Cycle #3L register (Lower 8 bits)  
PWM Duty Cycle #3H register (Upper 6 bits)  
(1)  
PDC0H  
(1)  
PDC1L  
(1)  
PDC1H  
(1)  
PDC2L  
(1)  
PDC2H  
(1,2)  
PDC3L  
(1,2)  
PDC3H  
Legend:  
Note 1:  
2:  
-= Unimplemented, u= Unchanged. Shaded cells are not used with the power control PWM.  
Double-buffered register pairs. Refer to text for explanation of how these registers are read and written to.  
Unimplemented in PIC18F2X31 devices; maintain these bits clear. Reset values shown are for PIC18F4X31 devices.  
DS39616B-page 210  
Preliminary  
2003 Microchip Technology Inc.  
PIC18F2331/2431/4331/4431  
18.2 SPI Mode  
18.0 SYNCHRONOUS SERIAL PORT  
(SSP) MODULE  
This section contains register definitions and opera-  
tional characteristics of the SPI module. Additional  
information on the SPI module can be found in the  
PICmicro® Mid-Range MCU Family Reference Manual  
(DS33023A).  
18.1 SSP Module Overview  
The Synchronous Serial Port (SSP) module is a serial  
interface useful for communicating with other periph-  
eral or microcontroller devices. These peripheral  
devices may be Serial EEPROMs, shift registers, dis-  
play drivers, A/D converters, etc. The SSP module can  
operate in one of two modes:  
SPI mode allows 8 bits of data to be synchronously  
transmitted and received simultaneously. To accom-  
plish communication, typically three pins are used:  
• Serial Data Out (SDO) – RC7/RX/DT/SDO  
• Serial Data In (SDI) – RC4/INT1/SDI/SDA  
• Serial Clock (SCK) – RC5/INT2/SCK/SCL  
• Serial Peripheral Interface (SPI™)  
• Inter-Integrated Circuit (I2C™)  
An overview of I2C operations and additional informa-  
Additionally, a fourth pin may be used when in a Slave  
mode of operation:  
tion on the SSP module can be found in the PICmicro®  
Mid-Range  
(DS33023).  
MCU  
Family  
Reference Manual  
• Slave Select (SS) – RC6/TX/CK/SS  
When initializing the SPI, several options need to be  
specified. This is done by programming the appropriate  
control bits in the SSPCON register (SSPCON<5:0>)  
and SSPSTAT<7:6>. These control bits allow the  
following to be specified:  
Refer to Application Note AN578, “Use of the SSP  
module in the I 2C™ Multi-Master Environment”  
(DS00578).  
• Master mode (SCK is the clock output)  
• Slave mode (SCK is the clock input)  
• Clock polarity (Idle state of SCK)  
• Clock edge (output data on rising/falling edge of  
SCK)  
• Clock rate (Master mode only)  
• Slave Select mode (Slave mode only)  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 211  
 
 
 
 
 
 
 
PIC18F2331/2431/4331/4431  
REGISTER 18-1: SSPSTAT: SYNC SERIAL PORT STATUS REGISTER (ADDRESS 94h)  
R/W-0  
SMP  
R/W-0  
CKE  
R-0  
D/A  
R-0  
P
R-0  
S
R-0  
R-0  
UA  
R-0  
BF  
R/W  
bit 7  
bit 0  
bit 7  
SMP: SPI Data Input Sample Phase bit  
SPI Master mode:  
1= Input data sampled at end of data output time  
0= Input data sampled at middle of data output time (Microwire®)  
SPI Slave mode:  
SMP must be cleared when SPI is used in Slave mode  
I2C mode:  
This bit must be maintained clear  
bit 6  
CKE: SPI Clock Edge Select bit (Figure 18-2, Figure 18-3, and Figure 18-4)  
SPI mode, CKP = 0:  
1= Data transmitted on rising edge of SCK (Microwire® alternate)  
0= Data transmitted on falling edge of SCK  
SPI mode, CKP = 1:  
1= Data transmitted on falling edge of SCK (Microwire® default)  
0= Data transmitted on rising edge of SCK  
I2C mode:  
This bit must be maintained clear  
bit 5  
bit 4  
D/A: Data/Address bit (I2C mode only)  
1= Indicates that the last byte received or transmitted was data  
0= Indicates that the last byte received or transmitted was address  
P: Stop bit (I2C mode only)  
This bit is cleared when the SSP module is disabled, or when the Start bit is detected last.  
SSPEN is cleared.  
1= Indicates that a Stop bit has been detected last (this bit is ‘0’ on Reset)  
0= Stop bit was not detected last  
bit 3  
bit 2  
S: Start bit (I2C mode only)  
This bit is cleared when the SSP module is disabled, or when the Stop bit is detected last.  
SSPEN is cleared.  
1= Indicates that a Start bit has been detected last (this bit is ‘0’ on Reset)  
0= Start bit was not detected last  
R/W: Read/Write bit Information (I2C mode only)  
This bit holds the R/W bit information following the last address match. This bit is only valid from  
the address match to the next Start bit, Stop bit, or ACK bit.  
1= Read  
0= Write  
bit 1  
bit 0  
UA: Update Address bit (10-bit I2C mode only)  
1= Indicates that the user needs to update the address in the SSPADD register  
0= Address does not need to be updated  
BF: Buffer Full Status bit  
Receive (SPI and I2C modes):  
1= Receive complete, SSPBUF is full  
0= Receive not complete, SSPBUF is empty  
Transmit (I2C mode only):  
1= Transmit in progress, SSPBUF is full  
0= Transmit complete, SSPBUF is empty  
Legend:  
R = Readable bit  
W = Writable bit  
‘1’ = Bit is set  
U = Unimplemented bit, read as ‘0’  
‘0’ = Bit is cleared x = Bit is unknown  
- n = Value at POR reset  
DS39616B-page 212  
Preliminary  
2003 Microchip Technology Inc.  
 
 
 
 
 
 
 
 
 
PIC18F2331/2431/4331/4431  
REGISTER 18-2: SSPCON: SYNC SERIAL PORT CONTROL REGISTER (ADDRESS 14h)  
R/W-0  
WCOL  
R/W-0  
R/W-0  
R/W-0  
CKP  
R/W-0  
R/W-0  
R/W-0  
R/W-0  
SSPOV  
SSPEN  
SSPM3  
SSPM2  
SSPM1  
SSPM0  
bit 0  
bit 7  
bit 7  
bit 6  
WCOL: Write Collision Detect bit  
1= The SSPBUF register is written while it is still transmitting the previous word  
(must be cleared in software)  
0= No collision  
SSPOV: Receive Overflow Indicator bit  
In SPI mode:  
1= A new byte is received, while the SSPBUF register is still holding the previous data. In case  
of overflow, the data in SSPSR is lost. Overflow can only occur in Slave mode. The user  
must read the SSPBUF, even if only transmitting data, to avoid setting overflow. In  
Master mode, the overflow bit is not set since each new reception (and transmission) is  
initiated by writing to the SSPBUF register.  
0= No overflow  
In I2C mode:  
1= A byte is received while the SSPBUF register is still holding the previous byte. SSPOV  
is a “don’t care” in Transmit mode. SSPOV must be cleared in software in either mode.  
0= No overflow  
bit 5  
SSPEN: Synchronous Serial Port Enable bit  
In SPI mode:  
1= Enables serial port and configures SCK, SDO and SDI as serial port pins  
0= Disables serial port and configures these pins as I/O port pins  
In I2C mode:  
1= Enables the serial port and configures the SDA and SCL pins as serial port pins  
0= Disables serial port and configures these pins as I/O port pins  
In both modes, when enabled, these pins must be properly configured as input or output.  
bit 4  
CKP: Clock Polarity Select bit  
In SPI mode:  
1= Idle state for clock is a high level (Microwire® default)  
0= Idle state for clock is a low level (Microwire® alternate)  
In I2C mode:  
SCK release control  
1= Enable clock  
0= Holds clock low (clock stretch). (Used to ensure data setup time.)  
bit 3-0  
SSPM3:SSPM0: Synchronous Serial Port Mode Select bits  
0000= SPI Master mode, clock = FOSC/4  
0001= SPI Master mode, clock = FOSC/16  
0010= SPI Master mode, clock = FOSC/64  
0011= SPI Master mode, clock = TMR2 output/2  
0100= SPI Slave mode, clock = SCK pin. SS pin control enabled.  
0101= SPI Slave mode, clock = SCK pin. SS pin control disabled. SS can be used as I/O pin.  
0110= I2C Slave mode, 7-bit address  
0111= I2C Slave mode, 10-bit address  
1011= I2C Firmware Controlled Master mode (slave Idle)  
1110= I2C Slave mode, 7-bit address with Start and Stop bit interrupts enabled  
1111= I2C Slave mode, 10-bit address with Start and Stop bit interrupts enabled  
Legend:  
R = Readable bit  
W = Writable bit  
‘1’ = Bit is set  
U = Unimplemented bit, read as ‘0’  
‘0’ = Bit is cleared x = Bit is unknown  
- n = Value at POR reset  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 213  
 
 
 
 
 
 
PIC18F2331/2431/4331/4431  
To enable the serial port, SSP enable bit SSPEN  
(SSPCON<5>) must be set. To reset or reconfigure SPI  
mode, clear bit SSPEN, reinitialize the SSPCON  
register, and then set bit SSPEN. This configures the  
SDI, SDO, SCK, and SS pins as serial port pins. For the  
pins to behave as the serial port function, they must  
have their data direction bits (in the TRISC register)  
appropriately programmed. That is:  
FIGURE 18-1:  
SSP BLOCK DIAGRAM  
(SPI MODE)  
Internal  
Data Bus  
Read  
Write  
SSPBUF reg  
• SDI must have TRISC<4> set  
• SDO must have TRISC<5> cleared  
• SCK (Master mode) must have TRISC<3>  
cleared  
SSPSR reg  
Shift  
RC4/SDI/SDA  
RC5/SDO  
bit0  
• SCK (Slave mode) must have TRISC<3> set  
Clock  
• SS must have TRISA<5> set and ADCON must  
be configured such that RA5 is a digital I/O  
Peripheral OE  
.
Control  
Enable  
SS  
Note 1: When the SPI is in Slave mode with SS  
pin control enabled (SSPCON<3:0> =  
0100), the SPI module will reset if the SS  
pin is set to VDD.  
RA5/SS/AN4  
Edge  
Select  
2: If the SPI is used in Slave mode with  
CKE = 1, then the SS pin control must be  
enabled.  
2
Clock Select  
SSPM3:SSPM0  
4
3: When the SPI is in Slave mode with SS  
pin control enabled (SSPCON<3:0> =  
0100), the state of the SS pin can affect  
the state read back from the TRISC<5>  
bit. The Peripheral OE signal from the  
SSP module into PORTC controls the  
state that is read back from the  
TMR2 Output  
2
Edge  
Select  
TCY  
Prescaler  
4, 16, 64  
RC3/SCK/  
SCL  
TRISC<3>  
TRISC<5>  
bit  
(see  
Section 10.3  
“PORTC, TRISC and LATC Registers”  
for information on PORTC). If Read-  
Modify-Write instructions, such as BSF,  
are performed on the TRISC register  
while the SS pin is high, this will cause the  
TRISC<5> bit to be set, thus disabling the  
SDO output.  
DS39616B-page 214  
Preliminary  
2003 Microchip Technology Inc.  
 
PIC18F2331/2431/4331/4431  
FIGURE 18-2:  
SPI MODE TIMING, MASTER MODE  
SCK (CKP = 0,  
CKE = 0)  
SCK (CKP = 0,  
CKE = 1)  
SCK (CKP = 1,  
CKE = 0)  
SCK (CKP = 1,  
CKE = 1)  
bit2  
bit7  
bit6  
bit5  
bit3  
bit1  
bit0  
bit4  
SDO  
SDI (SMP = 0)  
bit7  
bit0  
SDI (SMP = 1)  
bit7  
bit0  
SSPIF  
FIGURE 18-3:  
SPI MODE TIMING (SLAVE MODE WITH CKE = 0)  
SS (optional)  
SCK (CKP = 0)  
SCK (CKP = 1)  
bit2  
bit7  
bit6  
bit5  
bit3  
bit1  
bit0  
bit4  
SDO  
SDI (SMP = 0)  
bit7  
bit0  
SSPIF  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 215  
 
 
PIC18F2331/2431/4331/4431  
FIGURE 18-4:  
SPI MODE TIMING (SLAVE MODE WITH CKE = 1)  
SS  
SCK (CKP = 0)  
SCK (CKP = 1)  
bit2  
SDO  
bit7  
bit6  
bit5  
bit3  
bit1  
bit0  
bit4  
SDI (SMP = 0)  
SSPIF  
bit7  
bit0  
TABLE 18-1: REGISTERS ASSOCIATED WITH SPI OPERATION  
Value on:  
POR,  
BOR  
Value on  
all other  
Resets  
Name  
Bit 7  
Bit 6  
Bit 5  
Bit 4 Bit 3  
Bit 2  
Bit 1  
Bit 0  
INTCON  
PIR1  
GIE  
PEIE TMR0IE INTE RBIE TMR0IF INTF  
RBIF  
RCIF TXIF SSPIF CCP1IF TMR2IF TMR1IF 0000 0000 0000 0000  
RCIE TXIE SSPIE CCP1IE TMR2IE TMR1IE 0000 0000 0000 0000  
0000 000x 0000 000u  
PSPIF(1)  
PSPIE(1)  
ADIF  
ADIE  
PIE1  
TRISC  
PORTC Data Direction Register  
1111 1111 1111 1111  
xxxx xxxx uuuu uuuu  
SSPBUF Synchronous Serial Port Receive Buffer/Transmit Register  
SSPCON  
TRISA  
WCOL  
SSPOV SSPEN CKP SSPM3 SSPM2 SSPM1 SSPM0 0000 0000 0000 0000  
PORTA Data Direction Register  
D/A R/W  
--11 1111 --11 1111  
0000 0000 0000 0000  
SSPSTAT  
SMP  
CKE  
P
S
UA  
BF  
Legend: x= unknown, u= unchanged, = unimplemented, read as ‘0’. Shaded cells are not used by the SSP in  
SPI mode.  
Note 1: Bits PSPIE and PSPIF are reserved on the PIC16F73/76; always maintain these bits clear.  
DS39616B-page 216  
Preliminary  
2003 Microchip Technology Inc.  
 
 
PIC18F2331/2431/4331/4431  
The SSPCON register allows control of the I2C opera-  
tion. Four mode selection bits (SSPCON<3:0>) allow  
2
18.3 SSP I C Operation  
The SSP module in I2C mode, fully implements all slave  
functions, except general call support, and provides  
interrupts on Start and Stop bits in hardware to facilitate  
firmware implementations of the master functions. The  
SSP module implements the standard mode  
specifications, as well as 7-bit and 10-bit addressing.  
one of the following I2C modes to be selected:  
• I2C Slave mode (7-bit address)  
• I2C Slave mode (10-bit address)  
• I2C Slave mode (7-bit address), with Start and  
Stop bit interrupts enabled to support Firmware  
Master mode  
• I2C Slave mode (10-bit address), with Start and  
Stop bit interrupts enabled to support Firmware  
Master mode  
• I2C Start and Stop bit interrupts enabled to sup-  
port Firmware Master mode; Slave is Idle  
Selection of any I2C mode with the SSPEN bit set,  
forces the SCL and SDA pins to be open-drain,  
provided these pins are programmed to inputs by  
setting the appropriate TRISC or TRISD bits. Pull-up  
resistors must be provided externally to the SCL and  
SDA pins for proper operation of the I2C module.  
Additional information on SSP I2C operation can be  
found in the PICmicro® Mid-Range MCU Family  
Reference Manual (DS33023A).  
Two pins are used for data transfer. These are the SCK/  
SCL pin, which is the clock (SCL), and the SDI/SDA  
pin, which is the data (SDA). The user must configure  
these pins as inputs or outputs through the  
TRISC<5:4> or TRISD<3:2> bits.  
The SSP module functions are enabled by setting SSP  
enable bit SSPEN (SSPCON<5>).  
FIGURE 18-5:  
SSP BLOCK DIAGRAM  
(I2C MODE)  
Internal  
Data Bus  
Read  
Write  
SSPBUF reg  
(1)  
SCK/SCL  
SDI/  
18.3.1  
SLAVE MODE  
Shift  
Clock  
In Slave mode, the SCL and SDA pins must be config-  
ured as inputs (TRISC<5:4> or TRISD<3:2> set). The  
SSP module will override the input state with the output  
data when required (slave-transmitter).  
SSPSR reg  
MSb  
LSb  
(1)  
SDA  
When an address is matched, or the data transfer after  
an address match is received, the hardware automati-  
cally will generate the Acknowledge (ACK) pulse, and  
then load the SSPBUF register with the received value  
currently in the SSPSR register.  
Addr Match  
Match Detect  
SSPADD reg  
There are certain conditions that will cause the SSP  
module not to give this ACK pulse. They include (either  
or both):  
Set, RESET  
S, P bits  
(SSPSTAT reg)  
Start and  
Stop bit Detect  
a) The buffer full bit BF (SSPSTAT<0>) was set  
before the transfer was received.  
Note 1: When SSPMX = 1 in CONFIG3H:  
SCK/SCL is multiplexed to pin RC5,  
SDA/SDI is multiplexed to pin RC4, and  
SDO is multiplexed to pin RC7.  
b) The overflow bit SSPOV (SSPCON<6>) was set  
before the transfer was received.  
In this case, the SSPSR register value is not loaded  
into the SSPBUF, but bit SSPIF (PIR1<3>) is set.  
Table 18-2 shows what happens when a data transfer  
byte is received, given the status of bits BF and  
SSPOV. The shaded cells show the condition where  
user software did not properly clear the overflow  
condition. Flag bit BF is cleared by reading the  
SSPBUF register, while bit SSPOV is cleared through  
software.  
When SSPMX = 0 in CONFIG3H:  
SCK/SCL is multiplexed to pin RD3,  
SDA/SDI is multiplexed to pin RD2, and  
SDO is multiplexed to pin RD1.  
The SSP module has five registers for I2C operation.  
These are the:  
• SSP Control Register (SSPCON)  
• SSP Status Register (SSPSTAT)  
• Serial Receive/Transmit Buffer (SSPBUF)  
The SCL clock input must have a minimum high and  
low for proper operation. The high and low times of the  
I2C specification, as well as the requirements of the  
SSP module, are shown in timing parameter #100 and  
parameter #101.  
• SSP Shift Register (SSPSR) – Not directly  
accessible  
• SSP Address Register (SSPADD)  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 217  
 
 
 
 
 
 
 
 
PIC18F2331/2431/4331/4431  
The sequence of events for 10-bit address is as  
follows, with steps 7-9 for slave-transmitter:  
18.3.1.1  
Addressing  
Once the SSP module has been enabled, it waits for a  
Start condition to occur. Following the Start condition,  
the 8-bits are shifted into the SSPSR register. All  
incoming bits are sampled with the rising edge of the  
clock (SCL) line. The value of register SSPSR<7:1> is  
compared to the value of the SSPADD register. The  
address is compared on the falling edge of the eighth  
clock (SCL) pulse. If the addresses match, and the BF  
and SSPOV bits are clear, the following events occur:  
1. Receive first (high) byte of address (bits SSPIF,  
BF, and bit UA (SSPSTAT<1>) are set).  
2. Update the SSPADD register with second (low)  
byte of address (clears bit UA and releases the  
SCL line).  
3. Read the SSPBUF register (clears bit BF) and  
clear flag bit SSPIF.  
4. Receive second (low) byte of address (bits  
SSPIF, BF and UA are set).  
a) The SSPSR register value is loaded into the  
SSPBUF register.  
5. Update the SSPADD register with the first (high)  
byte of address. If match releases SCL line, this  
will clear bit UA.  
b) The buffer full bit, BF is set.  
c) An ACK pulse is generated.  
6. Read the SSPBUF register (clears bit BF) and  
clear flag bit SSPIF.  
d) SSP interrupt flag bit, SSPIF (PIR1<3>), is set  
(interrupt is generated if enabled) on the falling  
edge of the ninth SCL pulse.  
7. Receive Repeated Start condition.  
8. Receive first (high) byte of address (bits SSPIF  
and BF are set).  
In 10-bit Address mode, two address bytes need to be  
received by the slave (Figure 18-7). The five Most  
Significant bits (MSbs) of the first address byte specify  
if this is a 10-bit address. Bit R/W (SSPSTAT<2>) must  
specify a write so the slave device will receive the  
second address byte. For a 10-bit address, the first  
byte would equal ‘1111 0 A9 A8 0’, where A9and  
A8are the two MSbs of the address.  
9. Read the SSPBUF register (clears bit BF) and  
clear flag bit SSPIF.  
TABLE 18-2: DATA TRANSFER RECEIVED BYTE ACTIONS  
Status Bits as Data  
Set bit SSPIF  
(SSP Interrupt occurs  
if enabled)  
Generate ACK  
Transfer is Received  
SSPSR SSPBUF  
Pulse  
BF  
SSPOV  
0
1
1
0
0
0
1
1
Yes  
No  
No  
No  
Yes  
No  
No  
No  
Yes  
Yes  
Yes  
Yes  
Note: Shaded cells show the conditions where the user software did not properly clear the overflow condition.  
18.3.1.2  
Reception  
When the R/W bit of the address byte is clear and an  
address match occurs, the R/W bit of the SSPSTAT  
register is cleared. The received address is loaded into  
the SSPBUF register.  
When the address byte overflow condition exists, then  
no Acknowledge (ACK) pulse is given. An overflow  
condition is defined as either bit BF (SSPSTAT<0>) is  
set, or bit SSPOV (SSPCON<6>) is set. This is an error  
condition due to the user’s firmware.  
An SSP interrupt is generated for each data transfer  
byte. Flag bit, SSPIF (PIR1<3>), must be cleared in  
software. The SSPSTAT register is used to determine  
the status of the byte.  
DS39616B-page 218  
Preliminary  
2003 Microchip Technology Inc.  
 
 
 
 
PIC18F2331/2431/4331/4431  
FIGURE 18-6:  
I2C WAVEFORMS FOR RECEPTION (7-BIT ADDRESS)  
R/W = 0  
Receiving Address  
A7 A6 A5 A4  
Receiving Data  
Receiving Data  
ACK  
9
ACK  
9
ACK  
9
SDA  
SCL  
A3 A2 A1  
D7 D6 D5 D4 D3 D2  
D0  
8
D7 D6  
D5  
D4 D3  
D2  
D0  
8
D1  
7
D1  
7
3
7
1
2
4
5
4
3
6
5
6
1
2
3
6
1
2
4
8
5
P
S
SSPIF (PIR1<3>)  
Cleared in software  
Bus Master  
terminates  
transfer  
BF (SSPSTAT<0>)  
SSPBUF register is read  
SSPOV (SSPCON<6>)  
Bit SSPOV is set because the SSPBUF register is still full.  
ACK is not sent.  
An SSP interrupt is generated for each data transfer  
byte. Flag bit SSPIF must be cleared in software, and  
the SSPSTAT register is used to determine the status  
of the byte. Flag bit SSPIF is set on the falling edge of  
the ninth clock pulse.  
18.3.1.3  
Transmission  
When the R/W bit of the incoming address byte is set  
and an address match occurs, the R/W bit of the  
SSPSTAT register is set. The received address is  
loaded into the SSPBUF register. The ACK pulse will  
be sent on the ninth bit, and pin SCK/SCL is held low.  
The transmit data must be loaded into the SSPBUF  
register, which also loads the SSPSR register. Then,  
pin SCK/SCL should be enabled by setting bit CKP  
(SSPCON<4>). The master must monitor the SCL pin  
prior to asserting another clock pulse. The slave  
devices may be holding off the master by stretching the  
clock. The eight data bits are shifted out on the falling  
edge of the SCL input. This ensures that the SDA signal  
is valid during the SCL high time (Figure 18-7).  
As a slave-transmitter, the ACK pulse from the master-  
receiver is latched on the rising edge of the ninth SCL  
input pulse. If the SDA line was high (not ACK), then  
the data transfer is complete. When the ACK is latched  
by the slave, the slave logic is reset (resets SSPSTAT  
register) and the slave then monitors for another  
occurrence of the Start bit. If the SDA line was low  
(ACK), the transmit data must be loaded into the  
SSPBUF register, which also loads the SSPSR  
register. Then pin SCK/SCL should be enabled by  
setting bit CKP.  
FIGURE 18-7:  
I2C WAVEFORMS FOR TRANSMISSION (7-BIT ADDRESS)  
Receiving Address  
R/W = 1  
ACK  
Transmitting Data  
ACK  
9
SDA  
A7 A6 A5 A4 A3 A2 A1  
D7 D6 D5 D4 D3 D2 D1 D0  
SCL  
S
1
2
3
4
5
6
7
8
9
1
2
3
4
5
6
7
8
P
SCL held low  
while CPU  
responds to SSPIF  
Data in  
sampled  
Cleared in software  
SSPIF (PIR1<3>)  
BF (SSPSTAT<0>)  
From SSP Interrupt  
Service Routine  
SSPBUF is written in software  
CKP (SSPCON<4>)  
Set bit after writing to SSPBUF  
(the SSPBUF must be written to  
before the CKP bit can be set)  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 219  
 
 
 
 
 
PIC18F2331/2431/4331/4431  
18.3.2  
MASTER MODE  
18.3.3  
MULTI-MASTER MODE  
Master mode of operation is supported in firmware  
using interrupt generation on the detection of the Start  
and Stop conditions. The Stop (P) and Start (S) bits are  
cleared from a Reset or when the SSP module is  
disabled. The Stop (P) and Start (S) bits will toggle  
based on the Start and Stop conditions. Control of the  
I2C bus may be taken when the P bit is set, or the bus  
is Idle and both the S and P bits are clear.  
In Multi-Master mode, the interrupt generation on the  
detection of the Start and Stop conditions, allows the  
determination of when the bus is free. The Stop (P) and  
Start (S) bits are cleared from a Reset or when the SSP  
module is disabled. The Stop (P) and Start (S) bits will  
toggle based on the Start and Stop conditions. Control  
of the I2C bus may be taken when bit P (SSPSTAT<4>)  
is set, or the bus is Idle and both the S and P bits clear.  
When the bus is busy, enabling the SSP interrupt will  
generate the interrupt when the Stop condition occurs.  
In Master mode, the SCL and SDA lines are manipu-  
lated by clearing the corresponding TRISC<5:4> or  
TRISD<3:2> bits. The output level is always low, irre-  
spective of the value(s) in PORTC<5:4> or  
PORTD<3:2>. So when transmitting data, a '1' data bit  
must have the TRISC<4> bit set (input) and a '0' data  
bit must have the TRISC<4> bit cleared (output). The  
same scenario is true for the SCL line with the  
TRISC<4> or TRISD<2> bit. Pull-up resistors must be  
provided externally to the SCL and SDA pins for proper  
operation of the I2C module.  
In Multi-Master operation, the SDA line must be moni-  
tored to see if the signal level is the expected output  
level. This check only needs to be done when a high  
level is output. If a high level is expected and a low level  
is present, the device needs to release the SDA and  
SCL lines (set TRISC<5:4> or TRISD<3:2> ). There are  
two stages where this arbitration can be lost, these are:  
• Address Transfer  
• Data Transfer  
The following events will cause SSP interrupt flag bit,  
SSPIF, to be set (SSP Interrupt will occur if enabled):  
When the slave logic is enabled, the slave continues to  
receive. If arbitration was lost during the address trans-  
fer stage, communication to the device may be in  
progress. If addressed, an ACK pulse will be gener-  
ated. If arbitration was lost during the data transfer  
stage, the device will need to retransfer the data at a  
later time.  
• Start condition  
• Stop condition  
• Data transfer byte transmitted/received  
Master mode of operation can be done with either the  
Slave mode Idle (SSPM3:SSPM0 = 1011), or with the  
Slave active. When both Master and Slave modes are  
enabled, the software needs to differentiate the  
source(s) of the interrupt.  
TABLE 18-3: REGISTERS ASSOCIATED WITH I2C OPERATION  
Value on:  
POR,  
BOR  
Value on  
all other  
Resets  
Name  
Bit 7  
Bit 6  
Bit 5  
Bit 4  
Bit 3  
Bit 2  
Bit 1  
Bit 0  
INTCON  
PIR1  
GIE  
PEIE TMR0IE INTE  
RBIE TMR0IF INTF  
RBIF  
0000 000x  
0000 000u  
0000 0000  
0000 0000  
uuuu uuuu  
0000 0000  
0000 0000  
0000 0000  
1111 1111  
1111 1111  
PSPIF(1) ADIF  
PSPIE(1) ADIE  
RCIF  
RCIE  
TXIF SSPIF CCP1IF TMR2IF TMR1IF 0000 0000  
TXIE SSPIE CCP1IE TMR2IE TMR1IE 0000 0000  
PIE1  
SSPBUF Synchronous Serial Port Receive Buffer/Transmit Register  
SSPADD Synchronous Serial Port (I2C mode) Address Register  
xxxx xxxx  
0000 0000  
SSPCON  
SSPSTAT SMP(2) CKE(2)  
TRISC(3) PORTC Data Direction Register  
TRISD(3) PORTD Data Direction Register  
WCOL SSPOV SSPEN CKP SSPM3 SSPM2 SSPM1 SSPM0 0000 0000  
D/A  
P
S
R/W  
UA  
BF  
0000 0000  
1111 1111  
1111 1111  
Legend: x= unknown, u= unchanged, = unimplemented locations read as ‘0’. Shaded cells are not used by SSP  
module in I2C mode.  
Note 1: PSPIF and PSPIE are reserved on the PIC16F73/76; always maintain these bits clear.  
2: Maintain these bits clear in I2C mode.  
3: Depending upon the setting of SSPMX in CONFIG3H, these pins are multiplexed to PORTC or PORTD.  
DS39616B-page 220  
Preliminary  
2003 Microchip Technology Inc.  
 
 
 
PIC18F2331/2431/4331/4431  
19.1 Asynchronous Operation in  
Power-Managed Modes  
19.0 ENHANCED UNIVERSAL  
SYNCHRONOUS  
ASYNCHRONOUS RECEIVER  
TRANSMITTER (EUSART)  
The USART may operate in Asynchronous mode, while  
the peripheral clocks are being provided by the internal  
oscillator block. This makes it possible to remove the  
crystal or resonator that is commonly connected as the  
primary clock on the OSC1 and OSC2 pins.  
The Universal Synchronous Asynchronous Receiver  
Transmitter (EUSART) module is one of the two serial  
I/O modules available in the PIC18F2331/2431/4331/  
4431 family of microcontrollers. EUSART is also known  
as a Serial Communications Interface or SCI.  
The factory calibrates the internal oscillator block out-  
put (INTOSC) for 8 MHz (see Table 25-6). However,  
this frequency may drift as VDD or temperature  
changes, and this directly affects the asynchronous  
baud rate. Two methods may be used to adjust the  
baud rate clock, but both require a reference clock  
source of some kind.  
The EUSART can be configured as a full-duplex  
asynchronous system that can communicate with  
peripheral devices, such as CRT terminals and  
personal computers. It can also be configured as a half-  
duplex synchronous system that can communicate  
with peripheral devices, such as A/D or D/A integrated  
circuits, serial EEPROMs, etc.  
The first (preferred) method uses the OSCTUNE  
register to adjust the INTOSC output back to 8 MHz.  
Adjusting the value in the OSCTUNE register allows for  
fine resolution changes to the system clock source (see  
Section 3.6 “INTOSC Frequency Drift” for more  
information).  
The EUSART module implements additional features,  
including automatic baud rate detection and  
calibration, automatic wake-up on sync break reception  
and 12-bit break character transmit. These make it  
ideally suited for use in Local Interconnect Network  
(LIN) bus systems.  
The other method adjusts the value in the baud rate  
generator. There may not be fine enough resolution  
when adjusting the Baud Rate Generator to compen-  
sate for a gradual change in the peripheral clock  
frequency.  
The USART can be configured in the following modes:  
• Asynchronous (full-duplex) with:  
- Auto-Wake-up on character reception  
- Auto-Baud calibration  
- 12-bit break character transmission  
• Synchronous – Master (half-duplex) with  
selectable clock polarity  
• Synchronous – Slave (half-duplex) with selectable  
clock polarity  
In order to configure pins RC6/TX/CK/SS and RC7/RX/  
DT/SDO as the Universal Synchronous Asynchronous  
Receiver Transmitter:  
• SPEN (RCSTA<7>) bit must be set ( = 1),  
• TRISC<6> bit must be set ( = 1), and  
• TRISC<1> bit must be set ( = 1).  
Note:  
The USART control will automatically  
reconfigure the pin from input to output as  
needed.  
The operation of the enhanced USART module is  
controlled through three registers:  
• Transmit Status and Control (TXSTA)  
• Receive Status and Control (RCSTA)  
• Baud Rate Control (BAUDCTL)  
These are detailed in on the following pages in  
Register 19-1, Register 19-2 and Register 19-3,  
respectively.  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 221  
 
 
PIC18F2331/2431/4331/4431  
REGISTER 19-1: TXSTA: TRANSMIT STATUS AND CONTROL REGISTER  
R/W-0  
CSRC  
R/W-0  
TX9  
R/W-0  
TXEN  
R/W-0  
SYNC  
R/W-0  
R/W-0  
BRGH  
R-1  
R/W-0  
TX9D  
SENDB  
TRMT  
bit 7  
bit 0  
bit 7  
CSRC: Clock Source Select bit  
Asynchronous mode:  
Don’t care  
Synchronous mode:  
1= Master mode (clock generated internally from BRG)  
0= Slave mode (clock from external source)  
bit 6  
bit 5  
TX9: 9-bit Transmit Enable bit  
1= Selects 9-bit transmission  
0= Selects 8-bit transmission  
TXEN: Transmit Enable bit  
1= Transmit enabled  
0= Transmit disabled  
Note:  
SREN/CREN overrides TXEN in Sync mode.  
bit 4  
bit 3  
SYNC: USART Mode Select bit  
1= Synchronous mode  
0= Asynchronous mode  
SENDB: Send Break Character bit  
Asynchronous mode:  
1= Send Sync Break on next transmission (cleared by hardware upon completion)  
0= Sync Break transmission completed  
Synchronous mode:  
Don’t care  
bit 2  
BRGH: High Baud Rate Select bit  
Asynchronous mode:  
1= High speed  
0= Low speed  
Synchronous mode:  
Unused in this mode  
bit 1  
bit 0  
TRMT: Transmit Shift Register Status bit  
1= TSR empty  
0= TSR full  
TX9D: 9th bit of Transmit Data  
Can be address/data bit or a parity bit.  
Legend:  
R = Readable bit  
W = Writable bit  
‘1’ = Bit is set  
U = Unimplemented bit, read as ‘0’  
‘0’ = Bit is cleared x = Bit is unknown  
- n = Value at POR  
DS39616B-page 222  
Preliminary  
2003 Microchip Technology Inc.  
 
PIC18F2331/2431/4331/4431  
REGISTER 19-2: RCSTA: RECEIVE STATUS AND CONTROL REGISTER  
R/W-0  
SPEN  
R/W-0  
RX9  
R/W-0  
SREN  
R/W-0  
CREN  
R/W-0  
R-0  
R-0  
R-x  
ADDEN  
FERR  
OERR  
RX9D  
bit 7  
bit 0  
bit 7  
bit 6  
bit 5  
SPEN: Serial Port Enable bit  
1= Serial port enabled (configures RX/DT and TX/CK pins as serial port pins)  
0= Serial port disabled (held in Reset)  
RX9: 9-bit Receive Enable bit  
1= Selects 9-bit reception  
0= Selects 8-bit reception  
SREN: Single Receive Enable bit  
Asynchronous mode:  
Don’t care  
Synchronous mode – Master:  
1= Enables single receive  
0= Disables single receive  
This bit is cleared after reception is complete.  
Synchronous mode – Slave:  
Don’t care  
bit 4  
CREN: Continuous Receive Enable bit  
Asynchronous mode:  
1= Enables receiver  
0= Disables receiver  
Synchronous mode:  
1= Enables continuous receive until enable bit CREN is cleared (CREN overrides SREN)  
0= Disables continuous receive  
bit 3  
ADDEN: Address Detect Enable bit  
Asynchronous mode 9-bit (RX9 = 1):  
1= Enables address detection, enable interrupt and load the receive buffer when RSR<8> is  
set  
0= Disables address detection, all bytes are received and ninth bit can be used as parity bit  
Asynchronous mode 8-bit (RX9 = 0):  
Don’t care  
bit 2  
bit 1  
bit 0  
FERR: Framing Error bit  
1= Framing error (can be updated by reading RCREG register and receive next valid byte)  
0= No framing error  
OERR: Overrun Error bit  
1= Overrun error (can be cleared by clearing bit CREN)  
0= No overrun error  
RX9D: 9th bit of Received Data  
This can be address/data bit or a parity bit and must be calculated by user firmware.  
Legend:  
R = Readable bit  
W = Writable bit  
‘1’ = Bit is set  
U = Unimplemented bit, read as ‘0’  
‘0’ = Bit is cleared x = Bit is unknown  
- n = Value at POR  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 223  
 
PIC18F2331/2431/4331/4431  
REGISTER 19-3: BAUDCTL: BAUD RATE CONTROL REGISTER  
U-0  
R-1  
U-0  
R/W-0  
SCKP  
R/W-0  
U-0  
R/W-0  
WUE  
R/W-0  
RCIDL  
BRG16  
ABDEN  
bit 7  
bit 0  
bit 7  
bit 6  
Unimplemented: Read as ‘0’  
RCIDL: Receive Operation Idle Status bit  
1= Receiver is Idle  
0= Receive in progress  
bit 5  
bit 4  
Unimplemented: Read as ‘0’  
SCKP: Synchronous Clock Polarity Select bit  
Asynchronous mode:  
Unused in this mode  
Synchronous mode:  
1= Idle state for clock (CK) is a high level  
0= Idle state for clock (CK) is a low level  
bit 3  
BRG16: 16-bit Baud Rate Register Enable bit  
1= 16-bit baud rate generator – SPBRGH and SPBRG  
0= 8-bit baud rate generator – SPBRG only (Compatible mode), SPBRGH value ignored  
bit 2  
bit 1  
Unimplemented: Read as ‘0’  
WUE: Wake-up Enable bit  
Asynchronous mode:  
1= USART will continue to sample the RX pin – interrupt generated on falling edge; bit cleared  
in hardware on following rising edge  
0= RX pin not monitored or rising edge detected  
Synchronous mode:  
Unused in this mode  
bit 0  
ABDEN: Auto-Baud Detect Enable bit  
Asynchronous mode:  
1= Enable baud rate measurement on the next character – requires reception of a Sync field  
(55h); cleared in hardware upon completion  
0= Baud rate measurement disabled or completed  
Synchronous mode:  
Unused in this mode  
Legend:  
R = Readable bit  
W = Writable bit  
‘1’ = Bit is set  
U = Unimplemented bit, read as ‘0’  
‘0’ = Bit is cleared x = Bit is unknown  
- n = Value at POR  
DS39616B-page 224  
Preliminary  
2003 Microchip Technology Inc.  
PIC18F2331/2431/4331/4431  
19.2.1  
POWER-MANAGED MODE  
OPERATION  
19.2 USART Baud Rate Generator  
(BRG)  
The system clock is used to generate the desired baud  
rate; however, when a power-managed mode is  
entered, the clock source may be operating at a  
different frequency than in PRI_RUN mode. In Sleep  
mode, no clocks are present and in PRI_IDLE, the  
primary clock source continues to provide clocks to the  
baud rate generator; however, in other power-  
managed modes, the clock frequency will probably  
change. This may require the value in SPBRG to be  
adjusted.  
The BRG is a dedicated 8-bit or 16-bit generator, that  
supports both the Asynchronous and Synchronous  
modes of the USART. By default, the BRG operates in  
8-bit mode; setting the BRG16 bit (BAUDCTL<3>)  
selects 16-bit mode.  
The SPBRGH:SPBRG register pair controls the period  
of a free running timer. In Asynchronous mode, bits  
BRGH (TXSTA<2>) and BRG16 also control the baud  
rate. In Synchronous mode, bit BRGH is ignored.  
Table 19-1 shows the formula for computation of the  
baud rate for different USART modes, which only apply  
in Master mode (internally generated clock).  
If the system clock is changed during an active receive  
operation, a receive error or data loss may result. To  
avoid this problem, check the status of the RCIDL bit  
and make sure that the receive operation is Idle before  
changing the system clock.  
Given the desired baud rate and FOSC, the nearest  
integer value for the SPBRGH:SPBRG registers can be  
calculated using the formulas in Table 19-1. From this,  
the error in baud rate can be determined. An example  
calculation is shown in Example 19-1. Typical baud  
rates and error values for the various asynchronous  
modes are shown in Table 19-2. It may be advanta-  
geous to use the high baud rate (BRGH = 1), or the 16-  
bit BRG to reduce the baud rate error, or achieve a slow  
baud rate for a fast oscillator frequency.  
19.2.2  
SAMPLING  
The data on the RC7/RX/DT/SDO pin is sampled three  
times by a majority detect circuit to determine if a high  
or a low level is present at the RX pin.  
Writing a new value to the SPBRGH:SPBRG registers  
causes the BRG timer to be reset (or cleared). This  
ensures the BRG does not wait for a timer overflow  
before outputting the new baud rate.  
TABLE 19-1: BAUD RATE FORMULAS  
Configuration Bits  
BRG/USART Mode  
Baud Rate Formula  
SYNC  
BRG16  
BRGH  
0
0
0
0
1
1
0
0
1
1
0
1
0
1
0
1
x
x
8-bit/Asynchronous  
8-bit/Asynchronous  
16-bit/Asynchronous  
16-bit/Asynchronous  
8-bit/Synchronous  
16-bit/Synchronous  
FOSC/[64 (n+1)]  
FOSC/[16 (n+1)]  
FOSC/[4 (n+1)]  
Legend: x= Don’t care, n = value of SPBRGH:SPBRG register pair  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 225  
 
 
 
 
 
 
PIC18F2331/2431/4331/4431  
EXAMPLE 19-1:  
CALCULATING BAUD RATE ERROR  
Foradevice withFOSC of16MHz,desiredbaudrateof9600,Asynchronous mode,8-bitBRG:  
Desired Baud Rate= FOSC / (64 ([SPBRGH:SPBRG] + 1))  
Solving for SPBRGH:SPBRG:  
X
=
=
=
((Fosc / Desired Baud Rate)/64) – 1  
((16000000 / 9600) / 64) – 1  
[25.042] = 25  
Calculated Baud Rate=16000000 / (64 (25 + 1))  
=
=
=
9615  
Error  
(Calculated Baud Rate – Desired Baud Rate) / Desired Baud Rate  
(9615 – 9600) / 9600 = 0.16%  
TABLE 19-2: REGISTERS ASSOCIATED WITH BAUD RATE GENERATOR  
Value on  
POR, BOR  
Value on all  
other Resets  
Name  
Bit 7  
Bit 6  
Bit 5  
Bit 4  
Bit 3  
Bit 2  
Bit 1  
Bit 0  
TXSTA  
CSRC  
SPEN  
TX9  
RX9  
TXEN  
SREN  
SYNC  
SENDB BRGH  
TRMT  
OERR  
TX9D  
RX9D  
0000 -010  
0000 -00x  
0000 -010  
0000 -00x  
-1-1 0-00  
0000 0000  
0000 0000  
RCSTA  
CREN ADDEN  
SCKP BRG16  
FERR  
BAUDCTL  
RCIDL  
WUE ABDEN -1-1 0-00  
0000 0000  
SPBRGH Baud Rate Generator Register, High Byte  
SPBRG Baud Rate Generator Register, Low Byte  
0000 0000  
Legend: x= unknown, – = unimplemented, read as ‘0’. Shaded cells are not used by the BRG.  
TABLE 19-3: BAUD RATES FOR ASYNCHRONOUS MODES  
SYNC = 0, BRGH = 0, BRG16 = 0  
BAUD  
RATE  
(K)  
FOSC = 40.000 MHz  
FOSC = 20.000 MHz  
FOSC = 10.000 MHz  
FOSC = 8.000 MHz  
Actual  
Rate  
(K)  
SPBRG Actual  
value  
SPBRG Actual  
value  
(decimal)  
SPBRG Actual  
value  
(decimal)  
SPBRG  
value  
%
%
Error  
%
Error  
%
Error  
Rate  
(K)  
Rate  
(K)  
Rate  
(K)  
Error  
(decimal)  
(decimal)  
0.3  
1.2  
255  
129  
31  
15  
4
129  
64  
15  
7
1201  
2403  
9615  
-0.16  
-0.16  
-0.16  
103  
51  
12  
1.221  
1.73  
0.16  
1.73  
1.73  
8.51  
-9.58  
1.202  
2.404  
9.766  
19.531  
52.083  
78.125  
0.16  
0.16  
1.73  
1.73  
-9.58  
-32.18  
2.4  
2.441  
9.615  
19.531  
56.818  
125.000  
1.73  
0.16  
1.73  
-1.36  
8.51  
255  
64  
31  
10  
4
2.404  
9.6  
9.766  
19.2  
57.6  
115.2  
19.531  
62.500  
104.167  
2
2
1
SYNC = 0, BRGH = 0, BRG16 = 0  
FOSC = 2.000 MHz  
BAUD  
RATE  
(K)  
FOSC = 4.000 MHz  
FOSC = 1.000 MHz  
Actual  
Rate  
(K)  
SPBRG Actual  
SPBRG Actual  
value  
SPBRG  
value  
(decimal)  
%
Error  
%
%
Error  
value  
Rate  
(K)  
Rate  
(K)  
Error  
(decimal)  
(decimal)  
0.3  
1.2  
0.300  
1.202  
0.16  
0.16  
207  
51  
25  
6
300  
1201  
2403  
-0.16  
-0.16  
-0.16  
103  
25  
12  
300  
1201  
-0.16  
-0.16  
51  
12  
2.4  
2.404  
0.16  
9.6  
8.929  
-6.99  
8.51  
19.2  
57.6  
115.2  
20.833  
62.500  
62.500  
2
8.51  
0
-45.75  
0
DS39616B-page 226  
Preliminary  
2003 Microchip Technology Inc.  
 
 
 
PIC18F2331/2431/4331/4431  
TABLE 19-3: BAUD RATES FOR ASYNCHRONOUS MODES (CONTINUED)  
SYNC = 0, BRGH = 1, BRG16 = 0  
FOSC = 20.000 MHz FOSC = 10.000 MHz  
BAUD  
RATE  
(K)  
FOSC = 40.000 MHz  
FOSC = 8.000 MHz  
Actual  
Rate  
(K)  
SPBRG Actual  
SPBRG Actual  
SPBRG Actual  
value  
SPBRG  
value  
(decimal)  
%
Error  
%
%
%
Error  
value  
(decimal)  
Rate  
(K)  
value  
Rate  
(K)  
Rate  
(K)  
Error  
Error  
(decimal)  
(decimal)  
2.4  
9.6  
255  
129  
42  
129  
64  
2.441  
9.615  
1.73  
0.16  
1.73  
-1.36  
8.51  
255  
64  
31  
10  
4
2403  
9615  
19230  
55555  
-0.16  
-0.16  
-0.16  
3.55  
207  
51  
25  
8
9.766  
1.73  
0.16  
0.94  
-1.36  
9.615  
0.16  
0.16  
-1.36  
-1.36  
19.2  
57.6  
115.2  
19.231  
58.140  
113.636  
19.231  
56.818  
113.636  
19.531  
56.818  
125.000  
21  
21  
10  
SYNC = 0, BRGH = 1, BRG16 = 0  
FOSC = 2.000 MHz  
BAUD  
RATE  
(K)  
FOSC = 4.000 MHz  
FOSC = 1.000 MHz  
Actual  
Rate  
(K)  
SPBRG Actual  
SPBRG Actual  
value  
SPBRG  
value  
(decimal)  
%
Error  
%
%
Error  
value  
Rate  
(K)  
Rate  
(K)  
Error  
(decimal)  
(decimal)  
0.3  
1.2  
207  
103  
25  
12  
3
1201  
2403  
9615  
-0.16  
-0.16  
-0.16  
103  
51  
12  
300  
1201  
2403  
-0.16  
-0.16  
-0.16  
207  
51  
25  
1.202  
0.16  
0.16  
0.16  
0.16  
8.51  
8.51  
2.4  
2.404  
9.6  
9.615  
19.2  
57.6  
115.2  
19.231  
62.500  
125.000  
1
SYNC = 0, BRGH = 0, BRG16 = 1  
BAUD  
RATE  
(K)  
FOSC = 40.000 MHz  
FOSC = 20.000 MHz  
FOSC = 10.000 MHz  
FOSC = 8.000 MHz  
Actual  
Rate  
(K)  
SPBRG Actual  
value  
(decimal)  
SPBRG Actual  
value  
(decimal)  
SPBRG Actual  
value  
(decimal)  
SPBRG  
value  
%
Error  
%
Error  
%
Error  
%
Error  
Rate  
(K)  
Rate  
(K)  
Rate  
(K)  
(decimal)  
0.3  
1.2  
0.300  
1.200  
0.00  
0.02  
0.06  
0.16  
0.16  
0.94  
-1.36  
8332  
2082  
1040  
259  
129  
42  
0.300  
1.200  
0.02  
-0.03  
-0.03  
0.16  
4165  
1041  
520  
129  
64  
0.300  
1.200  
0.02  
-0.03  
0.16  
0.16  
1.73  
-1.36  
8.51  
2082  
520  
259  
64  
300  
1201  
2403  
9615  
19230  
55555  
-0.04  
-0.16  
-0.16  
-0.16  
-0.16  
3.55  
1665  
415  
207  
51  
2.4  
2.402  
2.399  
2.404  
9.6  
9.615  
9.615  
9.615  
19.2  
57.6  
115.2  
19.231  
58.140  
113.636  
19.231  
56.818  
113.636  
0.16  
19.531  
56.818  
125.000  
31  
25  
-1.36  
-1.36  
21  
10  
8
21  
10  
4
SYNC = 0, BRGH = 0, BRG16 = 1  
FOSC = 2.000 MHz  
BAUD  
RATE  
(K)  
FOSC = 4.000 MHz  
FOSC = 1.000 MHz  
Actual  
Rate  
(K)  
SPBRG Actual  
SPBRG Actual  
value  
SPBRG  
value  
(decimal)  
%
Error  
%
%
Error  
value  
Rate  
(K)  
Rate  
(K)  
Error  
(decimal)  
(decimal)  
0.3  
1.2  
0.300  
1.202  
0.04  
0.16  
0.16  
0.16  
0.16  
8.51  
8.51  
832  
207  
103  
25  
12  
3
300  
1201  
2403  
9615  
-0.16  
-0.16  
-0.16  
-0.16  
415  
103  
51  
12  
300  
1201  
2403  
-0.16  
-0.16  
-0.16  
207  
51  
25  
2.4  
2.404  
9.6  
9.615  
19.2  
57.6  
115.2  
19.231  
62.500  
125.000  
1
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 227  
PIC18F2331/2431/4331/4431  
TABLE 19-3: BAUD RATES FOR ASYNCHRONOUS MODES (CONTINUED)  
SYNC = 0, BRGH = 1, BRG16 = 1 or SYNC = 1, BRG16 = 1  
BAUD  
FOSC = 40.000 MHz  
FOSC = 20.000 MHz  
FOSC = 10.000 MHz  
FOSC = 8.000 MHz  
RATE  
(K)  
Actual  
Rate  
(K)  
SPBRG Actual  
SPBRG Actual  
SPBRG Actual  
value  
SPBRG  
value  
(decimal)  
%
%
%
%
Error  
value  
Rate  
(K)  
value  
Rate  
(K)  
Rate  
(K)  
Error  
Error  
Error  
(decimal)  
(decimal)  
(decimal)  
0.3  
1.2  
0.300  
1.200  
0.00  
0.00  
0.02  
0.06  
-0.03  
0.35  
-0.22  
33332  
8332  
4165  
1040  
520  
0.300  
1.200  
0.00  
0.02  
0.02  
-0.03  
0.16  
-0.22  
0.94  
16665  
4165  
2082  
520  
259  
86  
0.300  
1.200  
0.00  
0.02  
0.06  
0.16  
0.16  
0.94  
-1.36  
8332  
2082  
1040  
259  
129  
42  
300  
1200  
-0.01  
-0.04  
-0.04  
-0.16  
-0.16  
0.79  
6665  
1665  
832  
207  
103  
34  
2.4  
2.400  
2.400  
2.402  
2400  
9.6  
9.606  
9.596  
9.615  
9615  
19.2  
57.6  
115.2  
19.193  
57.803  
114.943  
19.231  
57.471  
116.279  
19.231  
58.140  
113.636  
19230  
57142  
117647  
172  
86  
42  
21  
-2.12  
16  
SYNC = 0, BRGH = 1, BRG16 = 1 or SYNC = 1, BRG16 = 1  
FOSC = 4.000 MHz FOSC = 2.000 MHz FOSC = 1.000 MHz  
BAUD  
RATE  
(K)  
Actual  
Rate  
(K)  
SPBRG Actual  
SPBRG Actual  
SPBRG  
value  
(decimal)  
%
Error  
%
Error  
%
Error  
value  
Rate  
(K)  
value  
Rate  
(K)  
(decimal)  
(decimal)  
0.3  
1.2  
0.300  
1.200  
0.01  
0.04  
0.16  
0.16  
0.16  
2.12  
-3.55  
3332  
832  
415  
103  
51  
300  
1201  
2403  
9615  
19230  
55555  
-0.04  
-0.16  
-0.16  
-0.16  
-0.16  
3.55  
1665  
415  
207  
51  
300  
1201  
2403  
9615  
19230  
-0.04  
-0.16  
-0.16  
-0.16  
-0.16  
832  
207  
103  
25  
2.4  
2.404  
9.6  
9.615  
19.2  
57.6  
115.2  
19.231  
58.824  
111.111  
25  
12  
16  
8
8
DS39616B-page 228  
Preliminary  
2003 Microchip Technology Inc.  
PIC18F2331/2431/4331/4431  
carry occurred for 8-bit modes, by checking for 00h in  
the SPBRGH register. Refer to Table 19-4 for counter  
clock rates to the BRG.  
19.2.3  
AUTO-BAUD RATE DETECT  
The enhanced USART module supports the automatic  
detection and calibration of baud rate. This feature is  
active only in Asynchronous mode and while the WUE  
bit is clear.  
While the ABD sequence takes place, the USART state  
machine is held in Idle. The RCIF interrupt is set once  
the fifth rising edge on RX is detected. The value in the  
RCREG needs to be read to clear the RCIF interrupt.  
RCREG content should be discarded.  
The automatic baud rate measurement sequence  
(Figure 19-1) begins whenever a Start bit is received  
and the ABDEN bit is set. The calculation is self-  
averaging.  
Note 1: If the WUE bit is set with the ABDEN bit,  
auto-baud rate detection will occur on the  
byte following the Break character (see  
In the Auto-Baud Rate Detect (ABD) mode, the clock to  
the BRG is reversed. Rather than the BRG clocking the  
incoming RX signal, the RX signal is timing the BRG. In  
ABD mode, the internal Baud Rate Generator is used  
as a counter to time the bit period of the incoming serial  
byte stream.  
Section 19.3.4  
“Auto-Wake-up  
on  
SYNC BREAK Character”).  
2: It is up to the user to determine that the  
incoming character baud rate is within the  
range of the selected BRG clock source.  
Some combinations of oscillator fre-  
quency and USART baud rates are not  
possible due to bit error rates. Overall  
system timing and communication baud  
rates must be taken into consideration  
when using the Auto-Baud Rate Detec-  
tion feature.  
Once the ABDEN bit is set, the state machine will clear  
the BRG and look for a Start bit. The Auto-Baud Detect  
must receive a byte with the value 55h (ASCII “U”,  
which is also the LIN bus Sync character), in order to  
calculate the proper bit rate. The measurement takes  
over both a low and a high bit time in order to minimize  
any effects caused by asymmetry of the incoming  
signal. After a Start bit, the SPBRG begins counting up  
using the preselected clock source on the first rising  
edge of RX. After eight bits on the RX pin, or the fifth  
rising edge, an accumulated value totalling the proper  
BRG period is left in the SPBRGH:SPBRG registers.  
Once the 5th edge is seen (should correspond to the  
Stop bit), the ABDEN bit is automatically cleared.  
TABLE 19-4: BRG COUNTER CLOCK  
RATES  
BRG16 BRGH  
BRG Counter Clock  
0
0
0
1
FOSC/512  
FOSC/256  
While calibrating the baud rate period, the BRG regis-  
ters are clocked at 1/8th the pre-configured clock rate.  
Note that the BRG clock will be configured by the  
BRG16 and BRGH bits. Independent of the BRG16 bit  
setting, both the SPBRG and SPBRGH will be used as  
a 16-bit counter. This allows the user to verify that no  
1
1
0
1
FOSC/128  
FOSC/32  
Note:  
During the ABD sequence, SPBRG and  
SPBRGH are both used as a 16-bit  
counter, independent of BRG16 setting.  
FIGURE 19-1:  
AUTOMATIC BAUD RATE CALCULATION  
XXXXh  
0000h  
001Ch  
BRG Value  
Edge #2  
Bit 3  
Edge #3  
Bit 5  
Edge #4  
Bit 7  
Bit 6  
Edge #5  
Stop Bit  
Edge #1  
RX pin  
Bit 1  
Start  
Bit 0  
Bit 2  
Bit 4  
BRG Clock  
Auto-Cleared  
Set by User  
ABDEN bit  
RCIF bit  
(Interrupt)  
Read  
RCREG  
XXXXh  
XXXXh  
1Ch  
00h  
SPBRG  
SPBRGH  
Note 1: The ABD sequence requires the USART module to be configured in Asynchronous mode and WUE = 0.  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 229  
 
 
 
PIC18F2331/2431/4331/4431  
becomes valid in the second instruction cycle following  
the load instruction. Polling TXIF immediately following  
a load of TXREG will return invalid results.  
19.3 USART Asynchronous Mode  
The Asynchronous mode of operation is selected by  
clearing the SYNC bit (TXSTA<4>). In this mode, the  
USART uses standard non-return-to-zero (NRZ) format  
(one Start bit, eight or nine data bits and one Stop bit).  
The most common data format is 8 bits. An on-chip  
dedicated 8-bit/16-bit baud rate generator can be used  
to derive standard baud rate frequencies from the  
oscillator.  
While flag bit TXIF indicates the status of the TXREG  
register, another bit, TRMT (TXSTA<1>), shows the  
status of the TSR register. Status bit TRMT is a read-  
only bit, which is set when the TSR register is empty.  
No interrupt logic is tied to this bit, so the user has to  
poll this bit in order to determine if the TSR register is  
empty.  
The USART transmits and receives the LSb first. The  
USART’s transmitter and receiver are functionally inde-  
pendent, but use the same data format and baud rate.  
The baud rate generator produces a clock, either x16  
or x64 of the bit shift rate, depending on the BRGH and  
BRG16 bits (TXSTA<2> and BAUDCTL<3>). Parity is  
not supported by the hardware, but can be  
implemented in software and stored as the 9th data bit.  
Note 1: The TSR register is not mapped in data  
memory, so it is not available to the user.  
2: Flag bit TXIF is set when enable bit TXEN  
is set.  
To set up an Asynchronous Transmission:  
1. Initialize the SPBRGH:SPBRG registers for the  
appropriate baud rate. Set or clear the BRGH  
and BRG16 bits, as required, to achieve the  
desired baud rate.  
Asynchronous mode is available in all low-power  
modes; it is available in Sleep mode only when Auto-  
Wake-up on Sync Break is enabled. When in PRI_IDLE  
mode, no changes to the baud rate generator values  
are required; however, other low-power mode clocks  
may operate at another frequency than the primary  
clock. Therefore, the baud rate generator values may  
need to be adjusted.  
2. Enable the asynchronous serial port by clearing  
bit SYNC and setting bit SPEN.  
3. If interrupts are desired, set enable bit TXIE.  
4. If 9-bit transmission is desired, set transmit bit  
TX9. Can be used as address/data bit.  
5. Enable the transmission by setting bit TXEN,  
which will also set bit TXIF.  
When operating in Asynchronous mode, the USART  
module consists of the following important elements:  
6. If 9-bit transmission is selected, the ninth bit  
should be loaded in bit TX9D.  
• Baud Rate Generator  
• Sampling Circuit  
7. Load data to the TXREG register (starts  
transmission).  
• Asynchronous Transmitter  
• Asynchronous Receiver  
If using interrupts, ensure that the GIE and PEIE bits in  
the INTCON register (INTCON<7:6>) are set.  
• Auto-Wake-up on Sync Break Character  
• 12-bit Break Character Transmit  
• Auto-Baud Rate Detection  
19.3.1  
USART ASYNCHRONOUS  
TRANSMITTER  
The USART transmitter block diagram is shown in  
Figure 19-2. The heart of the transmitter is the Transmit  
(serial) Shift Register (TSR). The shift register obtains  
its data from the read/write transmit buffer, TXREG. The  
TXREG register is loaded with data in software. The  
TSR register is not loaded until the Stop bit has been  
transmitted from the previous load. As soon as the Stop  
bit is transmitted, the TSR is loaded with new data from  
the TXREG register (if available).  
Once the TXREG register transfers the data to the TSR  
register (occurs in one TCY), the TXREG register is  
empty and flag bit TXIF (PIR1<4>) is set. This interrupt  
can be enabled/disabled by setting/clearing enable bit  
TXIE (PIE1<4>). Flag bit TXIF will be set, regardless of  
the state of enable bit TXIE and cannot be cleared in  
software. Flag bit TXIF is not cleared immediately upon  
loading the transmit buffer register TXREG. TXIF  
DS39616B-page 230  
Preliminary  
2003 Microchip Technology Inc.  
 
 
PIC18F2331/2431/4331/4431  
FIGURE 19-2:  
USART TRANSMIT BLOCK DIAGRAM  
Data Bus  
TXREG Register  
TXIF  
TXIE  
8
RC6/TX/CK/SS pin  
MSb  
(8)  
LSb  
0
Pin Buffer  
and Control  
TSR Register  
Interrupt  
Baud Rate CLK  
SPBRG  
TXEN  
TRMT  
SPEN  
BRG16  
SPBRGH  
TX9  
TX9D  
Baud Rate Generator  
FIGURE 19-3:  
ASYNCHRONOUS TRANSMISSION  
Write to TXREG  
Word 1  
BRG Output  
(Shift Clock)  
RC6/TX/CK/SS  
(pin)  
Start bit  
bit 0  
bit 1  
Word 1  
bit 7/8  
Stop bit  
TXIF bit  
(Transmit Buffer  
Reg. Empty Flag)  
1 TCY  
Word 1  
Transmit Shift Reg  
TRMT bit  
(Transmit Shift  
Reg. Empty Flag)  
FIGURE 19-4:  
ASYNCHRONOUS TRANSMISSION (BACK TO BACK)  
Write to TXREG  
Word 2  
Start bit  
Word 1  
BRG Output  
(Shift Clock)  
RC6/TX/CK/SS  
(pin)  
Start bit  
Word 2  
bit 0  
bit 1  
bit 7/8  
bit 0  
Stop bit  
1 TCY  
Word 1  
TXIF bit  
(Interrupt Reg. Flag)  
1 TCY  
TRMT bit  
(Transmit Shift  
Reg. Empty Flag)  
Word 1  
Transmit Shift Reg.  
Word 2  
Transmit Shift Reg.  
Note:  
This timing diagram shows two consecutive transmissions.  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 231  
 
 
 
 
PIC18F2331/2431/4331/4431  
TABLE 19-5: REGISTERS ASSOCIATED WITH ASYNCHRONOUS TRANSMISSION  
Value on  
all other  
Resets  
Value on  
POR, BOR  
Name  
Bit 7  
Bit 6  
Bit 5  
Bit 4  
Bit 3  
Bit 2  
Bit 1  
Bit 0  
INTCON  
PIR1  
GIE/GIEH PEIE/GIEL TMR0IE INT0IE  
RBIE  
TMR0IF INT0IF  
RBIF  
0000 000x 0000 000u  
-000 -000 -000 -000  
-000 -000 -000 -000  
-111 -111 -111 -111  
0000 -00x 0000 -00x  
0000 0000 0000 0000  
0000 0010 0000 0010  
-1-1 0-00 -1-1 0-00  
0000 0000 0000 0000  
0000 0000 0000 0000  
ADIF  
ADIE  
ADIP  
RX9  
RCIF  
RCIE  
RCIP  
SREN  
TXIF  
TXIE  
TXIP  
CCP1IF TMR2IF TMR1IF  
CCP1IE TMR2IE TMR1IE  
CCP1IP TMR2IP TMR1IP  
PIE1  
IPR1  
RCSTA  
TXREG  
TXSTA  
BAUDCTL  
SPEN  
CREN ADDEN  
FERR  
OERR  
RX9D  
USART Transmit Register  
CSRC  
TX9  
TXEN  
SYNC SENDB BRGH  
SCKP BRG16  
TRMT  
WUE  
TX9D  
RCIDL  
ABDEN  
SPBRGH Baud Rate Generator Register, High Byte  
SPBRG  
Baud Rate Generator Register, Low Byte  
Legend:  
x= unknown, = unimplemented locations read as ‘0’. Shaded cells are not used for Asynchronous Transmission.  
DS39616B-page 232  
Preliminary  
2003 Microchip Technology Inc.  
 
PIC18F2331/2431/4331/4431  
19.3.2  
USART ASYNCHRONOUS  
RECEIVER  
19.3.3  
SETTING UP 9-BIT MODE WITH  
ADDRESS DETECT  
The receiver block diagram is shown in Figure 19-5.  
The data is received on the RC7/RX/DT/SDO pin and  
drives the data recovery block. The data recovery block  
is actually a high-speed shifter operating at x16 times  
the baud rate, whereas the main receive serial shifter  
operates at the bit rate or at FOSC. This mode would  
typically be used in RS-232 systems.  
This mode would typically be used in RS-485 systems.  
To set up an Asynchronous Reception with Address  
Detect Enable:  
1. Initialize the SPBRGH:SPBRG registers for the  
appropriate baud rate. Set or clear the BRGH  
and BRG16 bits, as required, to achieve the  
desired baud rate.  
To set up an Asynchronous Reception:  
2. Enable the asynchronous serial port by clearing  
the SYNC bit and setting the SPEN bit.  
1. Initialize the SPBRGH:SPBRG registers for the  
appropriate baud rate. Set or clear the BRGH  
and BRG16 bits, as required, to achieve the  
desired baud rate.  
3. If interrupts are required, set the RCEN bit and  
select the desired priority level with the RCIP bit.  
4. Set the RX9 bit to enable 9-bit reception.  
5. Set the ADDEN bit to enable address detect.  
6. Enable reception by setting the CREN bit.  
2. Enable the asynchronous serial port by clearing  
bit SYNC and setting bit SPEN.  
3. If interrupts are desired, set enable bit RCIE.  
4. If 9-bit reception is desired, set bit RX9.  
5. Enable the reception by setting bit CREN.  
7. The RCIF bit will be set when reception is com-  
plete. The interrupt will be Acknowledged if the  
RCIE and GIE bits are set.  
6. Flag bit RCIF will be set when reception is com-  
plete and an interrupt will be generated if enable  
bit RCIE was set.  
8. Read the RCSTA register to determine if any  
error occurred during reception, as well as read  
bit 9 of data (if applicable).  
7. Read the RCSTA register to get the 9th bit (if  
enabled) and determine if any error occurred  
during reception.  
9. Read RCREG to determine if the device is being  
addressed.  
10. If any error occurred, clear the CREN bit.  
8. Read the 8-bit received data by reading the  
RCREG register.  
11. If the device has been addressed, clear the  
ADDEN bit to allow all received data into the  
receive buffer and interrupt the CPU.  
9. If any error occurred, clear the error by clearing  
enable bit CREN.  
10. If using interrupts, ensure that the GIE and PEIE  
bits in the INTCON register (INTCON<7:6>) are  
set.  
FIGURE 19-5:  
USART RECEIVE BLOCK DIAGRAM  
CREN  
OERR  
FERR  
x64 Baud Rate CLK  
SPBRGH SPBRG  
÷ 64  
RSR Register  
• • •  
MSb  
Stop  
LSb  
BRG16  
or  
÷ 16  
Start  
(8)  
7
1
0
or  
Baud Rate Generator  
÷ 4  
RX9  
RC7/RX/DT/SDO  
Pin Buffer  
and Control  
Data  
Recovery  
RX9D  
RCREG Register  
FIFO  
SPEN  
8
Interrupt  
RCIF  
RCIE  
Data Bus  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 233  
 
 
 
 
PIC18F2331/2431/4331/4431  
To set up an Asynchronous Transmission:  
4. If 9-bit transmission is desired, set transmit bit  
TX9. Can be used as address/data bit.  
1. Initialize the SPBRG register for the appropriate  
baud rate. If a high-speed baud rate is desired,  
set bit BRGH (see Section 19.2 “USART Baud  
Rate Generator (BRG)”).  
5. Enable the transmission by setting bit TXEN,  
which will also set bit TXIF.  
6. If 9-bit transmission is selected, the ninth bit  
should be loaded in bit TX9D.  
2. Enable the asynchronous serial port by clearing  
bit SYNC and setting bit SPEN.  
7. Load data to the TXREG register (starts  
transmission).  
3. If interrupts are desired, set enable bit TXIE.  
If using interrupts, ensure that the GIE and PEIE bits in  
the INTCON register (INTCON<7:6>) are set.  
FIGURE 19-6:  
ASYNCHRONOUS RECEPTION  
Start  
bit  
Start  
bit  
Start  
bit  
RX (pin)  
bit0  
bit1  
Stop  
bit  
Stop  
bit  
bit7/8 Stop  
bit  
bit0  
bit7/8  
bit7/8  
Rcv Shift  
Reg  
Rcv Buffer Reg  
Word 2  
RCREG  
Word 1  
RCREG  
Read Rcv  
Buffer Reg  
RCREG  
RCIF  
(Interrupt Flag)  
OERR bit  
CREN  
Note:  
This timing diagram shows three words appearing on the RX input. The RCREG (receive buffer) is read after  
the third word, causing the OERR (overrun) bit to be set.  
TABLE 19-6: REGISTERS ASSOCIATED WITH ASYNCHRONOUS RECEPTION  
Value on  
all other  
Resets  
Value on  
POR, BOR  
Name  
Bit 7  
Bit 6  
Bit 5  
Bit 4  
Bit 3  
Bit 2  
Bit 1  
Bit 0  
INTCON  
PIR1  
GIE/GIEH PEIE/GIEL TMR0IE INT0IE  
RBIE  
TMR0IF INT0IF  
RBIF  
0000 000x  
0000 000u  
-000 -000  
-000 -000  
-111 -111  
ADIF  
ADIE  
ADIP  
RX9  
RCIF  
RCIE  
RCIP  
SREN  
TXIF  
TXIE  
TXIP  
CCP1IF TMR2IF TMR1IF -000 -000  
CCP1IE TMR2IE TMR1IE -000 -000  
CCP1IP TMR2IP TMR1IP -111 -111  
PIE1  
IPR1  
RCSTA  
SPEN  
CREN ADDEN FERR  
OERR  
RX9D  
0000 -00x  
0000 -00x  
RCREG  
USART Receive Register  
0000 0000  
0000 0010  
0000 0000  
0000 0010  
-1-1 0-00  
0000 0000  
0000 0000  
TXSTA  
CSRC  
TX9  
TXEN  
SYNC SENDB BRGH  
TRMT  
WUE  
TX9D  
BAUDCTL  
RCIDL  
SCKP BRG16  
ABDEN -1-1 0-00  
0000 0000  
SPBRGH Baud Rate Generator Register, High Byte  
SPBRG  
Baud Rate Generator Register, Low Byte  
0000 0000  
Legend:  
x= unknown, – = unimplemented locations read as ‘0’. Shaded cells are not used for Asynchronous Reception.  
DS39616B-page 234  
Preliminary  
2003 Microchip Technology Inc.  
 
 
PIC18F2331/2431/4331/4431  
properly, therefore, the initial character in the  
transmission must be all ‘0’s. This can be 00h (8 bytes)  
for standard RS-232 devices, or 000h (12 bits) for LIN  
bus.  
19.3.4  
AUTO-WAKE-UP ON SYNC BREAK  
CHARACTER  
During Sleep mode, all clocks to the USART are sus-  
pended. Because of this, the baud rate generator is  
inactive and a proper byte reception cannot be per-  
formed. The Auto-Wake-up feature allows the control-  
ler to wake-up due to activity on the RX/DT line, while  
the USART is operating in Asynchronous mode.  
Oscillator start-up time must also be considered, espe-  
cially in applications using oscillators with longer start-  
up intervals (i.e., LP, XT or HS/PLL mode). The sync  
break (or wake-up signal) character must be of suffi-  
cient length, and be followed by a sufficient interval, to  
allow enough time for the selected oscillator to start  
and provide proper initialization of the USART.  
The Auto-Wake-up feature is enabled by setting the  
WUE bit (BAUDCTL<1>). Once set, the typical receive  
sequence on RX/DT is disabled, and the USART  
remains in an Idle state, monitoring for a wake-up event  
independent of the CPU mode. A wake-up event con-  
sists of a high-to-low transition on the RX/DT line. (This  
coincides with the start of a Sync Break or a Wake-up  
Signal character for the LIN protocol.)  
19.3.4.2  
Special Considerations Using the  
WUE Bit  
The timing of WUE and RCIF events may cause some  
confusion when it comes to determining the validity of  
received data. As noted, setting the WUE bit places the  
USART in an Idle mode. The wake-up event causes a  
receive interrupt by setting the RCIF bit. The WUE bit  
is cleared after this when a rising edge is seen on RX/  
DT. The interrupt condition is then cleared by reading  
the RCREG register. Ordinarily, the data in RCREG will  
be dummy data and should be discarded.  
Following a wake-up event, the module generates an  
RCIF interrupt. The interrupt is generated synchro-  
nously to the Q clocks in normal operating modes  
(Figure 19-7), and asynchronously if the device is in  
Sleep mode (Figure 19-8). The interrupt condition is  
cleared by reading the RCREG register.  
The WUE bit is automatically cleared once a low-to-  
high transition is observed on the RX line, following the  
wake-up event. At this point, the USART module is in  
Idle mode and returns to normal operation. This signals  
to the user that the Sync Break event is over.  
The fact that the WUE bit has been cleared (or is still  
set) and the RCIF flag is set should not be used as an  
indicator of the integrity of the data in RCREG. Users  
should consider implementing a parallel method in  
firmware to verify received data integrity.  
To assure that no actual data is lost, check the RCIDL  
bit to verify that a receive operation is not in process. If  
a receive operation is not occurring, the WUE bit may  
then be set just prior to entering the Sleep mode.  
19.3.4.1  
Special Considerations Using  
Auto-Wake-up  
Since Auto-Wake-up functions by sensing rising edge  
transitions on RX/DT, information with any state  
changes before the Stop bit may signal a false end-of-  
character and cause data or framing errors. To work  
FIGURE 19-7:  
AUTO-WAKE-UP BIT (WUE) TIMINGS DURING NORMAL OPERATION  
Q1 Q2 Q3 Q4 Q1 Q2 Q3 Q4 Q1 Q2 Q3 Q4 Q1 Q2 Q3 Q4 Q1 Q2 Q3 Q4 Q1 Q2 Q3 Q4 Q1 Q2 Q3 Q4 Q1 Q2 Q3 Q4 Q1 Q2 Q3 Q4 Q1 Q2 Q3 Q4  
OSC1  
WUE bit  
Auto-Cleared  
Bit Set by User  
RX/DT Line  
RCIF  
Cleared due to User Read of RCREG  
Note 1: The USART remains in Idle while the WUE bit is set.  
FIGURE 19-8:  
AUTO-WAKE-UP BIT (WUE) TIMINGS DURING SLEEP  
Q1 Q2 Q3 Q4 Q1 Q2 Q3 Q4 Q1 Q2 Q3 Q4  
Q1  
Q2 Q3 Q4 Q1 Q2 Q3 Q4 Q1 Q2 Q3 Q4 Q1 Q2 Q3 Q4 Q1 Q2 Q3 Q4  
OSC1  
WUE bit  
Auto-Cleared  
Bit Set by User  
RX/DT Line  
RCIF  
Note 1  
Cleared due to User Read of RCREG  
Sleep Ends  
Sleep Command Executed  
Note 1: If the wake-up event requires long oscillator warm-up time, the auto-clear of the WUE bit can occur while the stposc signal is still active.  
This sequence should not depend on the presence of Q clocks.  
2: The USART remains in Idle while the WUE bit is set.  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 235  
 
 
 
 
 
 
PIC18F2331/2431/4331/4431  
19.3.5  
BREAK CHARACTER SEQUENCE  
19.3.5.1  
Break and Sync Transmit Sequence  
The enhanced USART module has the capability of  
sending the special break character sequences that  
are required by the LIN bus standard. The break char-  
acter transmit consists of a Start bit, followed by 12 ‘0’  
bits and a Stop bit. The frame break character is sent  
whenever the SENDB and TXEN bits (TXSTA<3> and  
TXSTA<5>) are set, while the transmit shift register is  
loaded with data. Note that the value of data written to  
TXREG will be ignored and all ‘0’s will be transmitted.  
The following sequence will send a message frame  
header made up of a break, followed by an auto-baud  
sync byte. This sequence is typical of a LIN bus master.  
1. Configure the USART for the desired mode.  
2. Set the TXEN and SENDB bits to setup the  
break character.  
3. Load the TXREG with a dummy character to  
initiate transmission (the value is ignored).  
4. Write ‘55h’ to TXREG to load the Sync character  
into the transmit FIFO buffer.  
The SENDB bit is automatically reset by hardware after  
the corresponding Stop bit is sent. This allows the user  
to preload the transmit FIFO with the next transmit byte  
following the break character (typically, the sync char-  
acter in the LIN specification).  
5. After the break has been sent, the SENDB bit is  
reset by hardware. The sync character now  
transmits in the Pre-Configured mode.  
When the TXREG becomes empty, as indicated by the  
TXIF, the next data byte can be written to TXREG.  
Note that the data value written to the TXREG for the  
break character is ignored. The write simply serves the  
purpose of initiating the proper sequence.  
19.3.6  
RECEIVING A BREAK CHARACTER  
The TRMT bit indicates when the transmit operation is  
active or idle, just as it does during normal transmis-  
sion. See Figure 19-9 for the timing of the break  
character sequence.  
The enhanced USART module can receive a break  
character in two ways.  
The first method forces to configure the baud rate at a  
frequency of 9/13 the typical speed. This allows for the  
Stop bit transition to be at the correct sampling location  
(13 bits for break versus Start bit and 8 data bits for typ-  
ical data).  
The second method uses the auto-wake-up feature  
described in Section 19.3.4 “Auto-Wake-up on  
SYNC BREAK Character”. By enabling this feature,  
the USART will sample the next two transitions on RX/  
DT, cause an RCIF interrupt, and receive the next data  
byte followed by another interrupt.  
Note that following a break character, the user will  
typically want to enable the auto-baud rate detect  
feature. For both methods, the user can set the ABD bit  
before placing the USART in its Sleep mode.  
FIGURE 19-9:  
SEND BREAK CHARACTER SEQUENCE  
Write to TXREG  
Dummy Write  
BRG Output  
(Shift Clock)  
TX (pin)  
Start Bit  
Bit 0  
Bit 1  
Break  
Bit 11  
Stop Bit  
TXIF bit  
(Transmit Buffer  
Reg. Empty Flag)  
TRMT bit  
(Transmit Shift  
Reg. Empty Flag)  
SENDB Sampled Here  
Auto-Cleared  
SENDB  
(Transmit Shift  
Reg. Empty Flag)  
DS39616B-page 236  
Preliminary  
2003 Microchip Technology Inc.  
 
 
 
PIC18F2331/2431/4331/4431  
Once the TXREG register transfers the data to the TSR  
register (occurs in one TCYCLE), the TXREG is empty  
and interrupt bit TXIF (PIR1<4>) is set. The interrupt  
19.4 USART Synchronous Master  
Mode  
The Synchronous Master mode is entered by setting  
the CSRC bit (TXSTA<7>). In this mode, the data is  
transmitted in a half-duplex manner (i.e., transmission  
and reception do not occur at the same time). When  
transmitting data, the reception is inhibited and vice  
versa. Synchronous mode is entered by setting bit  
SYNC (TXSTA<4>). In addition, enable bit SPEN  
(RCSTA<7>) is set in order to configure the RC6/TX/  
CK/SS and RC7/RX/DT/SDO I/O pins to CK (clock)  
and DT (data) lines, respectively.  
can be enabled/disabled by setting/clearing enable bit  
TXIE (PIE1<4>). Flag bit TXIF will be set, regardless of  
the state of enable bit TXIE, and cannot be cleared in  
software. It will reset only when new data is loaded into  
the TXREG register.  
While flag bit TXIF indicates the status of the TXREG  
register, another bit, TRMT (TXSTA<1>), shows the  
status of the TSR register. TRMT is a read-only bit,  
which is set when the TSR is empty. No interrupt logic  
is tied to this bit, so the user must poll this bit in order  
to determine if the TSR register is empty. The TSR is  
not mapped in data memory, so it is not available to the  
user.  
The Master mode indicates that the processor trans-  
mits the master clock on the CK line. Clock polarity is  
selected with the SCKP bit (BAUDCTL<5>); setting  
SCKP sets the Idle state on CK as high, while clearing  
the bit, sets the Idle state low. This option is provided to  
support Microwire® devices with this module.  
To set up a Synchronous Master Transmission:  
1. Initialize the SPBRGH:SPBRG registers for the  
appropriate baud rate. Set or clear the BRGH  
and BRG16 bits, as required, to achieve the  
desired baud rate.  
19.4.1  
USART SYNCHRONOUS MASTER  
TRANSMISSION  
2. Enable the synchronous master serial port by  
setting bits SYNC, SPEN and CSRC.  
The USART transmitter block diagram is shown in  
Figure 19-2. The heart of the transmitter is the Transmit  
(serial) Shift Register (TSR). The shift register obtains  
its data from the read/write transmit buffer register  
TXREG. The TXREG register is loaded with data in  
software. The TSR register is not loaded until the last  
bit has been transmitted from the previous load. As  
soon as the last bit is transmitted, the TSR is loaded  
with new data from the TXREG (if available).  
3. If interrupts are desired, set enable bit TXIE.  
4. If 9-bit transmission is desired, set bit TX9.  
5. Enable the transmission by setting bit TXEN.  
6. If 9-bit transmission is selected, the ninth bit  
should be loaded in bit TX9D.  
7. Start transmission by loading data to the TXREG  
register.  
8. If using interrupts, ensure that the GIE and PEIE  
bits in the INTCON register (INTCON<7:6>) are  
set.  
FIGURE 19-10:  
SYNCHRONOUS TRANSMISSION  
Q1 Q2 Q3Q4 Q1 Q2 Q3 Q4 Q1Q2 Q3 Q4 Q1Q2 Q3 Q4Q1 Q2 Q3 Q4  
Q3 Q4 Q1 Q2 Q3Q4 Q1Q2 Q3Q4 Q1 Q2Q3Q4 Q1 Q2Q3 Q4Q1 Q2 Q3 Q4 Q1 Q2 Q3 Q4  
RC7/RX/DT/  
SDO pin  
bit 0  
bit 1  
bit 2  
bit 7  
bit 0  
bit 1  
Word 2  
bit 7  
Word 1  
RC6/TX/CK/  
SS pin  
(SCKP = 0)  
RC6/TX/CK/  
SS pin  
(SCKP = 1)  
Write to  
TXREG Reg  
Write Word 1  
Write Word 2  
TXIF bit  
(Interrupt Flag)  
TRMT bit  
TXEN bit  
Note:  
'1'  
'1'  
Sync Master mode, SPBRG = 0, continuous transmission of two 8-bit words.  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 237  
 
 
 
PIC18F2331/2431/4331/4431  
FIGURE 19-11:  
SYNCHRONOUS TRANSMISSION (THROUGH TXEN)  
RC7/RX/DT/SDO pin  
bit0  
bit2  
bit1  
bit6  
bit7  
RC6/TX/CK/SS pin  
Write to  
TXREG reg  
TXIF bit  
TRMT bit  
TXEN bit  
TABLE 19-7: REGISTERS ASSOCIATED WITH SYNCHRONOUS MASTER TRANSMISSION  
Value on  
all other  
Resets  
Value on  
POR, BOR  
Name  
Bit 7  
Bit 6  
Bit 5  
Bit 4  
Bit 3  
Bit 2  
Bit 1  
Bit 0  
INTCON  
PIR1  
GIE/GIEH PEIE/GIEL TMR0IE INT0IE  
RBIE  
TMR0IF  
INT0IF  
RBIF  
0000 000x  
-000 -000  
-000 -000  
-000 -000  
0000 -00x  
0000 0000  
0000 0010  
-1-1 0-00  
0000 0000  
0000 0000  
0000 000u  
-000 -000  
-000 -000  
-000 -000  
0000 -00x  
0000 0000  
0000 0010  
-1-1 0-00  
0000 0000  
0000 0000  
ADIF  
ADIE  
ADIP  
RX9  
RCIF  
RCIE  
RCIP  
SREN  
TXIF  
TXIE  
TXIP  
CCP1IF TMR2IF TMR1IF  
CCP1IE TMR2IE TMR1IE  
CCP1IP TMR2IP TMR1IP  
PIE1  
IPR1  
RCSTA  
TXREG  
TXSTA  
BAUDCTL  
SPEN  
CREN ADDEN  
FERR  
OERR  
RX9D  
USART Transmit Register  
CSRC  
TX9  
TXEN  
SYNC SENDB  
SCKP BRG16  
BRGH  
TRMT  
WUE  
TX9D  
RCIDL  
ABDEN  
SPBRGH Baud Rate Generator Register, High Byte  
SPBRG  
Baud Rate Generator Register, Low Byte  
Legend:  
x= unknown, – = unimplemented, read as ‘0’. Shaded cells are not used for Synchronous Master Transmission.  
DS39616B-page 238  
Preliminary  
2003 Microchip Technology Inc.  
 
 
PIC18F2331/2431/4331/4431  
3. Ensure bits CREN and SREN are clear.  
4. If interrupts are desired, set enable bit RCIE.  
5. If 9-bit reception is desired, set bit RX9.  
19.4.2  
USART SYNCHRONOUS MASTER  
RECEPTION  
Once Synchronous mode is selected, reception is  
enabled by setting either the Single Receive Enable bit,  
SREN (RCSTA<5>), or the Continuous Receive  
Enable bit, CREN (RCSTA<4>). Data is sampled on the  
RC7/RX/DT/SDO pin on the falling edge of the clock.  
6. If a single reception is required, set bit SREN.  
For continuous reception, set bit CREN.  
7. Interrupt flag bit RCIF will be set when reception  
is complete and an interrupt will be generated if  
the enable bit RCIE was set.  
If enable bit SREN is set, only a single word is received.  
If enable bit CREN is set, the reception is continuous  
until CREN is cleared. If both bits are set, then CREN  
takes precedence.  
8. Read the RCSTA register to get the 9th bit (if  
enabled) and determine if any error occurred  
during reception.  
9. Read the 8-bit received data by reading the  
RCREG register.  
To set up a Synchronous Master Reception:  
1. Initialize the SPBRGH:SPBRG registers for the  
appropriate baud rate. Set or clear the BRGH  
and BRG16 bits, as required, to achieve the  
desired baud rate.  
10. If any error occurred, clear the error by clearing  
bit CREN.  
11. If using interrupts, ensure that the GIE and PEIE  
bits in the INTCON register (INTCON<7:6>) are  
set.  
2. Enable the synchronous master serial port by  
setting bits SYNC, SPEN and CSRC.  
FIGURE 19-12:  
SYNCHRONOUS RECEPTION (MASTER MODE, SREN)  
Q2 Q3 Q4 Q1 Q2 Q3 Q4 Q1 Q2 Q3 Q4 Q1 Q2 Q3 Q4 Q1 Q2 Q3 Q4 Q1 Q2 Q3 Q4Q1 Q2 Q3 Q4 Q1Q2 Q3 Q4 Q1 Q2 Q3 Q4 Q1 Q2 Q3 Q4 Q1 Q2 Q3 Q4  
RC7/RX/DT/SDO  
pin  
bit0  
bit1  
bit2  
bit3  
bit4  
bit5  
bit6  
bit7  
RC6/TX/CK/SS  
pin  
(SCKP = 0)  
RC6/TX/CK/SS  
pin  
(SCKP = 1)  
Write to  
bit SREN  
SREN bit  
CREN bit  
0’  
0’  
RCIF bit  
(Interrupt)  
Read  
RXREG  
Note:  
Timing diagram demonstrates Sync Master mode with bit SREN = 1and bit BRGH = 0.  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 239  
 
 
PIC18F2331/2431/4331/4431  
TABLE 19-8: REGISTERS ASSOCIATED WITH SYNCHRONOUS MASTER RECEPTION  
Value on  
all other  
Resets  
Value on  
POR, BOR  
Name  
Bit 7  
Bit 6  
Bit 5  
Bit 4  
Bit 3  
Bit 2  
Bit 1  
Bit 0  
INTCON  
PIR1  
GIE/GIEH PEIE/GIEL TMR0IE INT0IE  
RBIE  
TMR0IF INT0IF  
RBIF  
0000 000x 0000 000u  
ADIF  
ADIE  
ADIP  
RX9  
RCIF  
RCIE  
RCIP  
SREN  
TXIF  
TXIE  
TXIP  
CCP1IF TMR2IF TMR1IF -000 -000 -000 -000  
CCP1IE TMR2IE TMR1IE -000 -000 -000 -000  
CCP1IP TMR2IP TMR1IP -111 -111 -111 -111  
PIE1  
IPR1  
RCSTA  
RCREG  
TXSTA  
BAUDCTL  
SPEN  
CREN ADDEN  
FERR  
OERR  
RX9D  
0000 -00x 0000 -00x  
0000 0000 0000 0000  
0000 0010 0000 0010  
-1-1 0-00 -1-1 0-00  
0000 0000 0000 0000  
0000 0000 0000 0000  
USART Receive Register  
CSRC  
TX9  
TXEN  
SYNC  
SCKP  
SENDB  
BRG16  
BRGH  
TRMT  
WUE  
TX9D  
RCIDL  
ABDEN  
SPBRGH Baud Rate Generator Register, High Byte  
SPBRG  
Baud Rate Generator Register, Low Byte  
Legend:  
x= unknown, – = unimplemented, read as ‘0’. Shaded cells are not used for Synchronous Master Reception.  
DS39616B-page 240  
Preliminary  
2003 Microchip Technology Inc.  
 
PIC18F2331/2431/4331/4431  
To set up a Synchronous Slave Transmission:  
19.5 USART Synchronous Slave Mode  
1. Enable the synchronous slave serial port by  
setting bits SYNC and SPEN and clearing bit  
CSRC.  
Synchronous Slave mode is entered by clearing bit  
CSRC (TXSTA<7>). This mode differs from the  
Synchronous Master mode in that the shift clock is  
supplied externally at the RC6/TX/CK/SS pin (instead  
of being supplied internally in Master mode). This  
allows the device to transfer or receive data while in  
any low-power mode.  
2. Clear bits CREN and SREN.  
3. If interrupts are desired, set enable bit TXIE.  
4. If 9-bit transmission is desired, set bit TX9.  
5. Enable the transmission by setting enable bit  
TXEN.  
19.5.1  
USART SYNCHRONOUS SLAVE  
TRANSMIT  
6. If 9-bit transmission is selected, the ninth bit  
should be loaded in bit TX9D.  
The operation of the Synchronous Master and Slave  
modes are identical, except in the case of the Sleep  
mode.  
7. Start transmission by loading data to the TXREG  
register.  
8. If using interrupts, ensure that the GIE and PEIE  
bits in the INTCON register (INTCON<7:6>) are  
set.  
If two words are written to the TXREG and then the  
SLEEPinstruction is executed, the following will occur:  
a) The first word will immediately transfer to the  
TSR register and transmit.  
b) The second word will remain in TXREG register.  
c) Flag bit TXIF will not be set.  
d) When the first word has been shifted out of TSR,  
the TXREG register will transfer the second  
word to the TSR and flag bit TXIF will now be  
set.  
e) If enable bit TXIE is set, the interrupt will wake  
the chip from Sleep. If the global interrupt is  
enabled, the program will branch to the interrupt  
vector.  
TABLE 19-9: REGISTERS ASSOCIATED WITH SYNCHRONOUS SLAVE TRANSMISSION  
Value on  
all other  
Resets  
Value on  
POR, BOR  
Name  
Bit 7  
Bit 6  
Bit 5  
Bit 4  
Bit 3  
Bit 2  
Bit 1  
Bit 0  
INTCON  
PIR1  
GIE/GIEH PEIE/GIEL TMR0IE INT0IE  
RBIE  
TMR0IF INT0IF  
RBIF  
0000 000x 0000 000u  
ADIF  
ADIE  
ADIP  
RX9  
RCIF  
RCIE  
RCIP  
SREN  
TXIF  
TXIE  
TXIP  
CCP1IF TMR2IF TMR1IF -000 -000 -000 -000  
CCP1IE TMR2IE TMR1IE -000 -000 -000 -000  
CCP1IP TMR2IP TMR1IP -000 -000 -000 -000  
PIE1  
IPR1  
RCSTA  
TXREG  
TXSTA  
BAUDCTL  
SPEN  
CREN ADDEN  
FERR  
OERR  
RX9D  
0000 -00x 0000 -00x  
0000 0000 0000 0000  
0000 0010 0000 0010  
USART Transmit Register  
CSRC  
TX9  
TXEN  
SYNC SENDB  
SCKP BRG16  
BRGH  
TRMT  
WUE  
TX9D  
RCIDL  
ABDEN -1-1 0-00 -1-1 0-00  
0000 0000 0000 0000  
SPBRGH Baud Rate Generator Register, High Byte  
SPBRG Baud Rate Generator Register, Low Byte  
0000 0000 0000 0000  
Legend: x= unknown, – = unimplemented, read as ‘0’. Shaded cells are not used for Synchronous Slave Transmission.  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 241  
 
 
 
PIC18F2331/2431/4331/4431  
To set up a Synchronous Slave Reception:  
19.5.2  
USART SYNCHRONOUS SLAVE  
RECEPTION  
1. Enable the synchronous master serial port by  
setting bits SYNC and SPEN and clearing bit  
CSRC.  
The operation of the Synchronous Master and Slave  
modes is identical, except in the case of Sleep, or any  
Idle mode and bit SREN, which is a “don't care” in  
Slave mode.  
2. If interrupts are desired, set enable bit RCIE.  
3. If 9-bit reception is desired, set bit RX9.  
4. To enable reception, set enable bit CREN.  
If receive is enabled by setting the CREN bit prior to  
entering Sleep or any idle mode, then a word may be  
received while in this Low-Power mode. Once the word  
is received, the RSR register will transfer the data to the  
RCREG register; if the RCIE enable bit is set, the inter-  
rupt generated will wake the chip from Low-Power  
mode. If the global interrupt is enabled, the program will  
branch to the interrupt vector.  
5. Flag bit RCIF will be set when reception is com-  
plete. An interrupt will be generated if enable bit  
RCIE was set.  
6. Read the RCSTA register to get the 9th bit (if  
enabled) and determine if any error occurred  
during reception.  
7. Read the 8-bit received data by reading the  
RCREG register.  
8. If any error occurred, clear the error by clearing  
bit CREN.  
9. If using interrupts, ensure that the GIE and PEIE  
bits in the INTCON register (INTCON<7:6>) are  
set.  
TABLE 19-10: REGISTERS ASSOCIATED WITH SYNCHRONOUS SLAVE RECEPTION  
Value on  
all other  
Resets  
Value on  
POR, BOR  
Name  
Bit 7  
Bit 6  
Bit 5  
Bit 4  
Bit 3  
Bit 2  
Bit 1  
Bit 0  
INTCON  
PIR1  
GIE/GIEH PEIE/GIEL TMR0IE INT0IE  
RBIE  
TMR0IF INT0IF  
RBIF  
0000 000x 0000 000u  
ADIF  
ADIE  
ADIP  
RX9  
RCIF  
RCIE  
RCIP  
SREN  
TXIF  
TXIE  
TXIP  
CCP1IF TMR2IF TMR1IF 0000 0000 0000 0000  
CCP1IE TMR2IE TMR1IE 0000 0000 0000 0000  
CCP1IP TMR2IP TMR1IP -111 -111 -111 -111  
PIE1  
IPR1  
RCSTA  
RCREG  
TXSTA  
BAUDCTL  
SPEN  
CREN ADDEN  
FERR  
OERR  
RX9D  
0000 -00x 0000 -00x  
0000 0000 0000 0000  
0000 0010 0000 0010  
USART Receive Register  
CSRC  
TX9  
TXEN  
SYNC  
SCKP  
SENDB  
BRG16  
BRGH  
TRMT  
WUE  
TX9D  
RCIDL  
ABDEN -1-1 0-00 -1-1 0-00  
0000 0000 0000 0000  
SPBRGH Baud Rate Generator Register, High Byte  
SPBRG Baud Rate Generator Register, Low Byte  
0000 0000 0000 0000  
Legend: x= unknown, – = unimplemented, read as ‘0’. Shaded cells are not used for Synchronous Slave Reception.  
DS39616B-page 242  
Preliminary  
2003 Microchip Technology Inc.  
 
 
PIC18F2331/2431/4331/4431  
These features lend themselves to many applications  
20.0 10-BIT HIGH-SPEED ANALOG-  
including motor control, sensor interfacing, data  
acquisition and process control. In many cases, these  
features will reduce the software overhead associated  
with standard A/D modules.  
TO-DIGITAL CONVERTER (A/D)  
MODULE  
The high-speed Analog-to-Digital (A/D) Converter  
module allows conversion of an analog signal to a  
corresponding 10-bit digital number.  
The module has 9 registers:  
• A/D Result High Register (ADRESH)  
• A/D Result Low Register (ADRESL)  
• A/D Control Register 0 (ADCON0)  
• A/D Control Register 1 (ADCON1)  
• A/D Control Register 2 (ADCON2)  
• A/D Control Register 3 (ADCON3)  
• A/D Channel Select Register (ADCHS)  
• Analog I/O Select Register 0 (ANSEL0)  
• Analog I/O Select Register 1 (ANSEL1)  
The A/D module supports up to 5 input channels on  
PIC18F2X31 devices, and up to 9 channels on the  
PIC18F4X31 devices.  
This high-speed 10-bit A/D module offers the following  
features:  
• Up to 200K samples per second  
• Two sample and hold inputs for dual-channel  
simultaneous sampling  
• Selectable simultaneous or sequential sampling  
modes  
• 4-word data buffer for A/D results  
• Selectable data acquisition timing  
• Selectable A/D event trigger  
• Operation in Sleep using internal oscillator  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 243  
 
 
PIC18F2331/2431/4331/4431  
REGISTER 20-1: ADCON0: A/D CONTROL REGISTER 0  
U-0  
U-0  
R/W-0  
R/W-0  
R/W-0  
ACMOD1 ACMOD0 GO/DONE ADON  
bit 0  
R/W-0  
R/W-0  
R/W-0  
ACONV  
ACSCH  
bit 7  
bit 7-6 Unimplemented: Read as ‘0’  
bit 5  
bit 4  
ACONV: Auto-Conversion Continuous Loop or Single-shot Mode Select bit  
1= Continuous Loop mode Enabled  
0= Single-shot mode Enabled  
ACSCH: Auto-Conversion Single or Multi-Channel mode bit  
1= Multi-Channel mode Enabled, Single Channel mode Disabled  
0= Single Channel mode Enabled, Multi-Channel mode Disabled  
bit 3-2 ACMOD: Auto-Conversion mode Sequence Select bits  
If ACSCH = 1:  
00=Sequential Mode1 (SEQM1). Two samples are taken in sequence:  
1st sample: Group A  
2nd sample: Group B  
01=Sequential Mode2 (SEQM2). Four samples are taken in sequence:  
1st sample: Group A  
2nd sample: Group B  
3rd sample: Group C  
4th sample: Group D  
10=Simultaneous Mode1 (STNM1). Two samples are taken simultaneously:  
1st sample: Group A and Group B  
11=Simultaneous Mode2 (STNM2). Two samples are taken simultaneously:  
1st sample: Group A and Group B  
2nd sample: Group C and Group D  
If ACSCH = 0, Auto-Conversion Single Channel Sequence mode enabled:  
00=Single Ch Mode1 (SCM1). Group A is taken and converted  
01=Single Ch Mode2 (SCM2). Group B is taken and converted  
10=Single Ch Mode3 (SCM3). Group C is taken and converted  
11=Single Ch Mode4 (SCM4). Group D is taken and converted  
Note:  
Group A, B, C, D refer to the ADCHS register.  
bit 1  
GO/DONE: A/D Conversion Status bit  
1= A/D conversion cycle in progress. Setting this bit starts the A/D conversion cycle. If Auto-  
Conversion Single-shot mode is enabled (ACONV = 0), this bit is automatically cleared by  
hardware when the A/D conversion (single or multi-channel depending on ACMOD settings)  
has completed. If Auto-Conversion Continuous Loop mode is enabled (ACONV = 1), this bit  
remains set after the user/trigger has set it (continuous conversions). It may be cleared  
manually by the user to stop the conversions.  
0= A/D conversion or multiple conversions completed/not in progress  
bit 0  
ADON: A/D On bit  
1= A/D converter module is enabled (after brief power-up delay, starts continuous sampling)  
0= A/D converter module is disabled  
Legend:  
R = Readable bit  
W = Writable bit  
‘1’ = bit is set  
U = Unimplemented bit, read as ‘0’  
‘0’ = bit is cleared x = bit is unknown  
-n = Value at Reset  
DS39616B-page 244  
Preliminary  
2003 Microchip Technology Inc.  
PIC18F2331/2431/4331/4431  
REGISTER 20-2: ADCON1: A/D CONTROL REGISTER 1  
R/W-0  
R/W-0  
U-0  
R/W-0  
R-0  
R-0  
R-0  
R-0  
VCFG1  
VCFG0  
FIFOEN  
BFEMT  
BFOVFL ADPNT1  
ADPNT0  
bit 7  
bit 0  
bit 7-6 VCFG<1:0>: A/D VREF+ and A/D VREF- Source Selection bits  
00=VREF+ = AVDD, VREF- = AVSS, (AN2 and AN3 are Analog inputs or Digital I/O)  
01=VREF+ = External VREF+, VREF- = AVSS, (AN2 is an Analog input or Digital I/O)  
10=VREF+ = AVDD, VREF- = External VREF-, (AN3 is an Analog input or Digital I/O)  
11=VREF+ = External VREF-, VREF- = External VREF-  
bit 5  
bit 4  
Unimplemented: Read as ‘0’  
FIFOEN: FIFO Buffer Enable bit  
1= FIFO is enabled  
0= FIFO is disabled  
bit 3  
bit 2  
BFEMT: Buffer Empty bit  
1= FIFO is empty  
0= FIFO is not empty (at least one of four locations has unread A/D result data)  
BFOVFL: Buffer Overflow bit  
1= A/D result has overwritten a buffer location that has unread data  
0= A/D result has not overflowed  
bit 1-0 ADPNT<1:0>: Buffer Read Pointer Locations bits  
Designates the location to be read next.  
00= Buffer address 0  
01= Buffer address 1  
10= Buffer address 2  
11= Buffer address 3  
Legend:  
R = Readable bit  
W = Writable bit  
‘1’ = bit is set  
U = Unimplemented bit, read as ‘0’  
‘0’ = bit is cleared x = bit is unknown  
-n = Value at Reset  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 245  
PIC18F2331/2431/4331/4431  
REGISTER 20-3: ADCON2 – A/D CONTROL REGISTER 2  
R/W-0  
ADFM  
R/W-0  
R/W-0  
R/W-0  
R/W-0  
R/W-0  
R/W-0  
R/W-0  
ACQT3  
ACQT2  
ACQT1  
ACQT0  
ADCS2  
ADCS1  
ADCS0  
bit 7  
bit 0  
bit 7  
ADFM: A/D Result Format Select bit  
1= Right justified  
0= Left justified  
bit 6-3 ACQT<3:0>: A/D Acquisition Time Select bits  
0000= No Delay(1) (Conversion starts immediately when GO/DONE is set)  
0001= 2 TAD  
0010= 4 TAD  
0011= 6 TAD  
0100= 8 TAD  
0101= 10 TAD  
0110= 12 TAD  
0111= 16 TAD  
1000= 20 TAD  
1001= 24 TAD  
1010= 28 TAD  
1011= 32 TAD  
1100= 36 TAD  
1101= 40 TAD  
1110= 48 TAD  
1111= 64 TAD  
bit 2-0 ADCS<2:0>: A/D Conversion Clock Select bits  
000 = FOSC/2  
001 = FOSC/8  
010 = FOSC/32  
011 = FRC/4(2)  
100 = FOSC/4  
101 = FOSC/16  
110 = FOSC/64  
111 = FRC (Internal A/D RC Oscillator)  
Note 1: If the A/D clock source is selected as RC, a time of TCY is added before sampling/  
conversion starts.  
2: Due to an increased frequency of the internal A/D RC oscillator, FRC/4 provides clock  
frequencies compatible with previous A/D modules.  
3: In sequential mode TACQ should be 12 TAD or greater.  
Legend:  
R = Readable bit  
-n = Value at Reset  
W = Writable bit  
‘1’ = bit is set  
U = Unimplemented bit, read as ‘0’  
‘0’ = bit is cleared x = bit is unknown  
DS39616B-page 246  
Preliminary  
2003 Microchip Technology Inc.  
PIC18F2331/2431/4331/4431  
REGISTER 20-4: ADCON3: A/D CONTROL REGISTER 3  
R/W-0  
R/W-0  
U-0  
R/W-0  
R/W-0  
R/W-0  
R/W-0  
R/W-0  
ADRS1  
ADRS0  
SSRC4  
SSRC3  
SSRC2  
SSRC1  
SSRC0  
bit 7  
bit 0  
bit 7-6 ADRS<1:0>: A/D Result Buffer Depth Interrupt Select Control bits for Continuous Loop mode  
The ADRS bits are ignored in Single-shot mode.  
00=Interrupt is generated when each word is written to the buffer  
01=Interrupt is generated when the 2nd & 4th words are written to the buffer  
10=Interrupt is generated when the 4th word is written to the buffer  
11=Unimplemented  
bit 5  
Unimplemented: Read as ‘0’  
bit 4:0 SSRCx<4:0>: A/D Trigger Source Select bits  
00000=All triggers disabled  
xxxx1=External interrupt RC3/INT0 starts A/D sequence  
xxx1x=Timer5 starts A/D sequence  
xx1xx=Input Capture 1 (IC1) starts A/D sequence  
x1xxx=CCP2 compare match starts A/D sequence  
1xxxx=Power Control PWM module rising edge starts A/D sequence  
Note 1: SSRCx<4:0> bits can be set such that any of the triggers will start conversion (e.g.  
SSRCx<4:0)> = 00101, will trigger the A/D conversion sequence when RC3/INT0  
or Input Capture 1 event occurs).  
Legend:  
R = Readable bit  
W = Writable bit  
‘1’ = bit is set  
U = Unimplemented bit, read as ‘0’  
‘0’ = bit is cleared x = bit is unknown  
-n = Value at Reset  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 247  
PIC18F2331/2431/4331/4431  
REGISTER 20-5: ADCHS: A/D CHANNEL SELECT REGISTER  
R/W-0  
GDSEL1  
bit 7  
R/W-0  
R/W-0  
R/W-0  
R/W-0  
R/W-0  
R/W-0  
R/W-0  
GDSEL0  
GBSEL1  
GBSEL0 GCSEL1 GCSEL0 GASEL1 GASEL0  
bit 0  
bit 7-6 GDSEL1:GDSEL0: Group D Select bits  
S/H-2 positive input  
00=AN3  
01=AN7(1)  
1x=Reserved  
bit 5-4 GBSEL1:GBSEL0: Group B Select bits  
S/H-2 positive input  
00=AN1  
01=AN5(1)  
1x=Reserved  
bit 3-2 GCSEL1:GCSEL0: Group C Select bits  
S/H-1 positive input  
00=AN2  
01=AN6(1)  
1x=Reserved  
bit 1-0 GASEL1:GASEL0: Group A Select bits  
S/H-1 positive input  
00=AN0  
01=AN4  
10=AN8(1)  
11=Reserved  
Note 1: AN5 through AN8 are available only in PIC18F4X31 devices.  
Legend:  
R = Readable bit  
W = Writable bit  
‘1’ = bit is set  
U = Unimplemented bit, read as ‘0’  
‘0’ = bit is cleared x = bit is unknown  
-n = Value at Reset  
DS39616B-page 248  
Preliminary  
2003 Microchip Technology Inc.  
PIC18F2331/2431/4331/4431  
REGISTER 20-6: ANSEL0: ANALOG SELECT REGISTER 0(1)  
R/W-1  
ANS7(2)  
R/W-1  
ANS6(2)  
R/W-1  
ANS5(2)  
R/W-1  
ANS4  
R/W-1  
ANS3  
R/W-1  
ANS2  
R/W-1  
ANS1  
R/W-1  
ANS0  
bit 7  
bit 0  
bit 7-0 ANS<7:0>: Analog Input Function Select bits  
Correspond to pins AN<7:0>  
1= Analog Input  
0= Digital I/O  
Note 1: Setting a pin to an analog input disables the digital input buffer. The corresponding  
TRIS bit should be set for an input and cleared for an output (analog or digital). The  
ANSx bits directly correspond to the ANx pins (e.g., ANS0 = AN0, ANS1 = AN1, etc.)  
Unused ANSx bits are to be read as ‘0’.  
2: ANS7 through ANS5 are available only on PIC18F4X31 devices.  
Legend:  
R = Readable bit  
W = Writable bit  
‘1’ = bit is set  
U = Unimplemented bit, read as ‘0’  
‘0’ = bit is cleared x = bit is unknown  
-n = Value at Reset  
REGISTER 20-7: ANSEL1: ANALOG SELECT REGISTER 1(1)  
U-0  
U-0  
U-0  
U-0  
U-0  
U-0  
U-0  
R/W-1  
ANS8(2)  
bit 15  
bit 8  
bit 15-9 Unimplemented: Read as ‘0’  
bit 8  
ANS8: Analog Input Function Select bit  
1= Analog Input  
0= Digital I/O  
Note 1: Setting a pin to an analog input disables the digital input buffer. The corresponding  
TRIS bit should be set for an input and cleared for an output (analog or digital). The  
ANSx bits directly correspond to the ANx pins (e.g., ANS8 = AN8, ANS9 = AN9, etc.)  
Unused ANSx bits are to be read as ‘0’.  
2: ANS8 is available only on PIC18F4X31 devices.  
Legend:  
R = Readable bit  
W = Writable bit  
‘1’ = bit is set  
U = Unimplemented bit, read as ‘0’  
‘0’ = bit is cleared x = bit is unknown  
-n = Value at Reset  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 249  
PIC18F2331/2431/4331/4431  
The A/D channels are grouped into four sets of 2 or 3  
channels. For the PIC18F2X31 devices, AN0 and AN4  
are in Group A, AN1 is in Group B, AN2 is in Group C  
and AN3 is in Group D. For the PIC18F4X31 devices,  
AN0, AN4 and AN8 are in Group A, AN1 and AN5 are  
in Group B, AN2 and AN6 are in Group C and AN3 and  
AN7 are in Group D. The selected channel in each  
group is selected by configuring the A/D Channel  
Select Register, ADCHS.  
The A/D converter has a unique feature of being able  
to operate while the device is in Sleep mode. To  
operate in Sleep, the A/D conversion clock must be  
derived from the A/D’s internal RC oscillator.  
A device Reset forces all registers to their Reset state.  
This forces the A/D module to be turned off and any  
conversion in progress is aborted.  
Each port pin associated with the A/D converter can  
individually be configured as an analog input or digital  
I/O using the ANSEL0 and ANSEL1 registers. The  
ADRESH and ADRESL registers contain the value in  
the result buffer pointed to by ADPNT<1:0>  
(ADCON1<1:0>). The result buffer is a 4-deep circular  
buffer that has an empty status bit, BEMT  
(ADCON1<3>), and an overflow status bit, BOVFL  
(ADCON1<2>).  
The analog voltage reference is software selectable to  
either the device’s positive and negative analog supply  
voltage (AVDD and AVSS), or the voltage level on the  
RA3/AN3/VREF+/CAP2/QEA and RA2/AN2/VREF-/  
CAP1/INDX, or some combination of supply and  
external sources. Register ADCON1 controls the  
voltage reference settings.  
FIGURE 20-1:  
A/D BLOCK DIAGRAM  
VCFG<1:0>  
AVDD  
AVSS  
VREF+  
VREF-  
VREFL  
VREFH  
ADC  
AN0  
AN4  
AN8(1)  
ADRESH, ADRESL  
MUX  
10  
Analog  
Mux  
AN2/VREF-  
AN6(1)  
ADPNT<1:0>  
00  
1
2
3
S/H-1  
+
01  
10  
11  
ACMOD, GxSEL<1:0>  
S/H  
-
4
4x10-bit FIFO  
AVSS  
ACONV  
ACSCM  
ACMOD  
AN1  
AN5(1)  
Analog  
Mux  
AN3/VREF+  
AN7(1)  
S/H-2  
+
S/H  
-
ACMOD, GxSEL<1:0>  
AVSS  
Seq.  
Cntrl.  
Note 1: AN5 through AN8 are available only on PIC18F4X31 devices.  
DS39616B-page 250  
Preliminary  
2003 Microchip Technology Inc.  
PIC18F2331/2431/4331/4431  
ACMOD<1:0> bits (ADCON0<3:2>). In addition, the  
20.1 Configuring the A/D Converter  
A/D channels are divided into four groups as defined  
in the ADCHS register. Table 20-1 shows the  
sequence configurations as controlled by ACSCH and  
ACMOD<1:0>.  
The A/D converter has two types of conversion, two  
modes of operation and eight different sequencing  
modes. These features are controlled by the ACONV  
bit (ADCON0<5>), ACSH bit (ADCON0<4>) and  
TABLE 20-1: AUTO-CONVERSION SEQUENCE CONFIGURATIONS  
Mode  
ACSCH  
ACMOD  
Description  
Multi-Channel Sequential Mode1  
(SEQM1)  
1
00  
Group A and B are sampled and converted  
sequentially  
Multi-Channel Sequential Mode2  
(SEQM2)  
1
1
1
01  
10  
11  
Group A, B, C and D are sampled and converted  
sequentially  
Multi-Channel Simultaneous Mode1  
(STNM1)  
Group A and B are sampled simultaneously and  
converted sequentially  
Multi-Channel Simultaneous Mode2  
(STNM2)  
Group A and B are sampled simultaneously, then  
converted sequentially. Then, Group C and D are  
sampled simultaneously, then converted  
sequentially.  
Single Channel Mode1 (SCM1)  
Single Channel Mode2 (SCM2)  
Single Channel Mode3 (SCM3)  
Single Channel Mode4 (SCM4)  
0
0
0
0
00  
01  
10  
11  
Group A is sampled and converted  
Group B is sampled and converted  
Group C is sampled and converted  
Group D is sampled and converted  
20.1.1  
CONVERSION TYPE  
20.1.2  
CONVERSION MODE  
Two types of conversions exist in the high-speed 10-bit  
A/D converter module that are selected using the  
The ACSCH bit (ADCON0<4>) controls how many  
channels are used in the configured sequence. When  
clear, the A/D is configured for single channel conver-  
sion and will convert the group selected by  
ACMOD<1:0> and channel selected by GxSEL<1:0>  
(ADCHS). When ACSCH = ‘1’, the A/D is configured for  
multiple channel conversion and the sequence is  
defined by ACMOD<1:0>.  
ACONV bit. Single-shot mode allows  
a single  
conversion or sequence to be when ACONV = ‘0’. At  
the end of the sequence, the GO/DONE bit will be  
automatically cleared and the interrupt flag, ADIF, will  
be set. When using Single-shot mode and configured  
for Simultaneous mode, STNM2, acquisition time must  
be used to ensure proper conversion of the analog  
input signals.  
Continuous Loop mode allows the defined sequence to  
be executed in a continuous loop when ACONV = ‘1’.  
In this mode, either the user can trigger the start of con-  
version by setting the GO/DONE bit or one of the A/D  
triggers can start the conversion. The interrupt flag  
ADIF is set based on the configuration of the bits  
ADRS<1:0> (ADCON3<7:6>). In simultaneous modes,  
STNM1 and STNM2, acquisition time must be config-  
ured to ensure proper conversion of the analog input  
signals.  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 251  
 
PIC18F2331/2431/4331/4431  
20.1.3  
CONVERSION SEQUENCING  
20.1.5  
A/D MODULE INITIALIZATION  
STEPS  
The ACMOD<1:0> bits control the sequencing of the  
A/D conversions. When ACSCH = 0, the A/D is  
configured to sample and convert a single channel.  
The ACMOD bits select which group to perform the  
conversions and the GxSEL<1:0> bits select which  
channel in the group is to be converted. If Single-shot  
mode is enabled, the A/D interrupt flag will be set after  
the channel is converted. If Continuous Loop mode is  
enabled, the A/D interrupt flag will be set according to  
the ADRS<1:0> bits.  
The following steps should be followed to initialize the  
A/D module:  
1. Configure the A/D module:  
a) Configure analog pins, voltage reference  
and digital I/O  
b) Select A/D input channels  
c) Select A/D Auto-conversion mode  
(Single-shot or Continuous Loop)  
d) Select A/D conversion clock  
e) Select A/D conversion trigger  
2. Configure A/D interrupt (if required):  
a) Set GIE bit  
When ACSHC = 1, multiple channel sequencing is  
enabled and two sub-modes can be selected. The first  
mode is Sequential mode with two settings. The first  
setting is called SEQM1 and first samples and converts  
the selected Group A channel and then samples and  
converts the selected Group B channel. The second  
mode is called SEQM2, and it samples and converts a  
Group A channel, Group B channel, Group C channel  
and finally a Group D channel.  
b) Set PEIE bit  
c) Set ADIE bit  
d) Clear ADIF bit  
e) Select A/D trigger setting  
f) Select A/D interrupt priority  
3. Turn On ADC:  
The second multiple channel sequencing sub-mode is  
Simultaneous Sampling mode. In this mode, there are  
also two settings. The first setting is called STNM1 and  
uses the two sample and hold circuits on the A/D  
module. The selected Group A and B channels are  
simultaneously sampled and then the Group A channel  
is converted followed by the conversion of the Group B  
channel. The second setting is called STNM2 and  
starts the same as STNM1 but follows it with a  
simultaneous sample of Group C and D channels. The  
A/D module will then convert the Group C channel  
followed by the Group D channel.  
a) Set ADON bit in ADCON0 register  
b) Wait the required power-up setup time,  
about 5-10 µs  
4. Start sample/conversion sequence:  
a) Sample for a minimum of 2TAD and start  
conversion by setting the GO/DONE bit.  
The GO/DONE bit is set by the user in  
software or by the module if initiated by a  
trigger.  
b) If TACQ is assigned a value (multiple of TAD),  
then setting the GO/DONE bit starts a  
sample period of the TACQ value, then starts  
a conversion.  
20.1.4  
TRIGGERING A/D CONVERSIONS  
The PIC18F2331/2431/4331/4431 devices are capable  
of triggering conversions from many different sources.  
The same method used by all other microcontrollers of  
setting the GO/DONE bit still works. The other trigger  
sources are:  
5. Wait for A/D conversion/conversions to  
complete using one of the following options:  
a) Poll for the GO/DONE bit to be cleared if in  
Single-shot mode.  
• RC3/INT0 pin  
b) Wait for the A/D interrupt flag (ADIF) to be  
set.  
• Timer5 Overflow  
• Input Capture 1 (IC1)  
• CCP2 Compare Match  
• Power Control PWM rising edge  
c) Poll for the BFEMT bit to be cleared to  
signify that at least the first conversion has  
completed.  
These triggers are enabled using the SSRC<4:0> bits  
(ADCON3<4:0>). Any combination of the five sources  
can trigger a conversion by simply setting the corre-  
sponding bit in ADCON3. When the trigger occurs, the  
GO/DONE bit is automatically set by the hardware and  
then cleared once the conversion completes.  
6. Read A/D results, clear ADIF flag, reconfigure  
trigger.  
DS39616B-page 252  
Preliminary  
2003 Microchip Technology Inc.  
PIC18F2331/2431/4331/4431  
20.2 A/D Result Buffer  
20.3 A/D Acquisition Requirements  
The A/D module has a 4-level result buffer with an  
address range of 0 to 3, enabled by setting the FIFOEN  
bit in the ADCON1 register. This buffer is implemented  
in a circular fashion where the A/D result is stored in  
one location and the address is incremented. If the  
address is greater than 3, the pointer is wrapped back  
around to 0. The result buffer has a buffer empty flag,  
BEMT, indicating when any data is in the buffer. It also  
has an overflow flag, BOVFL, which indicates when a  
new sample has overwritten a location that was not  
previously read.  
For the A/D converter to meet its specified accuracy,  
the charge holding capacitor (CHOLD) must be allowed  
to fully charge to the input channel voltage level. The  
analog input model is shown in Figure 20-2. The  
source impedance (RS) and the internal sampling  
switch (RSS) impedance directly affect the time  
required to charge the capacitor CHOLD. The sampling  
switch (RSS) impedance varies over the device voltage  
(VDD). The source impedance affects the offset voltage  
at the analog input (due to pin leakage current). The  
maximum recommended impedance for analog  
sources is 2.5 k. After the analog input channel is  
selected (changed), the channel must be sampled for  
at least the minimum acquisition time before starting a  
conversion.  
Associated with the buffer is a pointer to the address for  
the next read operation. The ADPNT<1:0> bits  
configure the address for the next read operation.  
These bits are read-only.  
Note:  
When the conversion is started, the  
holding capacitor is disconnected from the  
input pin.  
The Result Buffer also has a configurable interrupt  
trigger level that is configured by the ADRS<1:0> bits.  
The user has three selections: interrupt flag set on  
every write to the buffer, interrupt on every second write  
to the buffer, or interrupt on every fourth write to the  
buffer. ADPNT<1:0> is reset to ‘00’ every time a  
conversion sequence is started (either by setting the  
GO/DONE bit, or on a trigger).  
To calculate the minimum acquisition time,  
Equation 20-1 may be used. This equation assumes  
that 1/2 LSb error is used (1024 steps for the A/D). The  
1/2 LSb error is the maximum error allowed for the A/D  
to meet its specified resolution.  
Note:  
When right justified, reading ADRESL  
increments ADPNT. When left justified,  
reading ADRESH increments ADPNT.  
Example 20-1 shows the calculation of the minimum  
required acquisition time TACQ. In this case, the  
converter module is fully powered up at the outset and  
therefore the amplifier settling time, TAMP, is negligible.  
This calculation is based on the following application  
system assumptions:  
CHOLD  
Rs  
Conversion Error  
VDD  
Temperature  
VHOLD  
=
=
=
=
=
9 pF  
100 Ω  
1/2 LSb  
5V Rss = 6 kΩ  
50°C (system max.)  
0V @ time = 0  
EQUATION 20-1: ACQUISITION TIME  
TACQ  
=
=
Amplifier Settling Time + Holding Capacitor Charging Time + Temperature Coefficient  
TAMP + TC + TCOFF  
EQUATION 20-2: MINIMUM A/D HOLDING CAPACITOR CHARGING TIME  
VHOLD  
or  
TC  
=
=
(VREF – (VREF/2048)) • (1 – e(-Tc/CHOLD(RIC + RSS + RS))  
)
-(CHOLD)(RIC + RSS + RS) ln(1/2048)  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 253  
 
 
 
PIC18F2331/2431/4331/4431  
EXAMPLE 20-1:  
CALCULATING THE MINIMUM REQUIRED ACQUISITION TIME  
TACQ  
TAMP  
TCOFF  
=
=
=
TAMP + TC + TCOFF  
negligible  
(Temp – 25°C)(0.005 µs/°C)  
(50°C – 25°C)(0.005 µs/°C) = .13 µs  
Temperature coefficient is only required for temperatures > 25°C. Below 25°C, TCOFF = 0 µs.  
TC  
-(CHOLD) (RIC + RSS + RS) ln(1/2047) µs  
-(9 pF) (1 k+ 6 k+ 100 ) ln(0.0004883) µs = .49 µs + .13 µs = .62 µs  
TACQ  
=
0 + .62 µs + .13 µs = .75 µs  
Note:  
If the converter module has been in Sleep mode, TAMP is 2.0 µs from the time the part exits Sleep mode.  
FIGURE 20-2:  
ANALOG INPUT MODEL  
VDD  
Sampling  
Switch  
VT = 0.6V  
ANx  
SS  
RIC 1k  
RSS  
Rs  
CPIN  
VAIN  
I leakage  
± 500 nA  
CHOLD = 9 pF  
VT = 0.6V  
5 pF  
VSS  
Legend: CPIN  
= input capacitance  
= threshold voltage  
6V  
5V  
VDD 4V  
VT  
I LEAKAGE = leakage current at the pin due to  
various junctions  
3V  
RIC  
= interconnect resistance  
= sampling switch  
2V  
SS  
CHOLD  
RSS  
= sample/hold capacitance (from DAC)  
= sampling switch resistance  
5
6
7
8 9 10 11  
Sampling Switch (k)  
Note:  
For VDD < 2.7V and temperatures below 0ºC, VAIN should be restricted to range: VAIN < VDD/2.  
DS39616B-page 254  
Preliminary  
2003 Microchip Technology Inc.  
 
 
PIC18F2331/2431/4331/4431  
20.4 A/D Voltage References  
20.6 Selecting the A/D Conversion  
Clock  
If external voltage references are used instead of the  
internal AVDD and AVSS sources, the source  
impedance of the VREF+ and VREF- voltage sources  
must be considered. During acquisition, currents  
supplied by these sources are insignificant. However,  
during conversion, the A/D module sinks and sources  
current through the reference sources.  
The A/D conversion time per bit is defined as TAD. The  
A/D conversion requires 12 TAD per 10-bit conversion.  
The source of the A/D conversion clock is software  
selectable. There are eight possible options for TAD:  
• 2 TOSC  
• 4 TOSC  
In order to maintain the A/D accuracy, the voltage  
reference source impedances should be kept low to  
reduce voltage changes. These voltage changes occur  
as reference currents flow through the reference  
source impedance.  
• 8 TOSC  
• 16 TOSC  
• 32 TOSC  
• 64 TOSC  
• Internal RC Oscillator  
• Internal RC Oscillator/4  
Note:  
When using external references, the  
source impedance of the external voltage  
references must be less than 75in order  
to achieve the specified ADC resolution. A  
higher reference source impedance will  
increase the ADC offset and gain errors.  
Resistive voltage dividers will not provide  
a low enough source impedance. To  
ensure the best possible ADC perfor-  
mance, external VREF inputs should be  
buffered with an op-amp or other low  
impedance circuit.  
For correct A/D conversions, the A/D conversion clock  
(TAD) must be as short as possible, but greater than the  
minimum TAD (approximately 416 µs, see parameter  
130 for more information).  
Table 20-2 shows the resultant TAD times derived from  
the device operating frequencies and the A/D clock  
source selected.  
20.5 Selecting and Configuring  
Automatic Acquisition Time  
The ADCON2 register allows the user to select an  
acquisition time that occurs each time an A/D conver-  
sion is triggered.  
When the GO/DONE bit is set, sampling is stopped and  
a conversion begins. The user is responsible for  
ensuring the required acquisition time has passed  
between selecting the desired input channel and the  
start of conversion. This occurs when the  
ACQT3:ACQT0 bits (ADCON2<6:3>) remain in their  
Reset state (‘0000’).  
If desired, the ACQT bits can be set to select a  
programmable acquisition time for the A/D module.  
When triggered, the A/D module continues to sample  
the input for the selected acquisition time, then  
automatically begins  
a
conversion. Since the  
acquisition time is programmed, there may be no need  
to wait for an acquisition time between selecting a  
channel and triggering the A/D. If an acquisition time is  
programmed, there is nothing to indicate if the  
acquisition time has ended, or if the conversion has  
begun.  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 255  
PIC18F2331/2431/4331/4431  
TABLE 20-2: TAD vs. DEVICE OPERATING FREQUENCIES  
AD Clock Source (TAD)  
Maximum Device Frequency  
Operation  
ADCS2:ADCS0  
PIC18FXX31  
PIC18LFXX31(4)  
2 TOSC  
4 TOSC  
8 TOSC  
16 TOSC  
32 TOSC  
64 TOSC  
RC/4(3)  
RC(3)  
000  
100  
001  
101  
010  
110  
011  
111  
4.8 MHz  
9.6 MHz  
666 kHz  
1.33 MHz  
2.66 MHz  
5.33 MHz  
10.65 MHz  
21.33 MHz  
1.00 MHz(2)  
4.0 MHz(2)  
19.2 MHz  
38.4 MHz  
40.0 MHz  
40.0 MHz  
1.00 MHz(1)  
4.0 MHz(2)  
Note 1: The RC source has a typical TAD time of 2-6 µs.  
2: The RC source has a typical TAD time of 0.5-1.5 µs.  
3: For device frequencies above 1 MHz, the device must be in Sleep for the entire conversion or the A/D  
accuracy may be out of specification, unless in Single-shot mode.  
4: Low-power devices only.  
20.7 Operation in Power-Managed  
Note:  
The A/D can operate in Sleep mode only  
when configured for Single-shot opera-  
tion. If the part is in Sleep mode, and it is  
possible for a source other than the A/D  
module to wake the part, the user must  
poll ADCON<GO/DONE> to ensure it is  
clear before reading the result.  
Modes  
The selection of the automatic acquisition time and  
A/D conversion clock is determined in part by the  
clock source and frequency while in a power-man-  
aged mode.  
If the A/D is expected to operate while the device is in  
a power-managed mode, the ACQT3:ACQT0 and  
ADCS2:ADCS0 bits in ADCON2 should be updated in  
accordance with the power-managed mode clock that  
will be used. After the power-managed mode is entered  
(either of the power-managed run modes), an A/D  
acquisition or conversion may be started. Once an  
acquisition or conversion is started, the device should  
continue to be clocked by the same power-managed  
mode clock source until the conversion has been com-  
pleted. If desired, the device may be placed into the  
corresponding power-managed Idle mode during the  
conversion.  
20.8 Configuring Analog Port Pins  
The ANSEL0, ANSEL1, TRISA and TRISE registers all  
configure the A/D port pins. The port pins needed as  
analog inputs must have their corresponding TRIS bits  
set (input). If the TRIS bit is cleared (output), the digital  
output level (VOH or VOL) will be converted.  
The A/D operation is independent of the state of the  
ANSEL0, ANSEL1 and the TRIS bits.  
Note 1: When reading the Port register, all pins  
configured as analog input channels will  
read as cleared (a low level). Pins  
configured as digital inputs will convert an  
analog input. Analog levels on a digitally  
configured input will be accurately  
converted.  
If the power-managed mode clock frequency is less  
than 1 MHz, the A/D RC clock source should be  
selected.  
Operation in Sleep mode requires the A/D RC clock to  
be selected. If bits ACQT3:ACQT0 are set to ‘0000’,  
and a conversion is started, the conversion will be  
delayed one instruction cycle to allow execution of the  
SLEEPinstruction and entry to Sleep mode. The IDLEN  
and SCS bits in the OSCCON register must have  
already been cleared prior to starting the conversion.  
2: Analog levels on any pin defined as a  
digital input may cause the digital input  
buffer to consume current out of the  
device’s specification limits.  
DS39616B-page 256  
Preliminary  
2003 Microchip Technology Inc.  
PIC18F2331/2431/4331/4431  
Clearing the GO/DONE bit during a conversion will  
abort the current conversion. The resulting buffer loca-  
tion will contain the partially completed A/D conversion  
sample. This will not set the ADIF flag, therefore, the  
user must read the buffer location before a conversion  
sequence overwrites it.  
20.9 A/D Conversions  
Figure 20-3 shows the operation of the A/D converter  
after the GO bit has been set and the ACQT2:ACQT0  
bits are cleared. A conversion is started after the follow-  
ing instruction to allow entry into Sleep mode before the  
conversion begins. The internal A/D RC oscillator must  
be selected to perform a conversion in Sleep.  
After the A/D conversion is completed or aborted, a  
2 TAD wait is required before the next acquisition can  
be started. After this wait, acquisition on the selected  
channel is automatically started.  
Figure 20-4 shows the operation of the A/D converter  
after the GO bit has been set and the ACQT3:ACQT0  
bits are set to ‘010’, and selecting a 4 TAD acquisition  
time before the conversion starts.  
Note: The GO/DONE bit should NOT be set in  
the same instruction that turns on the A/D.  
FIGURE 20-3:  
A/D CONVERSION TAD CYCLES (ACQT<2:0> = 000, TACQ = 0)  
GO bit is set,  
and holding  
cap is  
TAD1 TAD2 TAD3 TAD4 TAD5 TAD6 TAD7 TAD8 TAD9 TAD10 TAD11  
b6  
b9  
b8  
b5  
b4  
b3  
b2  
b7  
b0  
b1  
disconnected  
from analog  
input  
Conversion Starts  
Go bit cleared on the rising edge of Q1 after the first Q3  
following TAD11(1), and result buffer is loaded.  
Note 1: Conversion time is a minimum of 11 TAD + 2 TCY, and a maximum of 11 TAD + 6 TCY.  
FIGURE 20-4:  
A/D CONVERSION TAD CYCLES (ACQT<3:0> = 0010, TACQ = 4 TAD)  
TACQT Cycles  
TAD Cycles  
1
2
3
4
TAD1 TAD2 TAD3 TAD4 TAD5 TAD6 TAD7 TAD8 TAD9 TAD10 TAD11  
b6  
b9  
Conversion Starts  
(Holding capacitor is disconnected)  
b8  
b5  
b4  
b3  
b2  
b7  
b0  
b1  
Automatic  
Acquisition  
Time  
A/D triggered  
Go bit cleared on the rising edge of Q1 after the first Q3  
following TAD11(1) and result buffer is loaded.  
Note 1: In continuous modes, next conversion starts at the end of TAD12.  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 257  
 
 
PIC18F2331/2431/4331/4431  
A/D Format Select bit (ADFM) controls this justification.  
Figure 20-5 shows the operation of the A/D result  
justification. The extra bits are loaded with ‘0’s. When  
an A/D result will not overwrite these locations (A/D  
disable), these registers may be used as two general  
purpose 8-bit registers.  
20.9.1  
A/D RESULT REGISTER  
The ADRESH:ADRESL register pair is the location  
where the 10-bit A/D result is loaded at the completion  
of the A/D conversion. This register pair is 16-bits wide.  
The A/D module gives the flexibility to left- or right-  
justify the 10-bit result in the 16-bit result register. The  
FIGURE 20-5:  
A/D RESULT JUSTIFICATION  
10-bit Result  
ADFM = 0  
ADFM = 1  
0
7
7
2 1 0 7  
0 7 6 5  
0
0000 00  
0000 00  
ADRESH  
ADRESL  
ADRESH  
ADRESL  
10-bit Result  
10-bit Result  
Left Justified  
Right Justified  
EQUATION 20-3: CONVERSION TIME FOR MULTICHANNEL MODES  
Sequential Mode:  
T = (TACQ)A + (TCON)A + [(TACQ)B - 12TAD] + (TCON)B + [(TACQ)C - 12TAD] + (TCON)C + [(TACQ)D - 12TAD] + (TCON)D  
Simultaneous Mode:  
T = TACQ + (TCON)A + (TCON)B + TACQ + (TCON)C + (TCON)D  
DS39616B-page 258  
Preliminary  
2003 Microchip Technology Inc.  
 
PIC18F2331/2431/4331/4431  
TABLE 20-3: SUMMARY OF A/D REGISTERS  
Value on  
all other  
Resets  
Value on  
POR, BOR  
Name  
Bit 7  
Bit 6  
Bit 5  
Bit 4  
Bit 3  
Bit 2  
Bit 1  
Bit 0  
INTCON  
GIE/  
GIEH  
PEIE/  
GIEL  
TMR0IE  
INT0IE  
RBIE  
TMR0IF  
INT0IF  
RBIF  
0000 0000 0000 0000  
PIR1  
PIE1  
IPR1  
PIR2  
PIE2  
IPR2  
PSPIF  
PSPIE  
ADIF  
ADIE  
ADIP  
CMIF  
CMIE  
CMIP  
RCIF  
RCIE  
RCIP  
TXIF  
TXIE  
TXIP  
EEIF  
EEIE  
EEIP  
SSPIF  
SSPIE  
SSPIP  
BCLIF  
BCLIE  
BCLIP  
CCP1IF  
CCP1IE  
CCP1IP  
LVDIF  
TMR2IF  
TMR2IE  
TMR2IP  
TMR3IF  
TMR3IE  
TMR3IP  
TMR1IF 0000 0000 0000 0000  
TMR1IE 0000 0000 0000 0000  
TMR1IP 1111 1111 1111 1111  
CCP2IF 00-0 0000 00-0 0000  
CCP2IE 00-0 0000 00-0 0000  
CCP2IP 11-1 1111 11-1 1111  
xxxx xxxx uuuu uuuu  
PSPIP  
OSCFIF  
OSCFIE  
OSCFIP  
LVDIE  
LVDIP  
ADRESH A/D Result Register High Byte  
ADRESL A/D Result Register Low Byte  
xxxx xxxx uuuu uuuu  
ADCON0  
ADCON1  
ADCON2  
ADCON3  
ADCHS  
ANSEL0  
ANSEL1  
PORTA  
ACONV ACMOD1 ACMOD0 CHS0 GO/DONE ADON  
00-1 0000 00-1 0000  
VCFG1  
ADFM  
ADRS1  
VCFG0  
ACQT3  
ADRS0  
ACQT2  
FIFOEN  
ACQT1  
SSRC4  
BFEMT BFOVFL ADPNT1 ADPNT0 --00 qqqq --00 qqqq  
ACQT0  
SSRC3  
ADCS2  
SSRC2  
ADCS1  
SSRC1  
ADCS0 0-00 0000 0-00 0000  
SSRC0 00-0 0000 00-0 0000  
GDSEL1 GDSEL0 GBSEL1 GBSEL0 GCSEL1 GCSEL0 GASEL1 GASEL0 0000 0000 0000 0000  
(6)  
(6)  
(6)  
ANS7  
ANS6  
ANS5  
ANS4  
ANS3  
ANS2  
ANS1  
ANS0  
1111 1111 1111 1111  
---- ---1 ---- ---1  
--0x 0000 --0u 0000  
--11 1111 --11 1111  
---- xxxx ---- uuuu  
0000 -111 0000 -111  
---- -xxx ---- -uuu  
(5)  
ANS8  
RA0  
(4)  
(4)  
RA7  
RA6  
RA5  
RA4  
RA3  
RA2  
RA1  
(4)  
(4)  
TRISA7  
TRISA6  
Data Direction Control Register for PORTA  
TRISA  
(2)  
(1)  
(4)  
PORTE  
IBOV  
PSPMODE  
RE3  
Read PORTE Pins, Write Late  
PORTE Data Direction  
(3)  
TRISE  
IBF  
OBE  
(3)  
LATE  
PORTE Output Data Latch  
Legend:  
x= unknown, u= unchanged, – = unimplemented, read as ‘0’, q = value depends on condition.  
Shaded cells are not used for A/D conversion.  
Note 1: RE3 port bit is available only as an input pin when MCLRE bit in configuration register is ‘0’.  
2: This register is not implemented on PIC18F2X31 devices.  
3: These bits are not implemented on PIC18F2X31 devices.  
4: These pins may be configured as port pins depending on the Oscillator mode selected.  
5: ANS5 through ANS8 are available only on the PIC18F4X31 devices.  
6: Not available on 28-pin devices.  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 259  
 
PIC18F2331/2431/4331/4431  
NOTES:  
DS39616B-page 260  
Preliminary  
2003 Microchip Technology Inc.  
PIC18F2331/2431/4331/4431  
until the device voltage is no longer in valid operating  
range, to shut down the system. Voltage point VB is the  
minimum valid operating voltage specification. This  
occurs at time TB. The difference TB TA is the total  
time for shutdown.  
21.0 LOW-VOLTAGE DETECT  
In many applications, the ability to determine if the  
device voltage (VDD) is below a specified voltage level  
is a desirable feature. A window of operation for the  
application can be created, where the application  
software can do “housekeeping tasks” before the  
device voltage exits the valid operating range. This can  
be done using the Low-Voltage Detect module (LVD).  
The block diagram for the LVD module is shown in  
Figure 21-2. A comparator uses an internally gener-  
ated reference voltage as the set point. When the  
selected tap output of the device voltage crosses the  
set point (is lower than), the LVDIF bit is set.  
This module is a software programmable circuitry,  
where a device voltage trip point can be specified.  
When the voltage of the device becomes lower then the  
specified point, an interrupt flag is set. If the interrupt is  
enabled, the program execution will branch to the  
interrupt vector address and the software can then  
respond to that interrupt source.  
Each node in the resistor divider represents a “trip  
point” voltage. The “trip point” voltage is the minimum  
supply voltage level at which the device can operate  
before the LVD module asserts an interrupt. When the  
supply voltage is equal to the trip point, the voltage  
tapped off of the resistor array is equal to the 1.2V  
internal reference voltage generated by the voltage  
reference module. The comparator then generates an  
interrupt signal setting the LVDIF bit. This voltage is  
software programmable to any one of 16 values (see  
Figure 21-2). The trip point is selected by programming  
the LVDL3:LVDL0 bits (LVDCON<3:0>).  
The Low-Voltage Detect circuitry is completely under  
software control. This allows the circuitry to be turned  
off by the software, which minimizes the current  
consumption for the device.  
Figure 21-1 shows a possible application voltage curve  
(typically for batteries). Over time, the device voltage  
decreases. When the device voltage equals voltage VA,  
the LVD logic generates an interrupt. This occurs at  
time TA. The application software then has the time,  
FIGURE 21-1:  
TYPICAL LOW-VOLTAGE DETECT APPLICATION  
VA  
VB  
Legend:  
VA = LVD trip point  
VB = Minimum valid device  
operating voltage  
TB  
TA  
Time  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 261  
 
 
PIC18F2331/2431/4331/4431  
FIGURE 21-2:  
LOW-VOLTAGE DETECT (LVD) BLOCK DIAGRAM  
VDD  
LVDIN  
LVD Control  
Register  
LVDIF  
Internally Generated  
LVDEN  
Reference Voltage  
1.2V  
The LVD module has an additional feature that allows  
the user to supply the sense voltage to the module  
from an external source. This mode is enabled when  
bits LVDL3:LVDL0 are set to ‘1111’. In this state, the  
comparator input is multiplexed from the external input  
pin, LVDIN (Figure 21-3). This gives users flexibility,  
because it allows them to configure the low-voltage  
detect interrupt to occur at any voltage in the valid  
operating range.  
FIGURE 21-3:  
LOW-VOLTAGE DETECT (LVD) WITH EXTERNAL INPUT BLOCK DIAGRAM  
VDD  
VDD  
LVD Control  
Register  
LVDIN  
LVDEN  
Externally Generated  
Trip Point  
LVD  
VxEN  
BODEN  
EN  
BGAP  
DS39616B-page 262  
Preliminary  
2003 Microchip Technology Inc.  
 
 
 
PIC18F2331/2431/4331/4431  
21.1 Control Register  
The Low-Voltage Detect Control register controls the  
operation of the Low-Voltage Detect circuitry.  
REGISTER 21-1: LVDCON REGISTER  
U-0  
U-0  
R-0  
R/W-0  
R/W-0  
LVDL3  
R/W-1  
LVDL2  
R/W-0  
LVDL1  
R/W-1  
LVDL0  
IRVST  
LVDEN  
bit 7  
bit 0  
bit 7-6 Unimplemented: Read as ‘0’  
bit 5  
bit 4  
IRVST: Internal Reference Voltage Stable Flag bit  
1= Indicates that the Low-Voltage Detect logic will generate the interrupt flag at the  
specified voltage range  
0= Indicates that the Low-Voltage Detect logic will not generate the interrupt flag at the  
specified voltage range and the LVD interrupt should not be enabled  
LVDEN: Low-Voltage Detect Power Enable bit  
1= Enables LVD, powers up LVD circuit  
0= Disables LVD, powers down LVD circuit  
bit 3-0 LVDL3:LVDL0: Low-Voltage Detection Limit bits  
1111= External analog input is used (input comes from the LVDIN pin)  
1110= 4.23V - 4.96V  
1101= 3.93V - 4.62V  
1100= 3.75V - 4.40V  
1011= 3.56V - 4.18V  
1010= 3.38V - 3.96V  
1001= 3.29V - 3.86V  
1000= 3.09V - 3.63V  
0111= 2.82V - 3.31V  
0110= 2.64V - 3.10V  
0101= 2.55V - 2.99V  
0100= 2.35V - 2.76V  
0011= 2.26V - 2.65V  
0010= 2.08V - 2.44V  
0001= Reserved  
0000= Reserved  
Note:  
LVDL3:LVDL0 modes which result in a trip point below the valid operating voltage  
of the device are not tested.  
Legend:  
R = Readable bit  
W = Writable bit  
‘1’ = Bit is set  
U = Unimplemented bit, read as ‘0’  
‘0’ = Bit is cleared x = Bit is unknown  
- n = Value at POR  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 263  
 
PIC18F2331/2431/4331/4431  
The following steps are needed to set up the LVD  
module:  
21.2 Operation  
Depending on the power source for the device voltage,  
the voltage normally decreases relatively slowly. This  
means that the LVD module does not need to be  
constantly operating. To decrease the current  
requirements, the LVD circuitry only needs to be  
enabled for short periods, where the voltage is  
checked. After doing the check, the LVD module may  
be disabled.  
1. Write the value to the LVDL3:LVDL0 bits  
(LVDCON register), which selects the desired  
LVD Trip Point.  
2. Ensure that LVD interrupts are disabled (the  
LVDIE bit is cleared or the GIE bit is cleared).  
3. Enable the LVD module (set the LVDEN bit in  
the LVDCON register).  
4. Wait for the LVD module to stabilize (the IRVST  
bit to become set).  
Each time that the LVD module is enabled, the circuitry  
requires some time to stabilize. After the circuitry has  
stabilized, all status flags may be cleared. The module  
will then indicate the proper state of the system.  
5. Clear the LVD interrupt flag, which may have  
falsely become set until the LVD module has  
stabilized (clear the LVDIF bit).  
6. Enable the LVD interrupt (set the LVDIE and the  
GIE bits).  
Figure 21-4 shows typical waveforms that the LVD  
module may be used to detect.  
FIGURE 21-4:  
LOW-VOLTAGE DETECT WAVEFORMS  
CASE 1:  
LVDIF may not be set  
VDD  
VLVD  
LVDIF  
Enable LVD  
Internally Generated  
Reference Stable  
TIVRST  
LVDIF cleared in software  
CASE 2:  
VDD  
VLVD  
LVDIF  
Enable LVD  
TIVRST  
Internally Generated  
Reference Stable  
LVDIF cleared in software  
LVDIF cleared in software,  
LVDIF remains set since LVD condition still exists  
DS39616B-page 264  
Preliminary  
2003 Microchip Technology Inc.  
 
 
 
PIC18F2331/2431/4331/4431  
21.2.1  
REFERENCE VOLTAGE SET POINT  
21.3 Operation During Sleep  
The internal reference voltage of the LVD module may  
be used by other internal circuitry (the Programmable  
Brown-out Reset). If these circuits are disabled (lower  
current consumption), the reference voltage circuit  
requires a time to become stable before a low-voltage  
condition can be reliably detected. This time is invariant  
of system clock speed. This start-up time is specified in  
electrical specification parameter 36. The low-voltage  
interrupt flag will not be enabled until a stable reference  
voltage is reached. Refer to the waveform in Figure 21-4.  
When enabled, the LVD circuitry continues to operate  
during Sleep. If the device voltage crosses the trip  
point, the LVDIF bit will be set and the device will wake-  
up from Sleep. Device execution will continue from the  
interrupt vector address if interrupts have been globally  
enabled.  
21.4 Effects of a Reset  
A device Reset forces all registers to their Reset state.  
This forces the LVD module to be turned off.  
21.2.2  
CURRENT CONSUMPTION  
When the module is enabled, the LVD comparator and  
voltage divider are enabled and will consume static  
current. The voltage divider can be tapped from  
multiple places in the resistor array. Total current  
consumption, when enabled, is specified in electrical  
specification parameter #D022B.  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 265  
 
 
 
 
PIC18F2331/2431/4331/4431  
NOTES:  
DS39616B-page 266  
Preliminary  
2003 Microchip Technology Inc.  
PIC18F2331/2431/4331/4431  
22.1 Configuration Bits  
22.0 SPECIAL FEATURES OF THE  
CPU  
The configuration bits can be programmed (read as  
0’), or left unprogrammed (read as ‘1’), to select  
various device configurations. These bits are mapped  
starting at program memory location 300000h.  
PIC18F2331/2431/4331/4431 devices include several  
features intended to maximize system reliability and  
minimize cost through elimination of external compo-  
nents. These are:  
The user will note that address 300000h is beyond the  
user program memory space. In fact, it belongs to the  
configuration memory space (300000h-3FFFFFh),  
which can only be accessed using table reads and  
table writes.  
• Oscillator Selection  
• Resets:  
- Power-on Reset (POR)  
- Power-up Timer (PWRT)  
- Oscillator Start-up Timer (OST)  
- Brown-out Reset (BOR)  
• Interrupts  
Programming the configuration registers is done in a  
manner similar to programming the Flash memory. The  
EECON1 register WR bit starts a self-timed write to the  
Configuration register. In normal Operation mode, a  
TBLWT instruction with the TBLPTR pointing to the  
Configuration register sets up the address and the data  
for the configuration register write. Setting the WR bit  
starts a long write to the Configuration register. The  
configuration registers are written a byte at a time. To  
write or erase a configuration cell, a TBLWTinstruction  
can write a ‘1’ or a ‘0’ into the cell. For additional details  
on Flash programming, refer to Section 6.5 “Writing  
to Flash Program Memory”.  
• Watchdog Timer (WDT)  
• Fail-Safe Clock Monitor  
• Two-Speed Start-up  
• Code Protection  
• ID Locations  
• In-Circuit Serial Programming™ (ICSP™)  
The oscillator can be configured for the application  
depending on frequency, power, accuracy and cost. All  
of the options are discussed in detail in Section 2.0  
“Oscillator Configurations”.  
A complete discussion of device Resets and interrupts  
is available in previous sections of this data sheet.  
In addition to their Power-up and Oscillator start-up tim-  
ers provided for Resets, PIC18F2331/2431/4331/4431  
devices have a Watchdog Timer, which is either perma-  
nently enabled via the configuration bits, or software  
controlled (if configured as disabled).  
The inclusion of an internal RC oscillator also provides  
the additional benefits of a Fail-Safe Clock Monitor  
(FSCM) and Two-Speed Start-up. FSCM provides for  
background monitoring of the peripheral clock and  
automatic switchover in the event of its failure. Two-  
Speed Start-up enables code to be executed almost  
immediately on start-up, while the primary clock source  
completes its start-up delays.  
All of these features are enabled and configured by  
setting the appropriate configuration register bits.  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 267  
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
PIC18F2331/2431/4331/4431  
TABLE 22-1: CONFIGURATION BITS AND DEVICE IDS  
Default/  
Unprogrammed  
Value  
File Name  
Bit 7  
Bit 6  
Bit 5  
Bit 4  
Bit 3  
Bit 2  
Bit 1  
Bit 0  
300000h CONFIG1L  
300001h CONFIG1H  
300002h CONFIG2L  
300003h CONFIG2H  
IESO  
FCMEN  
---- ----  
11-- 1111  
---- 1111  
---1 1111  
--11 11--  
1--1 1-11  
FOSC3  
BORV1  
WDPS2  
LPOL  
FOSC2  
BORV0  
WDPS1  
PWMPIN  
FOSC1  
FOSC0  
BOREN PWRTEN  
WINEN  
T1OSCMX  
WDPS3  
HPOL  
WDPS0  
WDTEN  
CONFIG3L  
300004h  
300005h CONFIG3H  
MCLRE  
EXCLKMX PWM4MX SSPMX  
FLTAMX  
STVREN  
300006h CONFIG4L DEBUG  
LVP  
1--- -1-1  
---- ----  
---- 1111  
11-- ----  
---- 1111  
111- ----  
---- 1111  
-1-- ----  
CONFIG4H  
300007h  
300008h CONFIG5L  
300009h CONFIG5H  
30000Ah CONFIG6L  
CP3  
CP2  
CP1  
CP0  
CPD  
CPB  
WRT3  
WRT2  
WRT1  
WRT0  
30000Bh CONFIG6H WRTD  
WRTB  
WRTC  
30000Ch CONFIG7L  
30000Dh CONFIG7H  
EBTR3  
EBTR2  
EBTR1  
EBTR0  
EBTRB  
DEV1  
DEV9  
(1)  
(1)  
3FFFFEh DEVID1  
DEV2  
DEV10  
DEV0  
DEV8  
REV4  
DEV7  
REV3  
DEV6  
REV2  
DEV5  
REV1  
DEV4  
REV0  
DEV3  
xxxx xxxx  
(1)  
3FFFFFh DEVID2  
0000 0101  
Legend:  
x= unknown, u= unchanged, – = unimplemented, q= value depends on condition.  
Shaded cells are unimplemented, read as ‘0’.  
Note 1: See Register 22-13 for DEVID1 values. DEVID registers are read-only and cannot be programmed by the user.  
REGISTER 22-1: CONFIG1H: CONFIGURATIONREGISTER1HIGH(BYTEADDRESS300001h)  
R/P-1  
IESO  
R/P-1  
U-0  
U-0  
R/P-1  
R/P-1  
R/P-1  
R/P-1  
FCMEN  
FOSC3  
FOSC2  
FOSC1  
FOSC0  
bit 7  
bit 0  
bit 7  
bit 6  
IESO: Internal External Switch Over bit  
1= Internal External Switch Over mode enabled  
0= Internal External Switch Over mode disabled  
FCMEN: Fail-Safe Clock Monitor Enable bit  
1= Fail-Safe Clock Monitor enabled  
0= Fail-Safe Clock Monitor disabled  
bit 5-4 Unimplemented: Read as ‘0’  
bit 3-0 FOSC<3:0>: Oscillator Selection bits  
11xx= External RC oscillator, CLKO function on RA6  
1001= Internal oscillator block, CLKO function on RA6, and port function on RA7  
1000= Internal oscillator block, port function on RA6, and port function on RA7  
0111= External RC oscillator, port function on RA6  
0110= HS oscillator, PLL enabled (clock frequency = 4 x FOSC1)  
0101= EC oscillator, port function on RA6  
0100= EC oscillator, CLKO function on RA6  
0010= HS oscillator  
0001= XT oscillator  
0000= LP oscillator  
Legend:  
R = Readable bit  
P = Programmable bit  
U = Unimplemented bit, read as ‘0’  
- n = Value when device is unprogrammed  
u = Unchanged from programmed state  
DS39616B-page 268  
Preliminary  
2003 Microchip Technology Inc.  
 
PIC18F2331/2431/4331/4431  
REGISTER 22-2: CONFIG2L: CONFIGURATION REGISTER 2LOW(BYTEADDRESS300002h)  
U-0  
U-0  
U-0  
U-0  
R/P-1  
R/P-1  
R/P-1  
R/P-1  
BORV1  
BORV0  
BOREN PWRTEN  
bit 0  
bit 7  
bit 7-4 Unimplemented: Read as ‘0’  
bit 3-2 BORV1:BORV0: Brown-out Reset Voltage bits  
11= Reserved  
10= VBOR set to 2.7V  
01= VBOR set to 4.2V  
00= VBOR set to 4.5V  
bit 1  
bit 0  
BOREN: Brown-out Reset Enable bit(1)  
1= Brown-out Reset enabled  
0= Brown-out Reset disabled  
PWRTEN: Power-up Timer Enable bit(1)  
1= PWRT disabled  
0= PWRT enabled  
Note 1: Having BOREN = 1 does not automatically override the PWRTEN to ‘0’ nor  
automatically enable the Power-up Timer.  
Legend:  
R = Readable bit  
- n = Value when device is unprogrammed  
P = Programmable bit  
U = Unimplemented bit, read as ‘0’  
u = Unchanged from programmed state  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 269  
 
PIC18F2331/2431/4331/4431  
REGISTER 22-3: CONFIG2H: CONFIGURATIONREGISTER 2HIGH (BYTEADDRESS300003h)  
U-0  
U-0  
R/P-1  
R/P-1  
R/P-1  
R/P-1  
R/P-1  
R/P-1  
WINEN  
WDTPS3 WDTPS2 WDTPS1 WDTPS0 WDTEN  
bit 0  
bit 7  
bit 7-6 Unimplemented: Read as ‘0’  
bit 5 WINEN: Watchdog Timer Window Enable bit  
1= WDT Window disabled  
0= WDT Window enabled  
bit 4-1 WDPS<3:0>: Watchdog Timer Postscale Select bits  
1111= 1:32,768  
1110= 1:16,384  
1101= 1:8,192  
1100= 1:4,096  
1011= 1:2,048  
1010= 1:1,024  
1001= 1:512  
1000= 1:256  
0111= 1:128  
0110= 1:64  
0101= 1:32  
0100= 1:16  
0011= 1:8  
0010= 1:4  
0001= 1:2  
0000= 1:1  
bit 0  
WDTEN: Watchdog Timer Enable bit  
1= WDT enabled  
0= WDT disabled (control is placed on the SWDTEN bit)  
Legend:  
R = Readable bit  
P = Programmable bit  
U = Unimplemented bit, read as ‘0’  
- n = Value when device is unprogrammed  
u = Unchanged from programmed state  
DS39616B-page 270  
Preliminary  
2003 Microchip Technology Inc.  
 
 
PIC18F2331/2431/4331/4431  
REGISTER 22-4: CONFIG3L: CONFIGURATION REGISTER 3 LOW (BYTE ADDRESS 300004h)  
U-0  
U
R/P-1  
R/P-1  
HPOL  
R/P-1  
LPOL  
R/P-1  
U
U
T1OSCMX  
PWMPIN  
bit 7  
bit 0  
bit 7-6 Unimplemented: Read as ‘0’  
bit 5  
bit 4  
T1OSCMX: Timer1 Oscillator Mode bit  
1= Low power Timer1 operation when microcontroller is in Sleep mode.  
0= Standard (legacy) Timer1 oscillator operation.  
HPOL(1): High-Side Transistors Polarity bit (i.e., odd PWM output polarity control bit )  
1= PWM 1, 3, 5 and 7 are active-high (default)  
0= PWM 1, 3, 5 and 7 are active-low  
bit 3  
bit 2  
LPOL(1): Low-Side Transistors Polarity bit (i.e., even PWM output polarity control bit)  
1= PWM 0, 2, 4 and 6 are active-high (default)  
0= PWM 0, 2, 4 and 6 are active-low  
PWMPIN(2): PWM output pins Reset state control bit  
1= PWM outputs disabled upon Reset (default)  
0= PWM outputs drive active states upon Reset(3)  
bit 1-0 Unimplemented: Read as ‘0’  
Note 1: Polarity control bits HPOL and LPOL define PWM signal output active and inactive  
states; PWM states generated by the fault inputs or PWM manual override.  
2: PWM6 and PWM7 output channels are only available on the PIC18F4X21 devices.  
3: When PWMPIN = 0, PWMEN<2:0> = 101 if device has eight PWM output pins (40  
and 44-pin devices) and PWMEN<2:0> = 100if the device has six PWM output pins  
(28-pin device). PWM output polarity is defined by HPOL and LPOL.  
Legend:  
R = Readable bit  
- n = Value when device is unprogrammed  
P = Programmable bit  
U = Unimplemented bit, read as ‘0’  
u = Unchanged from programmed state  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 271  
 
PIC18F2331/2431/4331/4431  
REGISTER 22-5: CONFIG3H: CONFIGURATIONREGISTER3HIGH(BYTEADDRESS300005h)  
R/P-1  
U
U
R/P-1  
R/P-1  
R/P-1  
U
R/P-1  
EXCLKMX(1) PWM4MX(1) SSPMX(1)  
FLTAMX(1)  
bit 0  
MCLRE  
bit 7  
bit 7  
MCLRE: MCLR Pin Enable bit  
1= RE3 input pin enabled; MCLR disabled.  
0= MCLR pin enabled: RE3 input pin disabled.  
bit 6-5  
bit 4  
Unimplemented: Read as ‘0’  
EXCLKMX: TMR0/T5CKI External Clock Mux bit  
1= TMR0/T5CKI external clock input is multiplexed with RC3  
0= TMR0/T5CKI external clock input is multiplexed with RD0  
bit 3  
bit 2  
PWM4MX: PWM4 Mux bit  
1= PWM4 output is multiplexed with RB5  
0= PWM4 output is multiplexed with RD5  
SSPMX: SSP I/O Mux bit  
1= SCK/SCL clocks and SDA/SDI data are multiplexed with RC5 and RC4 respectively.  
SDO output is multiplexed with RC7.  
0= SCK/SCL clocks and SDA/SDI data are multiplexed with RD3 and RD2 respectively.  
SDO output is multiplexed with RD1.  
bit 1  
bit 0  
Unimplemented: Read as ‘0’  
FLTAMX: FLTA Mux bit  
1= FLTA input is multiplexed with RC1  
0= FLTA input is multiplexed with RD4  
Note 1: Unimplemented in PIC18F2X31 devices; maintain this bit set.  
Legend:  
R = Readable bit  
P = Programmable bit  
U = Unimplemented bit, read as ‘0’  
- n = Value when device is unprogrammed  
u = Unchanged from programmed state  
DS39616B-page 272  
Preliminary  
2003 Microchip Technology Inc.  
 
PIC18F2331/2431/4331/4431  
REGISTER 22-6: CONFIG4L: CONFIGURATION REGISTER 4LOW(BYTEADDRESS300006h)  
R/P-1  
DEBUG  
bit 7  
U-0  
U-0  
U-0  
U-0  
R/P-1  
LVP  
U-0  
R/P-1  
STVREN  
bit 0  
bit 7  
DEBUG: Background Debugger Enable bit  
1= Background Debugger disabled, RB6 and RB7 configured as general purpose I/O pins  
0= Background Debugger enabled, RB6 and RB7 are dedicated to in-circuit debug  
bit 6-3 Unimplemented: Read as ‘0’  
bit 2  
LVP: Low-Voltage ICSP Enable bit  
1= Low-Voltage ICSP enabled  
0= Low-Voltage ICSP disabled  
bit 1  
bit 0  
Unimplemented: Read as ‘0’  
STVREN: Stack Full/Underflow Reset Enable bit  
1= Stack Full/Underflow will cause Reset  
0= Stack Full/Underflow will not cause Reset  
Legend:  
R = Readable bit  
C = Clearable bit  
U = Unimplemented bit, read as ‘0’  
- n = Value when device is unprogrammed  
u = Unchanged from programmed state  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 273  
 
PIC18F2331/2431/4331/4431  
REGISTER 22-7: CONFIG5L: CONFIGURATION REGISTER 5LOW(BYTEADDRESS300008h)  
U-0  
U-0  
U-0  
U-0  
R/C-1  
CP3(1)  
R/C-1  
CP2(1)  
R/C-1  
CP1  
R/C-1  
CP0  
bit 7  
bit 0  
bit 7-4 Unimplemented: Read as ‘0’  
bit 3  
bit 2  
bit 1  
bit 0  
CP3: Code Protection bit  
1= Block 3 (001800-001FFFh) not code-protected  
0= Block 3 (001800-001FFFh) code-protected  
CP2: Code Protection bit  
1= Block 2 (001000-0017FFh) not code-protected  
0= Block 2 (001000-0017FFh) code-protected  
CP1: Code Protection bit  
1= Block 1 (000800-000FFFh) not code-protected  
0= Block 1 (000800-000FFFh) code-protected  
CP0: Code Protection bit  
1= Block 0 (000200-0007FFh) not code-protected  
0= Block 0 (000200-0007FFh) code-protected  
Note 1: Unimplemented in PIC18F2X31 devices; maintain this bit set.  
Legend:  
R = Readable bit  
- n = Value when device is unprogrammed  
C = Clearable bit  
U = Unimplemented bit, read as ‘0’  
u = Unchanged from programmed state  
REGISTER 22-8: CONFIG5H: CONFIGURATIONREGISTER5HIGH(BYTEADDRESS300009h)  
R/C-1  
CPD  
R/C-1  
CPB  
U-0  
U-0  
U-0  
U-0  
U-0  
U-0  
bit 7  
bit 0  
bit 7  
bit 6  
CPD: Data EEPROM Code Protection bit  
1= Data EEPROM not code-protected  
0= Data EEPROM code-protected  
CPB: Boot Block Code Protection bit  
1= Boot block (000000-0001FFh) not code-protected  
0= Boot block (000000-0001FFh) code-protected  
bit 5-0 Unimplemented: Read as ‘0’  
Legend:  
R = Readable bit  
C = Clearable bit  
U = Unimplemented bit, read as ‘0’  
- n = Value when device is unprogrammed  
u = Unchanged from programmed state  
DS39616B-page 274  
Preliminary  
2003 Microchip Technology Inc.  
 
PIC18F2331/2431/4331/4431  
REGISTER 22-9: CONFIG6L: CONFIGURATIONREGISTER6LOW(BYTEADDRESS30000Ah)  
U-0  
U-0  
U-0  
U-0  
R/P-1  
WRT3(1) WRT2(1)  
R/P-1  
R/P-1  
R/P-1  
WRT1  
WRT0  
bit 7  
bit 0  
bit 7-4 Unimplemented: Read as ‘0’  
bit 3  
bit 2  
bit 1  
bit 0  
WRT3: Write Protection bit(1)  
1= Block 3 (001800-001FFFh) not write-protected  
0= Block 3 (001800-001FFFh) write-protected  
WRT2: Write Protection bit(1)  
1= Block 2 (001000-0017FFh) not write-protected  
0= Block 2 (001000-0017FFh) write-protected  
WRT1: Write Protection bit  
1= Block 1 (000800-000FFFh) not write-protected  
0= Block 1 (000800-000FFFh) write-protected  
WRT0: Write Protection bit  
1= Block 0 (000200-0007FFh) not write-protected  
0= Block 0 (000200-0007FFh) write-protected  
Note 1: Unimplemented in PIC18F2X31 devices; maintain this bit set.  
Legend:  
R = Readable bit  
- n = Value when device is unprogrammed  
P = Programmable bit  
U = Unimplemented bit, read as ‘0’  
u = Unchanged from programmed state  
REGISTER 22-10: CONFIG6H: CONFIGURATIONREGISTER6HIGH(BYTEADDRESS30000Bh)  
R/P-1  
R/P-1  
R-1  
U-0  
U-0  
U-0  
U-0  
U-0  
WRTD  
WRTB  
WRTC  
bit 7  
bit 0  
bit 7  
bit 6  
bit 5  
WRTD: Data EEPROM Write Protection bit  
1= Data EEPROM not write-protected  
0= Data EEPROM write-protected  
WRTB: Boot Block Write Protection bit  
1= Boot block (000000-0001FFh) not write-protected  
0= Boot block (000000-0001FFh) write-protected  
WRTC: Configuration Register Write Protection bit  
1= Configuration registers (300000-3000FFh) not write-protected  
0= Configuration registers (300000-3000FFh) write-protected  
Note:  
This bit is read-only in normal Execution mode; it can be written only in  
Program mode.  
bit 4-0 Unimplemented: Read as ‘0’  
Legend:  
R = Readable bit  
P = Programmable bit U = Unimplemented bit, read as ‘0’  
- n = Value when device is unprogrammed  
u = Unchanged from programmed state  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 275  
 
 
PIC18F2331/2431/4331/4431  
REGISTER 22-11: CONFIG7L: CONFIGURATIONREGISTER7LOW(BYTEADDRESS30000Ch)  
U-0  
U-0  
U-0  
U-0  
R/P-1  
EBTR3(1) EBTR2(1)  
R/P-1  
R/P-1  
R/P-1  
EBTR1  
EBTR0  
bit 7  
bit 0  
bit 7-4 Unimplemented: Read as ‘0’  
bit 3  
bit 2  
bit 1  
bit 0  
EBTR3: Table Read Protection bit(1)  
1= Block 3 (001800-001FFFh) not protected from table reads executed in other blocks  
0= Block 3 (001800-001FFFh) protected from table reads executed in other blocks  
EBTR2: Table Read Protection bit(1)  
1= Block 2 (001000-0017FFh) not protected from table reads executed in other blocks  
0= Block 2 (001000-0017FFh) protected from table reads executed in other blocks  
EBTR1: Table Read Protection bit  
1= Block 1 (000800-000FFFh) not protected from table reads executed in other blocks  
0= Block 1 (000800-000FFFh) protected from table reads executed in other blocks  
EBTR0: Table Read Protection bit  
1= Block 0 (000200-0007FFh) not protected from table reads executed in other blocks  
0= Block 0 (000200-0007FFh) protected from table reads executed in other blocks  
Note 1: Unimplemented in PIC18F2X31 devices; maintain this bit set.  
Legend:  
R = Readable bit  
P = Programmable bit  
U = Unimplemented bit, read as ‘0’  
u = Unchanged from programmed state  
- n = Value when device is unprogrammed  
REGISTER 22-12: CONFIG7H: CONFIGURATIONREGISTER7HIGH(BYTEADDRESS30000Dh)  
U-0  
R/P-1  
U-0  
U-0  
U-0  
U-0  
U-0  
U-0  
EBTRB  
bit 7  
bit 0  
bit 7  
bit 6  
Unimplemented: Read as ‘0’  
EBTRB: Boot Block Table Read Protection bit  
1= Boot block (000000-0001FFh) not protected from table reads executed in other blocks  
0= Boot block (000000-0001FFh) protected from table reads executed in other blocks  
bit 5-0 Unimplemented: Read as ‘0’  
Legend:  
R = Readable bit  
P = Programmable bit U = Unimplemented bit, read as ‘0’  
- n = Value when device is unprogrammed  
u = Unchanged from programmed state  
DS39616B-page 276  
Preliminary  
2003 Microchip Technology Inc.  
 
 
PIC18F2331/2431/4331/4431  
REGISTER 22-13: DEVICE ID REGISTER 1 FOR PIC18F2331/2431/4331/4431 DEVICES  
R
R
R
R
R
R
R
R
DEV2  
DEV1  
DEV0  
REV4  
REV3  
REV2  
REV1  
REV0  
bit 7  
bit 0  
bit 7-5 DEV<2:0>: Device ID bits  
These bits are used with the DEV<10:3> bits in the Device ID register 2 to identify the part  
number.  
000= PIC18F4331  
001= PIC18F4431  
100= PIC18F2331  
101= PIC18F2431  
bit 4-0 REV<4:0>: Revision ID bits  
These bits are used to indicate the device revision.  
Legend:  
R = Read-only bit  
P = Programmable bit U = Unimplemented bit, read as ‘0’  
u = Unchanged from programmed state  
- n = Value when device is unprogrammed  
REGISTER 22-14: DEVICE ID REGISTER 2 FOR PIC18F2331/2431/4331/4431 DEVICES  
R
R
R
R
R
R
R
R
DEV10  
DEV9  
DEV8  
DEV7  
DEV6  
DEV5  
DEV4  
DEV3  
bit 7  
bit 0  
bit 7-0 DEV10:DEV3: Device ID bits  
These bits are used with the DEV2:DEV0 bits in the Device ID Register 1 to identify the  
part number  
0000 0101= PIC18F2331/2431/4331/4431 devices  
Note 1: These values for DEV10:DEV3 may be shared with other devices. The specific  
device is always identified by using the entire DEV10:DEV0 bit sequence.  
Legend:  
R = Read-only bit  
- n = Value when device is unprogrammed  
P = Programmable bit U = Unimplemented bit, read as ‘0’  
u = Unchanged from programmed state  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 277  
 
 
PIC18F2331/2431/4331/4431  
22.2 Watchdog Timer (WDT)  
Note 1: The CLRWDT and SLEEP instructions  
clear the WDT and postscaler counts  
when executed.  
For PIC18F2331/2431/4331/4431 devices, the WDT is  
driven by the INTRC source. When the WDT is  
enabled, the clock source is also enabled. The nominal  
WDT period is 4 ms and has the same stability as the  
INTRC oscillator.  
2: Changing the setting of the IRCF bits  
(OSCCON<6:4> clears the WDT and  
postscaler counts.  
The 4 ms period of the WDT is multiplied by a 16-bit  
postscaler. Any output of the WDT postscaler is  
selected by a multiplexer, controlled by bits in  
Configuration Register 2H (see Register 22-3).  
Available periods range from 4 ms to 131.072 seconds  
(2.18 minutes). The WDT and postscaler are cleared  
when any of the following events occur: execute a  
SLEEP or CLRWDT instruction, the IRCF bits  
(OSCCON<6:4>) are changed, or a clock failure has  
occurred (see Section 22.4.1 “FSCM and the  
Watchdog Timer”).  
3: When a CLRWDT instruction is executed  
the postscaler count will be cleared.  
4: If WINEN = 0, then CLRWDT must be  
executed only when WDTW = 1; other-  
wise, a device reset will result.  
22.2.1  
CONTROL REGISTER  
Register 22-15 shows the WDTCON register. This is a  
readable and writable register. The SWDTEN bit allows  
software to enable or disable the WDT, but only if the  
configuration bit has disabled the WDT. The WDTW bit  
is a read-only bit that indicates when the WDT count is  
in the fourth quadrant (i.e., when the 8-bit WDT value is  
b11000000’ or greater).  
Adjustments to the internal oscillator clock period using  
the OSCTUNE register also affect the period of the  
WDT by the same factor. For example, if the INTRC  
period is increased by 3%, then the WDT period is  
increased by 3%.  
FIGURE 22-1:  
WDT BLOCK DIAGRAM  
Enable WDT  
SWDTEN  
WDTEN  
INTRC Control  
WDT Counter  
Wake-up  
from Sleep  
÷125  
INTRC Source  
Change on IRCF Bits  
CLRWDT  
WDT  
Reset  
Reset  
Programmable Postscaler  
1:1 to 1:32,768  
All Device Resets  
WDT  
4
WDTPS<3:0>  
Sleep  
REGISTER 22-15: WDTCON REGISTER  
R-0  
U-0  
U-0  
U-0  
U-0  
U-0  
U-0  
R/W-0  
SWDTEN  
bit 0  
WDTW  
bit 7  
bit 7  
WDTW: Watchdog Timer Window bit  
1= WDT count is in fourth quadrant  
0= WDT count is not in fourth quadrant  
bit 6  
bit 0  
Unimplemented  
(1)  
SWDTEN: Software Enable / Disable for Watch Dog Timer bit  
1= WDT is turned on  
0= WDT is turned off  
Note 1: If WDTEN configuration bit = 1, then WDT is always enabled, irrespective of this control  
bit. If WDTEN configuration bit = 0, then it is possible to turn WDT on/off with this con-  
trol bit.  
Legend:  
R = Readable bit  
W = Writable bit  
U = Unimplemented bit, read as ‘0’  
- n = Value at POR  
DS39616B-page 278  
Preliminary  
2003 Microchip Technology Inc.  
 
 
 
 
 
 
 
PIC18F2331/2431/4331/4431  
TABLE 22-2: SUMMARY OF WATCHDOG TIMER REGISTERS  
Name  
Bit 7  
Bit 6  
Bit 5  
Bit 4  
Bit 3  
Bit 2  
Bit 1  
Bit 0  
CONFIG2H  
RCON  
WINEN  
WDTPS3 WDTPS2 WDTPS2 WDTPS0  
WDTEN  
BOR  
IPEN  
WDTW  
RI  
TO  
PD  
POR  
WDTCON  
SWDTEN  
Legend: Shaded cells are not used by the Watchdog Timer.  
22.3.1  
SPECIAL CONSIDERATIONS FOR  
USING TWO-SPEED START-UP  
22.3 Two-Speed Start-up  
The Two-Speed Start-up feature helps to minimize the  
latency period from oscillator start-up to code execution  
by allowing the microcontroller to use the INTRC oscil-  
lator as a clock source until the primary clock source is  
available. It is enabled by setting the IESO bit in  
Configuration Register 1H (CONFIG1H<7>).  
While using the INTRC oscillator in Two-Speed Start-  
up, the device still obeys the normal command  
sequences for entering power-managed modes,  
including serial SLEEP instructions (refer to  
Section 3.1.3 “Multiple Sleep Commands”). In prac-  
tice, this means that user code can change the  
SCS1:SCS0 bit settings and issue SLEEPcommands  
before the OST times out. This would allow an applica-  
tion to briefly wake-up, perform routine “housekeeping”  
tasks and return to Sleep before the device starts to  
operate from the primary oscillator.  
Two-Speed Start-up is available only if the primary  
Oscillator mode is LP, XT, HS or HSPLL (crystal-based  
modes). Other sources do not require a OST start-up  
delay; for these, Two-Speed Start-up is disabled.  
When enabled, Resets and wake-ups from Sleep mode  
cause the device to configure itself to run from the inter-  
nal oscillator block as the clock source, following the  
time-out of the Power-up Timer after a POR Reset is  
enabled. This allows almost immediate code execu-  
tion, while the primary oscillator starts and the OST is  
running. Once the OST times out, the device automat-  
ically switches to PRI_RUN mode.  
User code can also check if the primary clock source is  
currently providing the system clocking by checking the  
status of the OSTS bit (OSCCON<3>). If the bit is set,  
the primary oscillator is providing the system clock.  
Otherwise, the internal oscillator block is providing the  
clock during wake-up from Reset or Sleep mode.  
Because the OSCCON register is cleared on Reset  
events, the INTOSC (or postscaler) clock source is not  
initially available after a Reset event; the INTRC clock  
is used directly at its base frequency. To use a higher  
clock speed on wake-up, the INTOSC or postscaler  
clock sources can be selected to provide a higher clock  
speed by setting bits IFRC2:IFRC0 immediately after  
Reset. For wake-ups from Sleep, the INTOSC or  
postscaler clock sources can be selected by setting  
IFRC2:IFRC0 prior to entering Sleep mode.  
In all other power-managed modes, Two-Speed Start-  
up is not used. The device will be clocked by the cur-  
rently selected clock source until the primary clock  
source becomes available. The setting of the IESO bit  
is ignored.  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 279  
 
 
 
PIC18F2331/2431/4331/4431  
FIGURE 22-2:  
TIMING TRANSITION FOR TWO-SPEED START-UP (INTOSC TO HSPLL)  
Q3  
Q4  
Q1  
Q2 Q3 Q4 Q1 Q2 Q3 Q4  
Q1  
Q2  
INTOSC  
Multiplexer  
OSC1  
(1)  
(1)  
TOST  
TPLL  
PLL Clock  
Output  
1
2
3
4
5
6
7
8
Clock Transition  
CPU Clock  
Peripheral  
Clock  
Program  
Counter  
PC + 4  
PC  
PC + 2  
PC + 6  
OSTS bit Set  
Note 1: TOST = 1024 TOSC; TPLL = 2 ms (approx). These intervals are not shown to scale.  
Wake from Interrupt Event  
DS39616B-page 280  
Preliminary  
2003 Microchip Technology Inc.  
 
PIC18F2331/2431/4331/4431  
To use a higher clock speed on wake-up, the INTOSC  
or postscaler clock sources can be selected to provide  
a higher clock speed by setting bits IFRC2:IFRC0  
immediately after Reset. For wake-ups from Sleep, the  
INTOSC or postscaler clock sources can be selected  
by setting IFRC2:IFRC0 prior to entering Sleep mode.  
22.4 Fail-Safe Clock Monitor  
The Fail-Safe Clock Monitor (FSCM) allows the  
microcontroller to continue operation in the event of an  
external oscillator failure, by automatically switching  
the system clock to the internal oscillator block. The  
FSCM function is enabled by setting the Fail-Safe  
Clock Monitor Enable bit, FCMEN (CONFIG1H<6>).  
Adjustments to the internal oscillator block using the  
OSCTUNE register also affect the period of the FSCM  
by the same factor. This can usually be neglected, as  
the clock frequency being monitored is generally much  
higher than the sample clock frequency.  
When FSCM is enabled, the INTRC oscillator runs at  
all times to monitor clocks to peripherals and provide  
an instant backup clock in the event of a clock failure.  
Clock monitoring (shown in Figure 22-3) is  
accomplished by creating a sample clock signal, which  
is the INTRC output divided by 64. This allows ample  
time between FSCM sample clocks for a peripheral  
clock edge to occur. The peripheral system clock and  
the sample clock are presented as inputs to the Clock  
Monitor latch (CM). The CM is set on the falling edge of  
the system clock source, but cleared on the rising edge  
of the sample clock.  
The FSCM will detect failures of the primary or second-  
ary clock sources only. If the internal oscillator block  
fails, no failure would be detected, nor would any action  
be possible.  
22.4.1  
FSCM AND THE WATCHDOG TIMER  
Both the FSCM and the WDT are clocked by the  
INTRC oscillator. Since the WDT operates with a  
separate divider and counter, disabling the WDT has  
no effect on the operation of the INTRC oscillator when  
the FSCM is enabled.  
FIGURE 22-3:  
FSCM BLOCK DIAGRAM  
Clock Monitor  
Latch (CM)  
(edge-triggered)  
As already noted, the clock source is switched to the  
INTOSC clock when a clock failure is detected.  
Depending on the frequency selected by the  
IRCF2:IRCF0 bits, this may mean a substantial change  
in the speed of code execution. If the WDT is enabled  
with a small prescale value, a decrease in clock speed  
allows a WDT time-out to occur, and a subsequent  
device Reset. For this reason, fail-safe clock events  
also reset the WDT and postscaler, allowing it to start  
timing from when execution speed was changed and  
decreasing the likelihood of an erroneous time-out.  
Peripheral  
Clock  
S
Q
Q
INTRC  
Source  
C
÷ 64  
(32 µs)  
488 Hz  
(2.048 ms)  
Clock  
Failure  
Detected  
22.4.2  
EXITING FAIL-SAFE OPERATION  
The fail-safe condition is terminated by either a device  
Reset, or by entering a power-managed mode. On Reset,  
the controller starts the primary clock source specified in  
Configuration Register 1H (with any required start-up  
delays that are required for the Oscillator mode, such as  
OST or PLL timer). The INTOSC multiplexer provides the  
system clock until the primary clock source becomes  
ready (similar to a Two-Speed Start-up). The clock system  
source is then switched to the primary clock (indicated by  
the OSTS bit in the OSCCON register becoming set). The  
Fail-Safe Clock Monitor then resumes monitoring the  
peripheral clock.  
Clock failure is tested for on the falling edge of the  
sample clock. If a sample clock falling edge occurs  
while CM is still set, a clock failure has been detected  
(Figure 22-4). This causes the following:  
• the FSCM generates an oscillator fail interrupt by  
setting bit OSCFIF (PIR2<7>);  
• the system clock source is switched to the internal  
oscillator block (OSCCON is not updated to show  
the current clock source – this is the fail-safe  
condition); and  
• the WDT is reset.  
The primary clock source may never become ready  
during start-up. In this case, operation is clocked by the  
INTOSC multiplexer. The OSCCON register will remain in  
its Reset state until a power-managed mode is entered.  
Since the postscaler frequency from the internal  
oscillator block may not be sufficiently stable, it may be  
desirable to select another clock configuration and  
enter an alternate power-managed mode (see  
Section 22.3.1 “Special Considerations for Using  
Two-Speed Start-up” and Section 3.1.3 “Multiple  
Sleep Commands” for more details). This can be  
done to attempt a partial recovery or execute a  
controlled shutdown.  
Entering a power-managed mode by loading the  
OSCCON register and executing a SLEEP instruction  
will clear the fail-safe condition. When the fail-safe  
condition is cleared, the clock monitor will resume  
monitoring the peripheral clock.  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 281  
 
 
 
 
PIC18F2331/2431/4331/4431  
FIGURE 22-4:  
FSCM TIMING DIAGRAM  
Sample Clock  
Oscillator  
Failure  
System  
Clock  
Output  
CM Output  
(Q)  
Failure  
Detected  
OSCFIF  
CM Test  
CM Test  
CM Test  
Note:  
The system clock is normally at a much higher frequency than the sample clock. The relative frequencies in  
this example have been chosen for clarity.  
22.4.3  
FSCM INTERRUPTS IN POWER-  
MANAGED MODES  
22.4.4  
POR OR WAKE FROM SLEEP  
The FSCM is designed to detect oscillator failure at any  
point after the device has exited Power-on Reset  
(POR) or Low-Power Sleep mode. When the primary  
system clock is EC, RC or INTRC modes, monitoring  
can begin immediately following these events.  
As previously mentioned, entering a power-managed  
mode clears the fail-safe condition. By entering a  
power-managed mode, the clock multiplexer selects  
the clock source selected by the OSCCON register.  
Fail-safe monitoring of the power-managed clock  
source resumes in the power-managed mode.  
For oscillator modes involving a crystal or resonator  
(HS, HSPLL, LP or XT), the situation is somewhat  
different. Since the oscillator may require a start-up  
time considerably longer than the FCSM sample clock  
time, a false clock failure may be detected. To prevent  
this, the internal oscillator block is automatically  
configured as the system clock and functions until the  
primary clock is stable (the OST and PLL timers have  
timed out). This is identical to Two-Speed Start-up  
mode. Once the primary clock is stable, the INTRC  
returns to its role as the FSCM source.  
If an oscillator failure occurs during power-managed  
operation, the subsequent events depend on whether  
or not the oscillator failure interrupt is enabled. If  
enabled (OSCFIF = 1), code execution will be clocked  
by the INTOSC multiplexer. An automatic transition  
back to the failed clock source will not occur.  
If the interrupt is disabled, the device will not exit the  
power-managed mode on oscillator failure. Instead, the  
device will continue to operate as before, but clocked  
by the INTOSC multiplexer. While in Idle mode, subse-  
quent interrupts will cause the CPU to begin executing  
instructions while being clocked by the INTOSC multi-  
plexer. The device will not transition to a different clock  
source until the fail-safe condition is cleared.  
Note:  
The same logic that prevents false  
oscillator failure interrupts on POR or  
wake from Sleep will also prevent the  
detection of the oscillator’s failure to start  
at all following these events. This can be  
avoided by monitoring the OSTS bit and  
using a timing routine to determine if the  
oscillator is taking too long to start. Even  
so, no oscillator failure interrupt will be  
flagged.  
As noted in Section 22.3.1 “Special Considerations  
for Using Two-Speed Start-up”, it is also possible to  
select another clock configuration and enter an  
alternate power-managed mode, while waiting for the  
primary system clock to become stable. When the new  
Powered Managed mode is selected, the primary clock  
is disabled.  
DS39616B-page 282  
Preliminary  
2003 Microchip Technology Inc.  
 
 
 
PIC18F2331/2431/4331/4431  
Each of the five blocks has three code protection bits  
associated with them. They are:  
22.5 Program Verification and  
Code Protection  
• Code-Protect bit (CPn)  
The overall structure of the code protection on the  
PIC18 Flash devices differs significantly from other  
PICmicro® devices.  
• Write-Protect bit (WRTn)  
• External Block Table Read bit (EBTRn)  
Figure 22-5 shows the program memory organization  
for 8- and 16-Kbyte devices, and the specific code  
protection bit associated with each block. The actual  
locations of the bits are summarized in Table 22-3.  
The user program memory is divided into five blocks.  
One of these is a boot block of 512 bytes. The  
remainder of the memory is divided into four blocks on  
binary boundaries.  
FIGURE 22-5:  
CODE-PROTECTED PROGRAM MEMORY FOR PIC18F2331/2431/4331/4431  
MEMORY SIZE/DEVICE  
Block Code Protection  
Controlled By:  
8 Kbytes  
(PIC18FX331)  
Address  
Range  
16 Kbytes  
(PIC18FX431)  
Address  
Range  
0000h  
0FFFh  
0000h  
01FFh  
Boot Block  
Boot Block  
Block 0  
CPB, WRTB, EBTRB  
CP0, WRT0, EBTR0  
0200h  
0200h  
Block 0  
Block 1  
0FFFh  
1000h  
0FFFh  
1000h  
Block 1  
Block 2  
Block 3  
CP1, WRT1, EBTR1  
CP2, WRT2, EBTR2  
CP3, WRT3, EBTR3  
1FFFh  
1FFFh  
2000h  
2FFFh  
3000h  
Unimplemented  
Read 0’s  
3FFFh  
3FFFh  
TABLE 22-3: SUMMARY OF CODE PROTECTION REGISTERS  
File Name  
Bit 7  
Bit 6  
Bit 5  
Bit 4  
Bit 3  
Bit 2  
Bit 1  
Bit 0  
300008h  
CONFIG5L  
CONFIG5H  
CONFIG6L  
CPD  
CPB  
CP3  
CP2  
CP1  
CP0  
300009h  
30000Ah  
30000Bh  
30000Ch  
30000Dh  
WRT3  
WRT2  
WRT1  
WRT0  
CONFIG6H WRTD  
WRTB  
WRTC  
CONFIG7L  
CONFIG7H  
EBTR3  
EBTR2  
EBTR1  
EBTR0  
EBTRB  
Legend: Shaded cells are unimplemented.  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 283  
 
 
 
 
PIC18F2331/2431/4331/4431  
22.5.1  
PROGRAM MEMORY  
CODE PROTECTION  
Note:  
Code protection bits may only be written to  
a ‘0’ from a ‘1’ state. It is not possible to  
write a ‘1’ to a bit in the ‘0’ state. Code  
protection bits are only set to ‘1’ by a full  
chip erase or block erase function. The full  
chip erase and block erase functions can  
only be initiated via ICSP or an external  
programmer.  
The program memory may be read to or written from  
any location using the table read and table write  
instructions. The device ID may be read with table  
reads. The configuration registers may be read and  
written with the table read and table write instructions.  
In normal Execution mode, the CPn bits have no direct  
effect. CPn bits inhibit external reads and writes. A block  
of user memory may be protected from table writes if the  
WRTn configuration bit is ‘0’. The EBTRn bits control  
table reads. For a block of user memory with the EBTRn  
bit set to ‘0’, a table read instruction that executes from  
within that block is allowed to read. A table read instruc-  
tion that executes from a location outside of that block is  
not allowed to read, and will result in reading ‘0’s.  
Figures 22-6 through 22-8 illustrate table write and table  
read protection.  
FIGURE 22-6:  
TABLE WRITE (WRTn) DISALLOWED  
Register Values  
Program Memory  
Configuration Bit Settings  
000000h  
WRTB,EBTRB = 11  
0001FFh  
000200h  
TBLPTR = 0002FFh  
PC = 0007FEh  
WRT0,EBTR0 = 01  
TBLWT *  
TBLWT *  
0007FFh  
000800h  
WRT1,EBTR1 = 11  
WRT2,EBTR2 = 11  
WRT3,EBTR3 = 11  
000FFFh  
001000h  
PC = 0017FEh  
0017FFh  
001800h  
001FFFh  
Results: All table writes disabled to Blockn whenever WRTn = ‘0.  
DS39616B-page 284  
Preliminary  
2003 Microchip Technology Inc.  
 
 
PIC18F2331/2431/4331/4431  
FIGURE 22-7:  
EXTERNAL BLOCK TABLE READ (EBTRn) DISALLOWED  
Program Memory Configuration Bit Settings  
Register Values  
000000h  
WRTB,EBTRB = 11  
WRT0,EBTR0 = 10  
0001FFh  
000200h  
TBLPTR = 0002FFh  
0007FFh  
000800h  
TBLRD *  
PC = 000FFEh  
WRT1,EBTR1 = 11  
WRT2,EBTR2 = 11  
000FFFh  
001000h  
0017FFh  
001800h  
WRT3,EBTR3 = 11  
001FFFh  
Results: All table reads from external blocks to Blockn are disabled whenever EBTRn = ‘0’.  
TABLAT register returns a value of ‘0’.  
FIGURE 22-8:  
EXTERNAL BLOCK TABLE READ (EBTRn) ALLOWED  
Register Values  
Program Memory  
Configuration Bit Settings  
000000h  
WRTB,EBTRB = 11  
WRT0,EBTR0 = 10  
0001FFh  
000200h  
TBLPTR = 0002FFh  
PC = 0007FEh  
TBLRD *  
0007FFh  
000800h  
WRT1,EBTR1 = 11  
WRT2,EBTR2 = 11  
WRT3,EBTR3 = 11  
000FFFh  
001000h  
0017FFh  
001800h  
001FFFh  
Results: Table reads permitted within Blockn, even when EBTRBn = ‘0’.  
TABLAT register returns the value of the data at the location TBLPTR.  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 285  
PIC18F2331/2431/4331/4431  
To use the In-Circuit Debugger function of the micro-  
controller, the design must implement In-Circuit Serial  
Programming connections to MCLR/VPP, VDD, VSS,  
RB7 and RB6. This will interface to the In-Circuit  
Debugger module available from Microchip or one of  
the third party development tool companies.  
22.5.2  
DATA EEPROM  
CODE PROTECTION  
The entire data EEPROM is protected from external  
reads and writes by two bits: CPD and WRTD. CPD  
inhibits external reads and writes of data EEPROM.  
WRTD inhibits external writes to data EEPROM. The  
CPU can continue to read and write data EEPROM  
regardless of the protection bit settings.  
22.9 Low-Voltage ICSP Programming  
The LVP bit in Configuration Register 4L  
(CONFIG4L<2>) enables Low-Voltage ICSP Program-  
ming (LVP). When LVP is enabled, the microcontroller  
can be programmed without requiring high voltage  
being applied to the MCLR/VPP pin, but the RB5/PGM  
pin is then dedicated to controlling Program mode entry  
and is not available as a general purpose I/O pin.  
22.5.3  
CONFIGURATION REGISTER  
PROTECTION  
The configuration registers can be write-protected. The  
WRTC bit controls protection of the configuration  
registers. In normal Execution mode, the WRTC bit is  
readable only. WRTC can only be written via ICSP or  
an external programmer.  
LVP is enabled in erased devices.  
While programming using LVP, VDD is applied to the  
MCLR/VPP pin as in normal Execution mode. To enter  
Programming mode, VDD is applied to the PGM pin.  
22.6 ID Locations  
Eight memory locations (200000h-200007h) are  
designated as ID locations, where the user can store  
checksum or other code identification numbers. These  
locations are both readable and writable during normal  
execution through the TBLRDand TBLWTinstructions,  
or during program/verify. The ID locations can be read  
when the device is code-protected.  
Note 1: High voltage programming is always  
available, regardless of the state of the  
LVP bit or the PGM pin, by applying VIHH  
to the MCLR pin.  
2: When Low-Voltage Programming is  
enabled, the RB5 pin can no longer be  
used as a general purpose I/O pin.  
22.7  
In-Circuit Serial Programming  
3: When LVP is enabled, externally pull the  
PGM pin to VSS to allow normal program  
execution.  
PIC18F2331/2431/4331/4431 microcontrollers can be  
serially programmed while in the end application circuit.  
This is simply done with two lines for clock and data,  
and three other lines for power, ground and the pro-  
gramming voltage. This allows customers to manufac-  
ture boards with unprogrammed devices, and then  
program the microcontroller just before shipping the  
product. This also allows the most recent firmware or a  
custom firmware to be programmed.  
If Low-Voltage ICSP Programming mode will not be  
used, the LVP bit can be cleared and RB5/PGM  
becomes available as the digital I/O pin RB5. The LVP  
bit may be set or cleared only when using standard high  
voltage programming (VIHH applied to the MCLR/VPP  
pin). Once LVP has been disabled, only the standard  
high voltage programming is available and must be  
used to program the device.  
22.8 In-Circuit Debugger  
Memory that is not code-protected can be erased using  
either a block erase, or erased row by row, then written  
at any specified VDD. If code-protected memory is to be  
erased, a block erase is required. If a block erase is to  
be performed when using low-voltage programming,  
the device must be supplied with VDD of 4.5V to 5.5V.  
When the DEBUG bit in configuration register  
CONFIG4L is programmed to a ‘0’, the In-Circuit  
Debugger functionality is enabled. This function allows  
simple debugging functions when used with MPLAB®  
IDE. When the microcontroller has this feature  
enabled, some resources are not available for general  
use. Table 22-4 shows which resources are required by  
the background debugger.  
TABLE 22-4: DEBUGGER RESOURCES  
I/O pins:  
RB6, RB7  
Stack:  
2 levels  
Program Memory:  
Data Memory:  
512 bytes  
10 bytes  
DS39616B-page 286  
Preliminary  
2003 Microchip Technology Inc.  
 
 
 
 
 
 
 
PIC18F2331/2431/4331/4431  
The control instructions may use some of the following  
operands:  
23.0 INSTRUCTION SET SUMMARY  
The PIC18 instruction set adds many enhancements to  
the previous PICmicro instruction sets, while maintain-  
ing an easy migration from these PICmicro instruction  
sets.  
• A program memory address (specified by ‘n’)  
• The mode of the Call or Return instructions  
(specified by ‘s’)  
• The mode of the table read and table write  
instructions (specified by ‘m’)  
Most instructions are a single program memory word  
(16-bits), but there are three instructions that require  
two program memory locations.  
• No operand required  
(specified by ‘—’)  
Each single-word instruction is a 16-bit word divided  
into an OPCODE, which specifies the instruction type  
and one or more operands, which further specify the  
operation of the instruction.  
All instructions are a single word, except for three dou-  
ble word instructions. These three instructions were  
made double word instructions so that all the required  
information is available in these 32 bits. In the second  
word, the 4 MSbs are 1’s. If this second word is  
executed as an instruction (by itself), it will execute as  
a NOP.  
The instruction set is highly orthogonal and is grouped  
into four basic categories:  
Byte-oriented operations  
Bit-oriented operations  
Literal operations  
All single-word instructions are executed in a single  
instruction cycle, unless a conditional test is true or the  
program counter is changed as a result of the instruc-  
tion. In these cases, the execution takes two instruction  
cycles with the additional instruction cycle(s) executed  
as a NOP.  
Control operations  
The PIC18 instruction set summary in Table 23-2 lists  
byte-oriented, bit-oriented, literal and control opera-  
tions. Table 23-1 shows the OPCODE field descriptions.  
The double word instructions execute in two instruction  
cycles.  
Most byte-oriented instructions have three operands:  
1. The file register (specified by ‘f’)  
One instruction cycle consists of four oscillator periods.  
Thus, for an oscillator frequency of 4 MHz, the normal  
instruction execution time is 1 µs. If a conditional test is  
true or the program counter is changed as a result of an  
instruction, the instruction execution time is 2 µs. Two-  
word branch instructions (if true) would take 3 µs.  
2. The destination of the result  
(specified by ‘d’)  
3. The accessed memory  
(specified by ‘a’)  
The file register designator 'f' specifies which file  
register is to be used by the instruction.  
Figure 23-1 shows the general formats that the instruc-  
tions can have.  
The destination designator ‘d’ specifies where the  
result of the operation is to be placed. If 'd' is zero, the  
result is placed in the WREG register. If 'd' is one, the  
result is placed in the file register specified in the  
instruction.  
All examples use the format ‘nnh’ to represent a hexa-  
decimal number, where ‘h’ signifies a hexadecimal  
digit.  
The Instruction Set Summary, shown in Table 23-2,  
lists the instructions recognized by the Microchip  
Assembler (MPASMTM assembler). Section 23.2  
“Instruction Set” provides a description of each  
instruction.  
All bit-oriented instructions have three operands:  
1. The file register (specified by ‘f’)  
2. The bit in the file register  
(specified by ‘b’)  
3. The accessed memory  
(specified by ‘a’)  
23.1 READ-MODIFY-WRITE OPERATIONS  
Any instruction that specifies a file register as part of  
the instruction performs a Read-Modify-Write (R-M-W)  
operation. The register is read, the data is modified,  
and the result is stored according to either the instruc-  
tion or the destination designator ‘d’. A read operation  
is performed on a register even if the instruction writes  
to that register.  
The bit field designator 'b' selects the number of the bit  
affected by the operation, while the file register desig-  
nator 'f' represents the number of the file in which the  
bit is located.  
The literal instructions may use some of the following  
operands:  
• A literal value to be loaded into a file register  
(specified by ‘k’)  
For example, a "BCF PORTB,1" instruction will read  
PORTB, clear bit 1 of the data, then write the result  
back to PORTB. The read operation would have the  
unintended result that any condition that sets the RBIF  
flag would be cleared. The R-M-W operation may also  
copy the level of an input pin to its corresponding output  
latch.  
• The desired FSR register to load the literal value  
into (specified by ‘f’)  
• No operand required  
(specified by ‘—’)  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 287  
 
PIC18F2331/2431/4331/4431  
TABLE 23-1: OPCODE FIELD DESCRIPTIONS  
Field  
Description  
a
RAM access bit:  
a = 0: RAM location in Access RAM (BSR register is ignored)  
a = 1: RAM bank is specified by BSR register  
bbb  
BSR  
d
Bit address within an 8-bit file register (0 to 7).  
Bank Select Register. Used to select the current RAM bank.  
Destination select bit:  
d = 0: store result in WREG  
d = 1: store result in file register f  
dest  
f
Destination either the WREG register or the specified register file location.  
8-bit register file address (0x00 to 0xFF).  
fs  
12-bit register file address (0x000 to 0xFFF). This is the source address.  
12-bit register file address (0x000 to 0xFFF). This is the destination address.  
Literal field, constant data or label (may be either an 8-bit, 12-bit or a 20-bit value).  
Label name.  
fd  
k
label  
mm  
The mode of the TBLPTR register for the table read and table write instructions.  
Only used with table read and table write instructions:  
*
No Change to register (such as TBLPTR with table reads and writes).  
Post-Increment register (such as TBLPTR with table reads and writes).  
Post-Decrement register (such as TBLPTR with table reads and writes).  
Pre-Increment register (such as TBLPTR with table reads and writes).  
*+  
*-  
+*  
n
The relative address (2’s complement number) for relative branch instructions, or the direct address for Call/  
Branch and Return instructions.  
PRODH  
PRODL  
s
Product of Multiply high byte.  
Product of Multiply low byte.  
Fast Call/Return Mode Select bit:  
s = 0: do not update into/from shadow registers  
s = 1: certain registers loaded into/from shadow registers (Fast mode)  
u
Unused or Unchanged.  
WREG  
x
Working register (accumulator).  
Don't care (0or 1) .  
The assembler will generate code with x = 0. It is the recommended form of use for compatibility with all  
Microchip software tools.  
TBLPTR  
TABLAT  
TOS  
21-bit Table Pointer (points to a Program Memory location).  
8-bit Table Latch.  
Top-of-Stack.  
PC  
Program Counter.  
PCL  
Program Counter Low Byte.  
Program Counter High Byte.  
Program Counter High Byte Latch.  
Program Counter Upper Byte Latch.  
Global Interrupt Enable bit.  
Watchdog Timer.  
PCH  
PCLATH  
PCLATU  
GIE  
WDT  
TO  
Time-out bit.  
PD  
Power-down bit.  
C, DC, Z, OV, N  
ALU status bits Carry, Digit Carry, Zero, Overflow, Negative.  
Optional.  
[
]
)
(
Contents.  
< >  
Assigned to.  
Register bit field.  
In the set of.  
italics  
User defined term (font is courier).  
DS39616B-page 288  
Preliminary  
2003 Microchip Technology Inc.  
 
PIC18F2331/2431/4331/4431  
FIGURE 23-1:  
GENERAL FORMAT FOR INSTRUCTIONS  
Byte-oriented file register operations  
15 10  
OPCODE f (FILE #)  
Example Instruction  
9
8
7
0
ADDWF MYREG, W, B  
d
a
d = 0for result destination to be WREG register  
d = 1for result destination to be file register (f)  
a = 0to force Access Bank  
a = 1for BSR to select bank  
f = 8-bit file register address  
Byte to Byte move operations (2-word)  
15  
12 11  
0
0
OPCODE  
f (Source FILE #)  
MOVFF MYREG1, MYREG2  
15  
12 11  
1111  
f (Destination FILE #)  
f = 12-bit file register address  
Bit-oriented file register operations  
15 12 11 9 8  
OPCODE b (BIT #)  
7
0
BSF MYREG, bit, B  
a
f (FILE #)  
b = 3-bit position of bit in file register (f)  
a = 0to force Access Bank  
a = 1for BSR to select bank  
f = 8-bit file register address  
Literal operations  
15  
8
7
0
MOVLW 0x7F  
OPCODE  
k (literal)  
k = 8-bit immediate value  
Control operations  
CALL, GOTO and Branch operations  
15  
8 7  
0
GOTO Label  
OPCODE  
12 11  
n<7:0> (literal)  
15  
0
1111  
n<19:8> (literal)  
n = 20-bit immediate value  
15  
15  
8
7
0
CALL MYFUNC  
OPCODE  
12 11  
n<7:0> (literal)  
S
0
n<19:8> (literal)  
S = Fast bit  
11 10  
15  
0
0
BRA MYFUNC  
BC MYFUNC  
OPCODE  
n<10:0> (literal)  
15  
OPCODE  
8 7  
n<7:0> (literal)  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 289  
 
PIC18F2331/2431/4331/4431  
TABLE 23-2: PIC18FXXX INSTRUCTION SET  
16-Bit Instruction Word  
MSb LSb  
Mnemonic,  
Operands  
Status  
Affected  
Description  
Cycles  
Notes  
BYTE-ORIENTED FILE REGISTER OPERATIONS  
ADDWF f, d, a Add WREG and f  
ADDWFC f, d, a Add WREG and Carry bit to f  
1
0010 01da ffff ffff C, DC, Z, OV, N 1, 2  
0010 00da ffff ffff C, DC, Z, OV, N 1, 2  
1
1
1
1
ANDWF  
CLRF  
COMF  
f, d, a AND WREG with f  
f, a Clear f  
f, d, a Complement f  
0001 01da ffff ffff Z, N  
0110 101a ffff ffff Z  
0001 11da ffff ffff Z, N  
1,2  
2
1, 2  
4
CPFSEQ  
CPFSGT  
CPFSLT  
DECF  
DECFSZ  
DCFSNZ  
INCF  
f, a  
f, a  
f, a  
Compare f with WREG, skip = 1 (2 or 3) 0110 001a ffff ffff None  
Compare f with WREG, skip > 1 (2 or 3) 0110 010a ffff ffff None  
Compare f with WREG, skip < 1 (2 or 3) 0110 000a ffff ffff None  
4
1, 2  
f, d, a Decrement f  
f, d, a Decrement f, Skip if 0  
f, d, a Decrement f, Skip if Not 0  
f, d, a Increment f  
1
0000 01da ffff ffff C, DC, Z, OV, N 1, 2, 3, 4  
1 (2 or 3) 0010 11da ffff ffff None  
1 (2 or 3) 0100 11da ffff ffff None  
1
1, 2, 3, 4  
1, 2  
0010 10da ffff ffff C, DC, Z, OV, N 1, 2, 3, 4  
INCFSZ  
INFSNZ  
IORWF  
MOVF  
f, d, a Increment f, Skip if 0  
f, d, a Increment f, Skip if Not 0  
f, d, a Inclusive OR WREG with f  
f, d, a Move f  
fs, fd Move fs (source) to 1st word  
fd (destination) 2nd word  
1 (2 or 3) 0011 11da ffff ffff None  
1 (2 or 3) 0100 10da ffff ffff None  
4
1, 2  
1, 2  
1
1
1
2
0001 00da ffff ffff Z, N  
0101 00da ffff ffff Z, N  
1100 ffff ffff ffff None  
1111 ffff ffff ffff  
MOVFF  
MOVWF  
MULWF  
NEGF  
RLCF  
RLNCF  
RRCF  
f, a  
f, a  
f, a  
Move WREG to f  
Multiply WREG with f  
Negate f  
1
1
1
1
1
1
1
1
1
0110 111a ffff ffff None  
0000 001a ffff ffff None  
0110 110a ffff ffff C, DC, Z, OV, N 1, 2  
0011 01da ffff ffff C, Z, N  
0100 01da ffff ffff Z, N  
0011 00da ffff ffff C, Z, N  
0100 00da ffff ffff Z, N  
0110 100a ffff ffff None  
f, d, a Rotate Left f through Carry  
f, d, a Rotate Left f (No Carry)  
f, d, a Rotate Right f through Carry  
f, d, a Rotate Right f (No Carry)  
1, 2  
RRNCF  
SETF  
f, a  
Set f  
SUBFWB f, d, a Subtract f from WREG with  
borrow  
0101 01da ffff ffff C, DC, Z, OV, N 1, 2  
SUBWF  
f, d, a Subtract WREG from f  
1
1
0101 11da ffff ffff C, DC, Z, OV, N  
0101 10da ffff ffff C, DC, Z, OV, N 1, 2  
SUBWFB f, d, a Subtract WREG from f with  
borrow  
SWAPF  
TSTFSZ  
XORWF  
f, d, a Swap nibbles in f  
f, a Test f, skip if 0  
f, d, a Exclusive OR WREG with f  
1
0011 10da ffff ffff None  
4
1, 2  
1 (2 or 3) 0110 011a ffff ffff None  
1
0001 10da ffff ffff Z, N  
BIT-ORIENTED FILE REGISTER OPERATIONS  
BCF  
BSF  
BTFSC  
BTFSS  
BTG  
f, b, a Bit Clear f  
f, b, a Bit Set f  
f, b, a Bit Test f, Skip if Clear  
f, b, a Bit Test f, Skip if Set  
f, d, a Bit Toggle f  
1
1
1001 bbba ffff ffff None  
1000 bbba ffff ffff None  
1, 2  
1, 2  
3, 4  
3, 4  
1, 2  
1 (2 or 3) 1011 bbba ffff ffff None  
1 (2 or 3) 1010 bbba ffff ffff None  
1
0111 bbba ffff ffff None  
Note 1: When a PORT register is modified as a function of itself (e.g., MOVF PORTB, 1, 0), the value used will be  
that value present on the pins themselves. For example, if the data latch is ‘1’ for a pin configured as input and  
is driven low by an external device, the data will be written back with a ‘0’.  
2: If this instruction is executed on the TMR0 register (and, where applicable, d = 1), the prescaler will be cleared  
if assigned.  
3: If Program Counter (PC) is modified or a conditional test is true, the instruction requires two cycles. The  
second cycle is executed as a NOP.  
4: Some instructions are 2-word instructions. The second word of these instructions will be executed as a NOP,  
unless the first word of the instruction retrieves the information embedded in these 16 bits. This ensures that  
all program memory locations have a valid instruction.  
5: If the table write starts the write cycle to internal memory, the write will continue until terminated.  
DS39616B-page 290  
Preliminary  
2003 Microchip Technology Inc.  
 
PIC18F2331/2431/4331/4431  
TABLE 23-2: PIC18FXXX INSTRUCTION SET (CONTINUED)  
16-Bit Instruction Word  
MSb LSb  
Mnemonic,  
Operands  
Status  
Affected  
Description  
Cycles  
Notes  
CONTROL OPERATIONS  
BC  
BN  
n
n
n
n
n
n
n
n
Branch if Carry  
1 (2)  
1 (2)  
1 (2)  
1 (2)  
1 (2)  
2
1 (2)  
1 (2)  
1 (2)  
2
1110 0010 nnnn nnnn None  
1110 0110 nnnn nnnn None  
1110 0011 nnnn nnnn None  
1110 0111 nnnn nnnn None  
1110 0101 nnnn nnnn None  
1110 0001 nnnn nnnn None  
1110 0100 nnnn nnnn None  
1101 0nnn nnnn nnnn None  
1110 0000 nnnn nnnn None  
1110 110s kkkk kkkk None  
1111 kkkk kkkk kkkk  
Branch if Negative  
Branch if Not Carry  
Branch if Not Negative  
Branch if Not Overflow  
Branch if Not Zero  
Branch if Overflow  
Branch Unconditionally  
Branch if Zero  
BNC  
BNN  
BNOV  
BNZ  
BOV  
BRA  
BZ  
n
n, s  
CALL  
Call subroutine 1st word  
2nd word  
CLRWDT  
DAW  
GOTO  
n
Clear Watchdog Timer  
Decimal Adjust WREG  
Go to address 1st word  
2nd word  
1
1
2
0000 0000 0000 0100 TO, PD  
0000 0000 0000 0111 C, DC  
1110 1111 kkkk kkkk None  
1111 kkkk kkkk kkkk  
NOP  
NOP  
POP  
PUSH  
RCALL  
RESET  
RETFIE  
n
No Operation  
No Operation  
Pop top of return stack (TOS)  
Push top of return stack (TOS) 1  
Relative Call  
Software device Reset  
Return from interrupt enable  
1
1
1
0000 0000 0000 0000 None  
1111 xxxx xxxx xxxx None  
0000 0000 0000 0110 None  
0000 0000 0000 0101 None  
1101 1nnn nnnn nnnn None  
0000 0000 1111 1111 All  
0000 0000 0001 000s GIE/GIEH,  
PEIE/GIEL  
4
2
1
2
s
RETLW  
RETURN  
SLEEP  
k
s
Return with literal in WREG  
Return from Subroutine  
Go into Standby mode  
2
2
1
0000 1100 kkkk kkkk None  
0000 0000 0001 001s None  
0000 0000 0000 0011 TO, PD  
Note 1: When a PORT register is modified as a function of itself (e.g., MOVF PORTB, 1, 0), the value used will be  
that value present on the pins themselves. For example, if the data latch is ‘1’ for a pin configured as input and  
is driven low by an external device, the data will be written back with a ‘0’.  
2: If this instruction is executed on the TMR0 register (and, where applicable, d = 1), the prescaler will be cleared  
if assigned.  
3: If Program Counter (PC) is modified or a conditional test is true, the instruction requires two cycles. The  
second cycle is executed as a NOP.  
4: Some instructions are 2-word instructions. The second word of these instructions will be executed as a NOP,  
unless the first word of the instruction retrieves the information embedded in these 16 bits. This ensures that  
all program memory locations have a valid instruction.  
5: If the table write starts the write cycle to internal memory, the write will continue until terminated.  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 291  
PIC18F2331/2431/4331/4431  
TABLE 23-2: PIC18FXXX INSTRUCTION SET (CONTINUED)  
16-Bit Instruction Word  
Mnemonic,  
Operands  
Status  
Affected  
Description  
Cycles  
Notes  
MSb  
LSb  
LITERAL OPERATIONS  
ADDLW  
ANDLW  
IORLW  
LFSR  
k
k
k
f, k  
Add literal and WREG  
AND literal with WREG  
Inclusive OR literal with WREG  
Move literal (12-bit) 2nd word  
to FSRx 1st word  
Move literal to BSR<3:0>  
Move literal to WREG  
Multiply literal with WREG  
Return with literal in WREG  
Subtract WREG from literal  
Exclusive OR literal with  
WREG  
1
0000 1111 kkkk  
0000 1011 kkkk  
0000 1001 kkkk  
1110 1110 00ff  
1111 0000 kkkk  
0000 0001 0000  
0000 1110 kkkk  
0000 1101 kkkk  
0000 1100 kkkk  
0000 1000 kkkk  
0000 1010 kkkk  
kkkk C, DC, Z, OV, N  
kkkk Z, N  
kkkk Z, N  
kkkk None  
kkkk  
kkkk None  
kkkk None  
kkkk None  
kkkk None  
kkkk C, DC, Z, OV, N  
kkkk Z, N  
1
1
2
MOVLB  
MOVLW  
MULLW  
RETLW  
SUBLW  
XORLW  
k
k
k
k
k
k
1
1
1
2
1
1
DATA MEMORY PROGRAM MEMORY OPERATIONS  
TBLRD*  
Table Read  
2
0000 0000 0000  
0000 0000 0000  
0000 0000 0000  
0000 0000 0000  
0000 0000 0000  
0000 0000 0000  
0000 0000 0000  
0000 0000 0000  
1000 None  
1001 None  
1010 None  
1011 None  
1100 None  
1101 None  
1110 None  
1111 None  
TBLRD*+  
TBLRD*-  
TBLRD+*  
TBLWT*  
TBLWT*+  
TBLWT*-  
TBLWT+*  
Table Read with post-increment  
Table Read with post-decrement  
Table Read with pre-increment  
Table Write  
Table Write with post-increment  
Table Write with post-decrement  
Table Write with pre-increment  
2 (5)  
Note 1: When a PORT register is modified as a function of itself (e.g., MOVF PORTB, 1, 0), the value used will be  
that value present on the pins themselves. For example, if the data latch is ‘1’ for a pin configured as input and  
is driven low by an external device, the data will be written back with a ‘0’.  
2: If this instruction is executed on the TMR0 register (and, where applicable, d = 1), the prescaler will be cleared  
if assigned.  
3: If Program Counter (PC) is modified or a conditional test is true, the instruction requires two cycles. The  
second cycle is executed as a NOP.  
4: Some instructions are 2-word instructions. The second word of these instructions will be executed as a NOP,  
unless the first word of the instruction retrieves the information embedded in these 16 bits. This ensures that  
all program memory locations have a valid instruction.  
5: If the table write starts the write cycle to internal memory, the write will continue until terminated.  
DS39616B-page 292  
Preliminary  
2003 Microchip Technology Inc.  
PIC18F2331/2431/4331/4431  
23.2 Instruction Set  
ADDLW  
ADD literal to W  
ADDWF  
ADD W to f  
Syntax:  
[ label ] ADDLW  
0 k 255  
k
Syntax:  
[ label ] ADDWF  
f [,d [,a]]  
Operands:  
Operation:  
Status Affected:  
Encoding:  
Operands:  
0 f 255  
d [0,1]  
a [0,1]  
(W) + k W  
N, OV, C, DC, Z  
Operation:  
(W) + (f) dest  
0000  
1111  
kkkk  
kkkk  
Status Affected:  
Encoding:  
N, OV, C, DC, Z  
Description:  
The contents of W are added to the  
8-bit literal ‘k’ and the result is  
placed in W.  
0010  
01da  
ffff  
ffff  
Description:  
Add W to register ‘f’. If ‘d’ is 0, the  
result is stored in W. If ‘d’ is 1, the  
result is stored back in register ‘f’  
(default). If ‘a’ is 0, the Access  
Bank will be selected. If ‘a’ is 1, the  
BSR is used.  
Words:  
Cycles:  
1
1
Q Cycle Activity:  
Q1  
Q2  
Q3  
Q4  
Words:  
Cycles:  
1
1
Decode  
Read  
literal ‘k’  
Process  
Data  
Write to W  
Q Cycle Activity:  
Q1  
ADDLW  
0x15  
Example:  
Q2  
Q3  
Q4  
Before Instruction  
Decode  
Read  
register ‘f’  
Process  
Data  
Write to  
destination  
W
=
0x10  
After Instruction  
W
=
0x25  
ADDWF  
REG, W  
Example:  
Before Instruction  
W
REG  
=
=
0x17  
0xC2  
After Instruction  
W
REG  
=
=
0xD9  
0xC2  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 293  
 
 
PIC18F2331/2431/4331/4431  
ADDWFC  
ADD W and Carry bit to f  
ANDLW  
AND literal with W  
Syntax:  
[ label ] ADDWFC  
f [,d [,a]]  
Syntax:  
[ label ] ANDLW  
0 k 255  
k
Operands:  
0 f 255  
d [0,1]  
a [0,1]  
Operands:  
Operation:  
Status Affected:  
Encoding:  
(W) .AND. k W  
N, Z  
Operation:  
(W) + (f) + (C) dest  
0000  
1011  
kkkk  
kkkk  
Status Affected:  
Encoding:  
N, OV, C, DC, Z  
Description:  
The contents of W are ANDed with  
the 8-bit literal ‘k’. The result is  
placed in W.  
0010  
00da  
ffff  
ffff  
Description:  
Add W, the Carry Flag and data  
memory location ‘f’. If ‘d’ is 0, the  
result is placed in W. If ‘d’ is 1, the  
result is placed in data memory loca-  
tion ‘f’. If ‘a’ is 0, the Access Bank  
will be selected. If ‘a’ is 1, the BSR  
will not be overridden.  
Words:  
Cycles:  
1
1
Q Cycle Activity:  
Q1  
Q2  
Q3  
Q4  
Decode  
Read literal  
‘k’  
Process  
Data  
Write to W  
Words:  
Cycles:  
1
1
ANDLW  
0x5F  
Example:  
Q Cycle Activity:  
Q1  
Before Instruction  
Q2  
Q3  
Q4  
W
=
0xA3  
0x03  
Decode  
Read  
register 'f'  
Process  
Data  
Write to  
destination  
After Instruction  
W
=
ADDWFC  
REG, W  
Example:  
Before Instruction  
Carry bit =  
1
REG  
W
=
=
0x02  
0x4D  
After Instruction  
Carry bit =  
0
0x02  
REG  
=
W
=
0x50  
DS39616B-page 294  
Preliminary  
2003 Microchip Technology Inc.  
 
 
PIC18F2331/2431/4331/4431  
ANDWF  
AND W with f  
BC  
Branch if Carry  
[ label ] BC  
Syntax:  
[ label ] ANDWF  
f [,d [,a]]  
Syntax:  
n
Operands:  
0 f 255  
d [0,1]  
a [0,1]  
Operands:  
Operation:  
-128 n 127  
if carry bit is ‘1’  
(PC) + 2 + 2n PC  
Operation:  
(W) .AND. (f) dest  
Status Affected:  
Encoding:  
None  
Status Affected:  
Encoding:  
N, Z  
1110  
0010  
nnnn  
nnnn  
0001  
01da  
ffff  
ffff  
Description:  
If the Carry bit is 1, then the  
Description:  
The contents of W are AND’ed with  
register ‘f’. If ‘d’ is 0, the result is  
stored in W. If ‘d’ is 1, the result is  
stored back in register ‘f’ (default).  
If ‘a’ is 0, the Access Bank will be  
selected. If ‘a’ is 1, the BSR will not  
be overridden (default).  
program will branch.  
The 2’s complement number ‘2n’ is  
added to the PC. Since the PC will  
have incremented to fetch the next  
instruction, the new address will be  
PC+2+2n. This instruction is then a  
two-cycle instruction.  
Words:  
Cycles:  
1
1
Words:  
Cycles:  
1
1(2)  
Q Cycle Activity:  
Q1  
Q Cycle Activity:  
If Jump:  
Q2  
Q3  
Q4  
Q1  
Q2  
Q3  
Q4  
Decode  
Read  
register 'f'  
Process  
Data  
Write to  
destination  
Decode  
Read literal  
‘n’  
Process  
Data  
Write to PC  
No  
operation  
No  
operation  
No  
operation  
No  
operation  
ANDWF  
REG, W  
Example:  
Before Instruction  
If No Jump:  
Q1  
W
REG  
=
=
0x17  
0xC2  
Q2  
Q3  
Q4  
Decode  
Read literal  
‘n’  
Process  
Data  
No  
operation  
After Instruction  
W
REG  
=
=
0x02  
0xC2  
HERE  
BC JUMP  
Example:  
Before Instruction  
PC  
=
address (HERE)  
After Instruction  
If Carry  
=
=
=
=
1;  
PC  
address (JUMP)  
If Carry  
PC  
0;  
address (HERE+2)  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 295  
 
 
PIC18F2331/2431/4331/4431  
BCF  
Bit Clear f  
BN  
Branch if Negative  
[ label ] BN  
Syntax:  
[ label ] BCF f,b[,a]  
Syntax:  
n
Operands:  
0 f 255  
0 b 7  
a [0,1]  
Operands:  
Operation:  
-128 n 127  
if negative bit is ‘1’  
(PC) + 2 + 2n PC  
Operation:  
0 f<b>  
Status Affected:  
Encoding:  
None  
Status Affected:  
Encoding:  
None  
1110  
0110  
nnnn  
nnnn  
1001  
bbba  
ffff  
ffff  
Description:  
If the Negative bit is ‘1’, then the  
program will branch.  
Description:  
Bit ‘b’ in register ‘f’ is cleared. If ‘a’  
is 0, the Access Bank will be  
selected, overriding the BSR value.  
If ‘a’ = 1, then the bank will be  
selected as per the BSR value  
(default).  
The 2’s complement number ‘2n’ is  
added to the PC. Since the PC will  
have incremented to fetch the next  
instruction, the new address will be  
PC+2+2n. This instruction is then a  
two-cycle instruction.  
Words:  
Cycles:  
1
1
Words:  
Cycles:  
1
1(2)  
Q Cycle Activity:  
Q1  
Q Cycle Activity:  
If Jump:  
Q2  
Q3  
Q4  
Decode  
Read  
register ‘f’  
Process  
Data  
Write  
Q1  
Q2  
Q3  
Q4  
register ‘f’  
Decode  
Read literal  
‘n’  
Process  
Data  
Write to PC  
BCF  
FLAG_REG,  
7
Example:  
No  
operation  
No  
operation  
No  
operation  
No  
operation  
Before Instruction  
FLAG_REG = 0xC7  
If No Jump:  
Q1  
After Instruction  
Q2  
Q3  
Q4  
FLAG_REG = 0x47  
Decode  
Read literal  
‘n’  
Process  
Data  
No  
operation  
HERE  
BN Jump  
Example:  
Before Instruction  
PC  
=
address (HERE)  
After Instruction  
If Negative  
=
=
=
=
1;  
PC  
address (Jump)  
If Negative  
PC  
0;  
address (HERE+2)  
DS39616B-page 296  
Preliminary  
2003 Microchip Technology Inc.  
 
 
PIC18F2331/2431/4331/4431  
BNC  
Branch if Not Carry  
BNN  
Branch if Not Negative  
Syntax:  
[ label ] BNC  
-128 n 127  
if carry bit is ‘0’  
n
Syntax:  
[ label ] BNN  
-128 n 127  
n
Operands:  
Operation:  
Operands:  
Operation:  
if negative bit is ‘0’  
(PC) + 2 + 2n PC  
(PC) + 2 + 2n PC  
Status Affected:  
Encoding:  
None  
Status Affected:  
Encoding:  
None  
1110  
0011  
nnnn  
nnnn  
1110  
0111  
nnnn  
nnnn  
Description:  
If the Carry bit is ‘0’, then the  
program will branch.  
Description:  
If the Negative bit is ‘0’, then the  
program will branch.  
The 2’s complement number ‘2n’ is  
added to the PC. Since the PC will  
have incremented to fetch the next  
instruction, the new address will be  
PC+2+2n. This instruction is then a  
two-cycle instruction.  
The 2’s complement number ‘2n’ is  
added to the PC. Since the PC will  
have incremented to fetch the next  
instruction, the new address will be  
PC+2+2n. This instruction is then a  
two-cycle instruction.  
Words:  
Cycles:  
1
Words:  
Cycles:  
1
1(2)  
1(2)  
Q Cycle Activity:  
If Jump:  
Q Cycle Activity:  
If Jump:  
Q1  
Q2  
Q3  
Q4  
Q1  
Q2  
Q3  
Q4  
Decode  
Read literal  
‘n’  
Process  
Data  
Write to PC  
Decode  
Read literal  
‘n’  
Process  
Data  
Write to PC  
No  
No  
No  
No  
No  
No  
No  
No  
operation  
operation  
operation  
operation  
operation  
operation  
operation  
operation  
If No Jump:  
Q1  
If No Jump:  
Q1  
Q2  
Q3  
Q4  
Q2  
Q3  
Q4  
Decode  
Read literal  
‘n’  
Process  
Data  
No  
operation  
Decode  
Read literal  
‘n’  
Process  
Data  
No  
operation  
HERE  
BNC Jump  
HERE  
BNN Jump  
Example:  
Example:  
Before Instruction  
Before Instruction  
PC  
=
address (HERE)  
PC  
=
address (HERE)  
After Instruction  
After Instruction  
If Carry  
=
=
=
=
0;  
If Negative  
=
=
=
=
0;  
PC  
address (Jump)  
PC  
address (Jump)  
If Carry  
PC  
1;  
If Negative  
PC  
1;  
address (HERE+2)  
address (HERE+2)  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 297  
 
 
PIC18F2331/2431/4331/4431  
BNOV  
Branch if Not Overflow  
BNZ  
Branch if Not Zero  
Syntax:  
[ label ] BNOV  
-128 n 127  
n
Syntax:  
[ label ] BNZ  
-128 n 127  
if zero bit is ‘0’  
n
Operands:  
Operation:  
Operands:  
Operation:  
if overflow bit is ‘0’  
(PC) + 2 + 2n PC  
(PC) + 2 + 2n PC  
Status Affected:  
Encoding:  
None  
Status Affected:  
Encoding:  
None  
1110  
0101  
nnnn  
nnnn  
1110  
0001  
nnnn  
nnnn  
Description:  
If the Overflow bit is ‘0’, then the  
program will branch.  
Description:  
If the Zero bit is ‘0’, then the  
program will branch.  
The 2’s complement number ‘2n’ is  
added to the PC. Since the PC will  
have incremented to fetch the next  
instruction, the new address will be  
PC+2+2n. This instruction is then a  
two-cycle instruction.  
The 2’s complement number ‘2n’ is  
added to the PC. Since the PC will  
have incremented to fetch the next  
instruction, the new address will be  
PC+2+2n. This instruction is then a  
two-cycle instruction.  
Words:  
Cycles:  
1
Words:  
Cycles:  
1
1(2)  
1(2)  
Q Cycle Activity:  
If Jump:  
Q Cycle Activity:  
If Jump:  
Q1  
Q2  
Q3  
Q4  
Q1  
Q2  
Q3  
Q4  
Decode  
Read literal  
‘n’  
Process  
Data  
Write to PC  
Decode  
Read literal  
‘n’  
Process  
Data  
Write to PC  
No  
No  
No  
No  
No  
No  
No  
No  
operation  
operation  
operation  
operation  
operation  
operation  
operation  
operation  
If No Jump:  
Q1  
If No Jump:  
Q1  
Q2  
Q3  
Q4  
Q2  
Q3  
Q4  
Decode  
Read literal  
‘n’  
Process  
Data  
No  
operation  
Decode  
Read literal  
‘n’  
Process  
Data  
No  
operation  
HERE  
BNOV Jump  
HERE  
BNZ Jump  
Example:  
Example:  
Before Instruction  
Before Instruction  
PC  
=
address (HERE)  
PC  
=
address (HERE)  
After Instruction  
After Instruction  
If Overflow  
=
=
=
=
0;  
If Zero  
=
=
=
=
0;  
PC  
address (Jump)  
PC  
address (Jump)  
If Overflow  
PC  
1;  
If Zero  
PC  
1;  
address (HERE+2)  
address (HERE+2)  
DS39616B-page 298  
Preliminary  
2003 Microchip Technology Inc.  
 
 
PIC18F2331/2431/4331/4431  
BRA  
Unconditional Branch  
[ label ] BRA  
BSF  
Bit Set f  
Syntax:  
n
Syntax:  
[ label ] BSF f,b[,a]  
Operands:  
Operation:  
Status Affected:  
Encoding:  
-1024 n 1023  
(PC) + 2 + 2n PC  
None  
Operands:  
0 f 255  
0 b 7  
a [0,1]  
Operation:  
1 f<b>  
1101  
0nnn  
nnnn  
nnnn  
Status Affected:  
Encoding:  
None  
Description:  
Add the 2’s complement number  
‘2n’ to the PC. Since the PC will  
have incremented to fetch the next  
instruction, the new address will be  
PC+2+2n. This instruction is a two-  
cycle instruction.  
1000  
bbba  
ffff  
ffff  
Description:  
Bit ‘b’ in register 'f' is set. If ‘a’ is 0,  
Access Bank will be selected, over-  
riding the BSR value. If ‘a’ = 1, then  
the bank will be selected as per the  
BSR value.  
Words:  
Cycles:  
1
2
Words:  
Cycles:  
1
1
Q Cycle Activity:  
Q1  
Q Cycle Activity:  
Q1  
Q2  
Q3  
Q4  
Q2  
Q3  
Q4  
Decode  
Read literal  
‘n’  
Process  
Data  
Write to PC  
Decode  
Read  
register ‘f’  
Process  
Data  
Write  
register ‘f’  
No  
No  
No  
No  
operation  
operation  
operation  
operation  
BSF  
FLAG_REG, 7  
Example:  
Before Instruction  
HERE  
BRA Jump  
Example:  
FLAG_REG  
=
=
0x0A  
0x8A  
Before Instruction  
After Instruction  
FLAG_REG  
PC  
=
=
address (HERE)  
address (Jump)  
After Instruction  
PC  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 299  
 
 
PIC18F2331/2431/4331/4431  
BTFSC  
Bit Test File, Skip if Clear  
BTFSS  
Bit Test File, Skip if Set  
Syntax:  
[ label ] BTFSC f,b[,a]  
Syntax:  
[ label ] BTFSS f,b[,a]  
Operands:  
0 f 255  
0 b 7  
a [0,1]  
Operands:  
0 f 255  
0 b < 7  
a [0,1]  
Operation:  
skip if (f<b>) = 0  
Operation:  
skip if (f<b>) = 1  
Status Affected:  
Encoding:  
None  
Status Affected:  
Encoding:  
None  
1011  
bbba  
ffff  
ffff  
1010  
bbba  
ffff  
ffff  
Description:  
If bit ‘b’ in register ‘f’ is 0, then the  
next instruction is skipped.  
Description:  
If bit ‘b’ in register ‘f’ is 1, then the  
next instruction is skipped.  
If bit ‘b’ is 0, then the next instruction  
fetched during the current instruction  
execution is discarded, and a NOPis  
executed instead, making this a two-  
cycle instruction. If ‘a’ is 0, the  
Access Bank will be selected, over-  
riding the BSR value. If ‘a’ = 1, then  
the bank will be selected as per the  
BSR value (default).  
If bit ‘b’ is 1, then the next instruction  
fetched during the current instruc-  
tion execution, is discarded and a  
NOPis executed instead, making this  
a two-cycle instruction. If ‘a’ is 0, the  
Access Bank will be selected, over-  
riding the BSR value. If ‘a’ = 1, then  
the bank will be selected as per the  
BSR value (default).  
Words:  
Cycles:  
1
Words:  
Cycles:  
1
1(2)  
1(2)  
Note: 3 cycles if skip and followed  
by a 2-word instruction.  
Note: 3 cycles if skip and followed  
by a 2-word instruction.  
Q Cycle Activity:  
Q1  
Q Cycle Activity:  
Q1  
Q2  
Q3  
Q4  
Q2  
Q3  
Q4  
Decode  
Read  
Process Data  
No  
Decode  
Read  
Process Data  
No  
register ‘f’  
operation  
register ‘f’  
operation  
If skip:  
Q1  
If skip:  
Q1  
Q2  
Q3  
Q4  
Q2  
Q3  
Q4  
No  
No  
No  
No  
No  
No  
No  
No  
operation  
operation  
operation  
operation  
operation  
operation  
operation  
operation  
If skip and followed by 2-word instruction:  
If skip and followed by 2-word instruction:  
Q1  
Q2  
Q3  
Q4  
Q1  
Q2  
Q3  
Q4  
No  
No  
No  
No  
No  
No  
No  
No  
operation  
operation  
operation  
operation  
operation  
operation  
operation  
operation  
No  
No  
No  
No  
No  
No  
No  
No  
operation  
operation  
operation  
operation  
operation  
operation  
operation  
operation  
HERE  
FALSE  
TRUE  
BTFSC  
:
:
FLAG, 1  
HERE  
FALSE  
TRUE  
BTFSS  
:
:
FLAG, 1  
Example:  
Example:  
Before Instruction  
PC  
Before Instruction  
PC  
=
address (HERE)  
=
address (HERE)  
After Instruction  
After Instruction  
If FLAG<1>  
=
=
=
=
0;  
If FLAG<1>  
=
=
=
=
0;  
PC  
address (TRUE)  
1;  
PC  
address (FALSE)  
1;  
If FLAG<1>  
PC  
If FLAG<1>  
PC  
address (FALSE)  
address (TRUE)  
DS39616B-page 300  
Preliminary  
2003 Microchip Technology Inc.  
 
 
PIC18F2331/2431/4331/4431  
BTG  
Bit Toggle f  
BOV  
Branch if Overflow  
Syntax:  
[ label ] BTG f,b[,a]  
Syntax:  
[ label ] BOV  
-128 n 127  
n
Operands:  
0 f 255  
0 b < 7  
a [0,1]  
Operands:  
Operation:  
if overflow bit is ‘1’  
(PC) + 2 + 2n PC  
Operation:  
(f<b>) f<b>  
Status Affected:  
Encoding:  
None  
Status Affected:  
Encoding:  
None  
1110  
0100  
nnnn  
nnnn  
0111  
bbba  
ffff  
ffff  
Description:  
If the Overflow bit is ‘1’, then the  
program will branch.  
Description:  
Bit ‘b’ in data memory location ‘f’ is  
inverted. If ‘a’ is 0, the Access Bank  
will be selected, overriding the BSR  
value. If ‘a’ = 1, then the bank will be  
selected as per the BSR value  
(default).  
The 2’s complement number ‘2n’ is  
added to the PC. Since the PC will  
have incremented to fetch the next  
instruction, the new address will be  
PC+2+2n. This instruction is then a  
two-cycle instruction.  
Words:  
Cycles:  
1
1
Words:  
Cycles:  
1
1(2)  
Q Cycle Activity:  
Q1  
Q Cycle Activity:  
If Jump:  
Q2  
Q3  
Q4  
Decode  
Read  
register ‘f’  
Process  
Data  
Write  
Q1  
Q2  
Q3  
Q4  
register ‘f’  
Decode  
Read literal  
‘n’  
Process  
Data  
Write to PC  
BTG  
PORTC,  
4
Example:  
No  
operation  
No  
operation  
No  
operation  
No  
operation  
Before Instruction:  
PORTC  
=
0111 0101 [0x75]  
If No Jump:  
Q1  
After Instruction:  
Q2  
Q3  
Q4  
PORTC  
=
0110 0101 [0x65]  
Decode  
Read literal  
‘n’  
Process  
Data  
No  
operation  
HERE  
BOV JUMP  
Example:  
Before Instruction  
PC  
=
address (HERE)  
After Instruction  
If Overflow  
=
=
=
=
1;  
PC  
address (JUMP)  
If Overflow  
PC  
0;  
address (HERE+2)  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 301  
 
 
PIC18F2331/2431/4331/4431  
BZ  
Branch if Zero  
[ label ] BZ  
CALL  
Subroutine Call  
Syntax:  
n
Syntax:  
[ label ] CALL k [,s]  
Operands:  
Operation:  
-128 n 127  
Operands:  
0 k 1048575  
s [0,1]  
if Zero bit is ‘1’  
(PC) + 2 + 2n PC  
Operation:  
(PC) + 4 TOS,  
k PC<20:1>,  
if s = 1  
Status Affected:  
Encoding:  
None  
1110  
0000  
nnnn  
nnnn  
(W) WS,  
(STATUS) STATUSS,  
(BSR) BSRS  
Description:  
If the Zero bit is ‘1’, then the  
program will branch.  
The 2’s complement number ‘2n’ is  
added to the PC. Since the PC will  
have incremented to fetch the next  
instruction, the new address will be  
PC+2+2n. This instruction is then a  
two-cycle instruction.  
Status Affected:  
None  
Encoding:  
1st word (k<7:0>)  
2nd word(k<19:8>)  
1110  
1111  
110s  
k kkk  
kkkk  
kkkk  
7
0
8
k
kkk kkkk  
19  
Description:  
Subroutine call of entire 2 Mbyte  
memory range. First, return  
address (PC+ 4) is pushed onto the  
return stack. If ‘s’ = 1, the W,  
Words:  
Cycles:  
1
1(2)  
STATUS and BSR registers are  
also pushed into their respective  
shadow registers, WS, STATUSS  
and BSRS. If ‘s’ = 0, no update  
occurs (default). Then, the 20-bit  
value ‘k’ is loaded into PC<20:1>.  
CALLis a two-cycle instruction.  
Q Cycle Activity:  
If Jump:  
Q1  
Q2  
Q3  
Q4  
Decode  
Read literal  
‘n’  
Process  
Data  
Write to PC  
No  
operation  
No  
operation  
No  
operation  
No  
operation  
Words:  
Cycles:  
2
2
If No Jump:  
Q1  
Q2  
Q3  
Q4  
Decode  
Read literal  
‘n’  
Process  
Data  
No  
operation  
Q Cycle Activity:  
Q1  
Q2  
Q3  
Q4  
Decode  
Read literal Push PC to Read literal  
HERE  
BZ Jump  
Example:  
‘k’<7:0>,  
stack  
‘k’<19:8>,  
Write to PC  
Before Instruction  
PC  
=
address (HERE)  
No  
No  
No  
No  
operation  
operation  
operation  
operation  
After Instruction  
If Zero  
=
=
=
=
1;  
PC  
address (Jump)  
HERE  
CALL THERE,FAST  
Example:  
If Zero  
PC  
0;  
address (HERE+2)  
Before Instruction  
PC  
=
address (HERE)  
After Instruction  
PC  
=
=
=
=
address (THERE)  
TOS  
WS  
address (HERE + 4)  
W
BSR  
STATUS  
BSRS  
STATUSS=  
DS39616B-page 302  
Preliminary  
2003 Microchip Technology Inc.  
 
 
PIC18F2331/2431/4331/4431  
CLRF  
Clear f  
CLRWDT  
Clear Watchdog Timer  
Syntax:  
[ label ] CLRF f [,a]  
Syntax:  
[ label ] CLRWDT  
Operands:  
0 f 255  
a [0,1]  
Operands:  
Operation:  
None  
000h WDT,  
000h WDT postscaler,  
1 TO,  
Operation:  
000h f  
1 Z  
1 PD  
Status Affected:  
Encoding:  
Z
Status Affected:  
Encoding:  
TO, PD  
0110  
101a  
ffff  
ffff  
0000  
0000  
0000  
0100  
Description:  
Clears the contents of the specified  
register. If ‘a’ is 0, the Access Bank  
will be selected, overriding the BSR  
value. If ‘a’ = 1, then the bank will  
be selected as per the BSR value  
(default).  
Description:  
CLRWDTinstruction resets the  
Watchdog Timer. It also resets the  
postscaler of the WDT. Status bits  
TO and PD are set.  
Words:  
Cycles:  
1
1
Words:  
Cycles:  
1
1
Q Cycle Activity:  
Q1  
Q Cycle Activity:  
Q1  
Q2  
Q3  
Q4  
Q2  
Q3  
Q4  
Decode  
No  
operation  
Process  
Data  
No  
operation  
Decode  
Read  
register ‘f’  
Process  
Data  
Write  
register ‘f’  
CLRWDT  
Example:  
CLRF  
FLAG_REG  
Example:  
Before Instruction  
WDT Counter  
=
?
Before Instruction  
FLAG_REG  
=
=
0x5A  
0x00  
After Instruction  
WDT Counter  
WDT Postscaler  
TO  
=
=
=
=
0x00  
After Instruction  
FLAG_REG  
0
1
1
PD  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 303  
 
 
PIC18F2331/2431/4331/4431  
COMF  
Complement f  
CPFSEQ  
Compare f with W, skip if f = W  
Syntax:  
[ label ] COMF f [,d [,a]]  
Syntax:  
[ label ] CPFSEQ f [,a]  
Operands:  
0 f 255  
d [0,1]  
a [0,1]  
Operands:  
0 f 255  
a [0,1]  
Operation:  
(f) – (W),  
Operation:  
(f) dest  
skip if (f) = (W)  
(unsigned comparison)  
Status Affected:  
Encoding:  
N, Z  
Status Affected:  
Encoding:  
None  
0001  
11da  
ffff  
ffff  
0110  
001a  
ffff  
ffff  
Description:  
The contents of register ‘f’ are com-  
plemented. If ‘d’ is 0, the result is  
stored in W. If ‘d’ is 1, the result is  
stored back in register ‘f’ (default).  
If ‘a’ is 0, the Access Bank will be  
selected, overriding the BSR value.  
If ‘a’ = 1, then the bank will be  
selected as per the BSR value  
(default).  
Description:  
Compares the contents of data  
memory location ‘f’ to the contents  
of W by performing an unsigned  
subtraction.  
If ‘f’ = W, then the fetched instruc-  
tion is discarded and a NOPis  
executed instead, making this a  
two-cycle instruction. If ‘a’ is 0, the  
Access Bank will be selected, over-  
riding the BSR value. If ‘a’ = 1, then  
the bank will be selected as per the  
BSR value (default).  
Words:  
Cycles:  
1
1
Q Cycle Activity:  
Q1  
Q2  
Q3  
Q4  
Words:  
Cycles:  
1
Decode  
Read  
register ‘f’  
Process  
Data  
Write to  
1(2)  
destination  
Note: 3 cycles if skip and followed  
by a 2-word instruction.  
COMF  
REG, W  
Example:  
Before Instruction  
Q Cycle Activity:  
Q1  
REG  
=
0x13  
Q2  
Q3  
Q4  
After Instruction  
Decode  
Read  
register ‘f'’  
Process  
Data  
No  
operation  
REG  
=
0x13  
W
=
0xEC  
If skip:  
Q1  
Q2  
Q3  
Q4  
No  
No  
No  
No  
operation  
operation  
operation  
operation  
If skip and followed by 2-word instruction:  
Q1  
Q2  
Q3  
Q4  
No  
No  
No  
No  
operation  
operation  
operation  
operation  
No  
No  
No  
No  
operation  
operation  
operation  
operation  
HERE  
CPFSEQ REG  
Example:  
NEQUAL  
EQUAL  
:
:
Before Instruction  
PC Address  
=
HERE  
W
REG  
=
=
?
?
After Instruction  
If REG  
PC  
=
=
W;  
Address (EQUAL)  
If REG  
PC  
=
W;  
Address (NEQUAL)  
DS39616B-page 304  
Preliminary  
2003 Microchip Technology Inc.  
 
 
PIC18F2331/2431/4331/4431  
CPFSGT  
Compare f with W, skip if f > W  
CPFSLT  
Compare f with W, skip if f < W  
Syntax:  
[ label ] CPFSGT f [,a]  
Syntax:  
[ label ] CPFSLT f [,a]  
Operands:  
0 f 255  
a [0,1]  
Operands:  
0 f 255  
a [0,1]  
Operation:  
(f) − (W),  
Operation:  
(f) – (W),  
skip if (f) > (W)  
(unsigned comparison)  
skip if (f) < (W)  
(unsigned comparison)  
Status Affected:  
Encoding:  
None  
Status Affected:  
Encoding:  
None  
0110  
010a  
ffff  
ffff  
0110  
000a  
ffff  
ffff  
Description:  
Compares the contents of data  
memory location ‘f’ to the contents  
of the W by performing an  
Description:  
Compares the contents of data  
memory location ‘f’ to the contents  
of W by performing an unsigned  
subtraction.  
unsigned subtraction.  
If the contents of ‘f’ are greater than  
the contents of WREG, then the  
fetched instruction is discarded and  
a NOPis executed instead, making  
this a two-cycle instruction. If ‘a’ is  
0, the Access Bank will be  
selected, overriding the BSR value.  
If ‘a’ = 1, then the bank will be  
selected as per the BSR value  
(default).  
If the contents of ‘f’ are less than  
the contents of W, then the fetched  
instruction is discarded and a NOP  
is executed instead, making this a  
two-cycle instruction. If ‘a’ is 0, the  
Access Bank will be selected. If ‘a’  
is 1, the BSR will not be overridden  
(default).  
Words:  
Cycles:  
1
1(2)  
Words:  
Cycles:  
1
Note: 3 cycles if skip and followed  
by a 2-word instruction.  
1(2)  
Note: 3 cycles if skip and followed  
by a 2-word instruction.  
Q Cycle Activity:  
Q1  
Q2  
Q3  
Q4  
Q Cycle Activity:  
Q1  
Decode  
Read  
register ‘f’  
Process  
Data  
No  
operation  
Q2  
Q3  
Q4  
Decode  
Read  
register ‘f’  
Process  
Data  
No  
operation  
If skip:  
Q1  
Q2  
Q3  
Q4  
If skip:  
Q1  
No  
operation  
No  
operation  
No  
operation  
No  
operation  
Q2  
Q3  
Q4  
No  
operation  
No  
operation  
No  
operation  
No  
operation  
If skip and followed by 2-word instruction:  
Q1  
Q2  
Q3  
Q4  
If skip and followed by 2-word instruction:  
No  
No  
No  
No  
Q1  
Q2  
Q3  
Q4  
operation  
operation  
operation  
operation  
No  
No  
No  
No  
No  
No  
No  
No  
operation  
operation  
operation  
operation  
operation  
operation  
operation  
operation  
No  
No  
No  
No  
operation  
operation  
operation  
operation  
HERE  
NLESS  
LESS  
CPFSLT REG  
:
:
Example:  
HERE  
CPFSGT REG  
Example:  
NGREATER  
GREATER  
:
:
Before Instruction  
PC  
W
=
=
Address (HERE)  
?
Before Instruction  
After Instruction  
PC  
W
=
=
Address (HERE)  
?
If REG  
PC  
If REG  
PC  
<
=
=
W;  
Address (LESS)  
W;  
Address (NLESS)  
After Instruction  
If REG  
PC  
>
=
W;  
Address (GREATER)  
If REG  
PC  
=
W;  
Address (NGREATER)  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 305  
 
 
PIC18F2331/2431/4331/4431  
DAW  
Decimal Adjust W Register  
DECF  
Decrement f  
Syntax:  
[ label ] DAW  
Syntax:  
[ label ] DECF f [,d [,a]]  
Operands:  
Operation:  
None  
Operands:  
0 f 255  
d [0,1]  
a [0,1]  
If [W<3:0> >9] or [DC = 1] then  
(W<3:0>) + 6 W<3:0>;  
else  
(W<3:0>) W<3:0>;  
Operation:  
(f) – 1 dest  
Status Affected:  
Encoding:  
C, DC, N, OV, Z  
0000  
01da  
ffff  
ffff  
If [W<7:4> >9] or [C = 1] then  
(W<7:4>) + 6 W<7:4>;  
else  
Description:  
Decrement register ‘f’. If ‘d’ is 0, the  
result is stored in W. If ‘d’ is 1, the  
result is stored back in register ‘f’  
(default). If ‘a’ is 0, the Access  
Bank will be selected, overriding  
the BSR value. If ‘a’ = 1, then the  
bank will be selected as per the  
BSR value (default).  
(W<7:4>) W<7:4>;  
Status Affected:  
Encoding:  
C, DC  
0000  
0000  
0000  
0111  
Description:  
DAW adjusts the eight-bit value in  
W, resulting from the earlier  
addition of two variables (each in  
packed BCD format) and produces  
a correct packed BCD result. The  
carry bit may be set by DAW  
regardless of its setting prior to the  
DAWinstruction.  
Words:  
Cycles:  
1
1
Q Cycle Activity:  
Q1  
Q2  
Q3  
Q4  
Decode  
Read  
register ‘f’  
Process  
Data  
Write to  
destination  
Words:  
Cycles:  
1
1
DECF  
CNT,  
Example:  
Q Cycle Activity:  
Q1  
Before Instruction  
Q2  
Q3  
Q4  
CNT  
Z
=
0x01  
0
Decode  
Read  
register W  
Process  
Data  
Write  
W
=
After Instruction  
DAW  
Example1:  
CNT  
Z
=
=
0x00  
1
Before Instruction  
W
=
0xA5  
C
DC  
=
=
0
0
After Instruction  
W
=
0x05  
C
DC  
=
=
1
0
Example 2:  
Before Instruction  
W
=
0xCE  
C
DC  
=
=
0
0
After Instruction  
W
=
0x34  
C
DC  
=
=
1
0
DS39616B-page 306  
Preliminary  
2003 Microchip Technology Inc.  
 
 
PIC18F2331/2431/4331/4431  
DECFSZ  
Decrement f, skip if 0  
DCFSNZ  
Decrement f, skip if not 0  
Syntax:  
[ label ] DECFSZ f [,d [,a]]  
Syntax:  
[ label ] DCFSNZ f [,d [,a]]  
Operands:  
0 f 255  
d [0,1]  
a [0,1]  
Operands:  
0 f 255  
d [0,1]  
a [0,1]  
Operation:  
(f) – 1 dest,  
skip if result = 0  
Operation:  
(f) – 1 dest,  
skip if result 0  
Status Affected:  
Encoding:  
None  
Status Affected:  
Encoding:  
None  
0010  
11da  
ffff  
ffff  
0100  
11da  
ffff  
ffff  
Description:  
The contents of register ‘f’ are dec-  
remented. If ‘d’ is 0, the result is  
placed in W. If ‘d’ is 1, the result is  
placed back in register ‘f’ (default).  
If the result is 0, the next instruc-  
tion, which is already fetched, is  
discarded, and a NOPis executed  
instead, making it a two-cycle  
instruction. If ‘a’ is 0, the Access  
Bank will be selected, overriding  
the BSR value. If ‘a’ = 1, then the  
bank will be selected as per the  
BSR value (default).  
Description:  
The contents of register ‘f’ are dec-  
remented. If ‘d’ is 0, the result is  
placed in W. If ‘d’ is 1, the result is  
placed back in register ‘f’ (default).  
If the result is not 0, the next  
instruction, which is already  
fetched, is discarded, and a NOPis  
executed instead, making it a two-  
cycle instruction. If ‘a’ is 0, the  
Access Bank will be selected,  
overriding the BSR value. If ‘a’ = 1,  
then the bank will be selected as  
per the BSR value (default).  
Words:  
Cycles:  
1
Words:  
Cycles:  
1
1(2)  
1(2)  
Note: 3 cycles if skip and followed  
by a 2-word instruction.  
Note: 3 cycles if skip and followed  
by a 2-word instruction.  
Q Cycle Activity:  
Q1  
Q Cycle Activity:  
Q1  
Q2  
Q3  
Q4  
Q2  
Q3  
Q4  
Decode  
Read  
register ‘f’  
Process  
Data  
Write to  
destination  
Decode  
Read  
register ‘f’  
Process  
Data  
Write to  
destination  
If skip:  
Q1  
If skip:  
Q1  
Q2  
Q3  
Q4  
Q2  
Q3  
Q4  
No  
No  
No  
No  
No  
No  
No  
No  
operation  
operation  
operation  
operation  
operation  
operation  
operation  
operation  
If skip and followed by 2-word instruction:  
If skip and followed by 2-word instruction:  
Q1  
Q2  
Q3  
Q4  
Q1  
Q2  
Q3  
Q4  
No  
No  
No  
No  
No  
No  
No  
No  
operation  
operation  
operation  
operation  
operation  
operation  
operation  
operation  
No  
No  
No  
No  
No  
No  
No  
No  
operation  
operation  
operation  
operation  
operation  
operation  
operation  
operation  
HERE  
DECFSZ  
GOTO  
CNT  
LOOP  
HERE  
ZERO  
NZERO  
DCFSNZ TEMP  
:
:
Example:  
Example:  
CONTINUE  
Before Instruction  
Before Instruction  
TEMP  
PC  
=
Address (HERE)  
=
?
After Instruction  
After Instruction  
CNT  
=
=
=
=
CNT - 1  
0;  
Address (CONTINUE)  
0;  
Address (HERE+2)  
TEMP  
If TEMP  
PC  
If TEMP  
PC  
=
=
=
=
TEMP - 1,  
0;  
Address (ZERO)  
0;  
Address (NZERO)  
If CNT  
PC  
If CNT  
PC  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 307  
 
 
PIC18F2331/2431/4331/4431  
GOTO  
Unconditional Branch  
INCF  
Increment f  
Syntax:  
[ label ] GOTO k  
0 k 1048575  
k PC<20:1>  
None  
Syntax:  
[ label ] INCF f [,d [,a]]  
Operands:  
Operation:  
Status Affected:  
Operands:  
0 f 255  
d [0,1]  
a [0,1]  
Operation:  
(f) + 1 dest  
Encoding:  
1st word (k<7:0>)  
2nd word(k<19:8>)  
Status Affected:  
Encoding:  
C, DC, N, OV, Z  
1110  
1111  
1111  
k kkk  
kkkk  
kkkk  
7
0
8
k
kkk kkkk  
0010  
10da  
ffff  
ffff  
19  
Description:  
GOTOallows an unconditional  
branch anywhere within entire  
2 Mbyte memory range. The 20-bit  
value ‘k’ is loaded into PC<20:1>.  
GOTOis always a two-cycle  
instruction.  
Description:  
The contents of register ‘f’ are  
incremented. If ‘d’ is 0, the result is  
placed in W. If ‘d’ is 1, the result is  
placed back in register ‘f’ (default).  
If ‘a’ is 0, the Access Bank will be  
selected, overriding the BSR value.  
If ‘a’ = 1, then the bank will be  
selected as per the BSR value  
(default).  
Words:  
Cycles:  
2
2
Q Cycle Activity:  
Q1  
Words:  
Cycles:  
1
1
Q2  
Q3  
Q4  
Decode  
Read literal  
‘k’<7:0>,  
No  
operation  
Read literal  
‘k’<19:8>,  
Write to PC  
Q Cycle Activity:  
Q1  
Q2  
Q3  
Q4  
No  
operation  
No  
operation  
No  
operation  
No  
operation  
Decode  
Read  
register ‘f’  
Process  
Data  
Write to  
destination  
GOTO THERE  
Example:  
INCF  
CNT,  
Example:  
After Instruction  
Before Instruction  
PC  
=
Address (THERE)  
CNT  
=
0xFF  
Z
=
=
=
0
?
?
C
DC  
After Instruction  
CNT  
=
=
=
=
0x00  
Z
1
1
1
C
DC  
DS39616B-page 308  
Preliminary  
2003 Microchip Technology Inc.  
 
 
PIC18F2331/2431/4331/4431  
INCFSZ  
Increment f, skip if 0  
INFSNZ  
Increment f, skip if not 0  
Syntax:  
[ label ] INCFSZ f [,d [,a]]  
Syntax:  
[ label ] INFSNZ f [,d [,a]]  
Operands:  
0 f 255  
d [0,1]  
a [0,1]  
Operands:  
0 f 255  
d [0,1]  
a [0,1]  
Operation:  
(f) + 1 dest,  
skip if result = 0  
Operation:  
(f) + 1 dest,  
skip if result 0  
Status Affected:  
Encoding:  
None  
Status Affected:  
Encoding:  
None  
0011  
11da  
ffff  
ffff  
0100  
10da  
ffff  
ffff  
Description:  
The contents of register ‘f’ are  
incremented. If ‘d’ is 0, the result is  
placed in W. If ‘d’ is 1, the result is  
placed back in register ‘f’. (default)  
If the result is 0, the next instruc-  
tion, which is already fetched, is  
discarded, and a NOPis executed  
instead, making it a two-cycle  
instruction. If ‘a’ is 0, the Access  
Bank will be selected, overriding  
the BSR value. If ‘a’ = 1, then the  
bank will be selected as per the  
BSR value (default).  
Description:  
The contents of register ‘f’ are  
incremented. If ‘d’ is 0, the result is  
placed in W. If ‘d’ is 1, the result is  
placed back in register ‘f’ (default).  
If the result is not 0, the next  
instruction, which is already  
fetched, is discarded, and a NOPis  
executed instead, making it a two-  
cycle instruction. If ‘a’ is 0, the  
Access Bank will be selected, over-  
riding the BSR value. If ‘a’ = 1, then  
the bank will be selected as per the  
BSR value (default).  
Words:  
Cycles:  
1
Words:  
Cycles:  
1
1(2)  
1(2)  
Note: 3 cycles if skip and followed  
by a 2-word instruction.  
Note: 3 cycles if skip and followed  
by a 2-word instruction.  
Q Cycle Activity:  
Q1  
Q Cycle Activity:  
Q1  
Q2  
Q3  
Q4  
Q2  
Q3  
Q4  
Decode  
Read  
register ‘f’  
Process  
Data  
Write to  
destination  
Decode  
Read  
register ‘f’  
Process  
Data  
Write to  
destination  
If skip:  
Q1  
If skip:  
Q1  
Q2  
Q3  
Q4  
Q2  
Q3  
Q4  
No  
No  
No  
No  
No  
No  
No  
No  
operation  
operation  
operation  
operation  
operation  
operation  
operation  
operation  
If skip and followed by 2-word instruction:  
If skip and followed by 2-word instruction:  
Q1  
Q2  
Q3  
Q4  
Q1  
Q2  
Q3  
Q4  
No  
No  
No  
No  
No  
No  
No  
No  
operation  
operation  
operation  
operation  
operation  
operation  
operation  
operation  
No  
No  
No  
No  
No  
No  
No  
No  
operation  
operation  
operation  
operation  
operation  
operation  
operation  
operation  
HERE  
NZERO  
ZERO  
INCFSZ  
:
:
CNT  
HERE  
ZERO  
NZERO  
INFSNZ REG  
Example:  
Example:  
Before Instruction  
Before Instruction  
PC  
=
Address (HERE)  
PC  
=
Address (HERE)  
After Instruction  
After Instruction  
CNT  
If CNT  
PC  
If CNT  
PC  
=
=
=
=
CNT + 1  
REG  
If REG  
PC  
If REG  
PC  
=
=
=
=
REG + 1  
0;  
0;  
Address (ZERO)  
0;  
Address (NZERO)  
Address (NZERO)  
0;  
Address (ZERO)  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 309  
 
 
PIC18F2331/2431/4331/4431  
IORLW  
Inclusive OR literal with W  
IORWF  
Inclusive OR W with f  
Syntax:  
[ label ] IORLW k  
0 k 255  
Syntax:  
[ label ] IORWF f [,d [,a]]  
Operands:  
Operation:  
Status Affected:  
Encoding:  
Operands:  
0 f 255  
d [0,1]  
a [0,1]  
(W) .OR. k W  
N, Z  
Operation:  
(W) .OR. (f) dest  
0000  
1001  
kkkk  
kkkk  
Status Affected:  
Encoding:  
N, Z  
Description:  
The contents of W are OR’ed with  
the eight-bit literal ‘k’. The result is  
placed in W.  
0001  
00da  
ffff  
ffff  
Description:  
Inclusive OR W with register ‘f’. If  
‘d’ is 0, the result is placed in W. If  
‘d’ is 1, the result is placed back in  
register ‘f’ (default). If ‘a’ is 0, the  
Access Bank will be selected, over-  
riding the BSR value. If ‘a’ = 1, then  
the bank will be selected as per the  
BSR value (default).  
Words:  
Cycles:  
1
1
Q Cycle Activity:  
Q1  
Q2  
Q3  
Q4  
Decode  
Read  
literal ‘k’  
Process  
Data  
Write to W  
Words:  
Cycles:  
1
1
IORLW  
0x35  
Example:  
Before Instruction  
Q Cycle Activity:  
Q1  
W
=
0x9A  
Q2  
Q3  
Q4  
Decode  
Read  
register ‘f’  
Process  
Data  
Write to  
destination  
After Instruction  
W
=
0xBF  
IORWF RESULT, W  
Example:  
Before Instruction  
RESULT =  
0x13  
0x91  
W
=
After Instruction  
RESULT =  
0x13  
0x93  
W
=
DS39616B-page 310  
Preliminary  
2003 Microchip Technology Inc.  
 
 
PIC18F2331/2431/4331/4431  
LFSR  
Load FSR  
MOVF  
Move f  
Syntax:  
[ label ] LFSR f,k  
Syntax:  
[ label ] MOVF f [,d [,a]]  
Operands:  
0 f 2  
Operands:  
0 f 255  
d [0,1]  
a [0,1]  
0 k 4095  
Operation:  
k FSRf  
Operation:  
f dest  
Status Affected:  
Encoding:  
None  
Status Affected:  
Encoding:  
N, Z  
1110  
1111  
1110  
0000  
00ff  
k kkk  
11  
kkkk  
k kkk  
0101  
00da  
ffff  
ffff  
7
Description:  
The 12-bit literal ‘k’ is loaded into  
the file select register pointed to  
by ‘f’.  
Description:  
The contents of register ‘f’ are  
moved to a destination dependent  
upon the status of ‘d’. If ‘d’ is 0, the  
result is placed in W. If ‘d’ is 1, the  
result is placed back in register ‘f’  
(default). Location ‘f’ can be any-  
where in the 256 byte bank. If ‘a’ is  
0, the Access Bank will be  
Words:  
Cycles:  
2
2
Q Cycle Activity:  
Q1  
Q2  
Q3  
Q4  
selected, overriding the BSR value.  
If ‘a’ = 1, then the bank will be  
selected as per the BSR value  
(default).  
Decode  
Read literal  
‘k’ MSB  
Process  
Data  
Write  
literal ‘k’  
MSB to  
FSRfH  
Decode  
Read literal  
‘k’ LSB  
Process  
Data  
Write literal  
‘k’ to FSRfL  
Words:  
Cycles:  
1
1
Q Cycle Activity:  
Q1  
LFSR 2, 0x3AB  
Example:  
Q2  
Q3  
Q4  
After Instruction  
Decode  
Read  
register ‘f’  
Process  
Data  
Write W  
FSR2H  
FSR2L  
=
=
0x03  
0xAB  
MOVF  
REG, W  
Example:  
Before Instruction  
REG  
W
=
=
0x22  
0xFF  
After Instruction  
REG  
W
=
=
0x22  
0x22  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 311  
 
 
PIC18F2331/2431/4331/4431  
MOVFF  
Move f to f  
MOVLB  
Move literal to low nibble in BSR  
Syntax:  
[ label ] MOVFF fs,fd  
Syntax:  
[ label ] MOVLB k  
0 k 255  
k BSR  
Operands:  
0 fs 4095  
0 fd 4095  
Operands:  
Operation:  
Status Affected:  
Encoding:  
Operation:  
(fs) fd  
None  
Status Affected:  
None  
0000  
0001  
kkkk  
kkkk  
Encoding:  
1st word (source)  
2nd word (destin.)  
Description:  
The 8-bit literal ‘k’ is loaded into  
the Bank Select Register (BSR).  
1100  
1111  
ffff  
ffff  
ffff  
ffff  
ffffs  
ffffd  
Words:  
Cycles:  
1
1
Description:  
The contents of source register ‘fs’  
are moved to destination register  
‘fd’. Location of source ‘fs’ can be  
anywhere in the 4096 byte data  
space (000h to FFFh) and location  
of destination ‘fd’ can also be any-  
where from 000h to FFFh.  
Q Cycle Activity:  
Q1  
Q2  
Q3  
Q4  
Decode  
Read literal  
‘k’  
Process  
Data  
Write  
literal ‘k’ to  
BSR  
Either source or destination can be  
W (a useful special situation).  
MOVFFis particularly useful for  
transferring a data memory location  
to a peripheral register (such as the  
transmit buffer or an I/O port).  
MOVLB  
5
Example:  
Before Instruction  
BSR register  
=
=
0x02  
0x05  
After Instruction  
BSR register  
The MOVFFinstruction cannot use  
the PCL, TOSU, TOSH or TOSL as  
the destination register.  
The MOVFFinstruction should not  
be used to modify interrupt settings  
while any interrupt is enabled (see  
the note on page 91).  
Words:  
Cycles:  
2
2 (3)  
Q Cycle Activity:  
Q1  
Q2  
Q3  
Q4  
Decode  
Read  
register ‘f’  
(src)  
Process  
Data  
No  
operation  
Decode  
No  
operation  
No  
operation  
Write  
register ‘f’  
(dest)  
No dummy  
read  
MOVFF  
REG1, REG2  
Example:  
Before Instruction  
REG1  
REG2  
=
=
0x33  
0x11  
After Instruction  
REG1  
REG2  
=
=
0x33,  
0x33  
DS39616B-page 312  
Preliminary  
2003 Microchip Technology Inc.  
 
 
PIC18F2331/2431/4331/4431  
MOVLW  
Move literal to W  
MOVWF  
Move W to f  
Syntax:  
[ label ] MOVLW k  
0 k 255  
k W  
Syntax:  
[ label ] MOVWF f [,a]  
Operands:  
Operation:  
Status Affected:  
Encoding:  
Operands:  
0 f 255  
a [0,1]  
Operation:  
(W) f  
None  
Status Affected:  
Encoding:  
None  
0000  
1110  
kkkk  
kkkk  
0110  
111a  
ffff  
ffff  
Description:  
The eight-bit literal ‘k’ is loaded into  
W.  
Description:  
Move data from W to register ‘f’.  
Location ‘f’ can be anywhere in the  
256 byte bank. If ‘a’ is 0, the  
Access Bank will be selected, over-  
riding the BSR value. If ‘a’ = 1, then  
the bank will be selected as per the  
BSR value (default).  
Words:  
Cycles:  
1
1
Q Cycle Activity:  
Q1  
Q2  
Q3  
Q4  
Decode  
Read  
literal ‘k’  
Process  
Data  
Write to W  
Words:  
Cycles:  
1
1
MOVLW  
0x5A  
Example:  
Q Cycle Activity:  
Q1  
After Instruction  
Q2  
Q3  
Q4  
W
=
0x5A  
Decode  
Read  
register ‘f’  
Process  
Data  
Write  
register ‘f’  
MOVWF  
REG  
Example:  
Before Instruction  
W
REG  
=
=
0x4F  
0xFF  
After Instruction  
W
REG  
=
=
0x4F  
0x4F  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 313  
 
 
PIC18F2331/2431/4331/4431  
MULLW  
Multiply Literal with W  
MULWF  
Multiply W with f  
Syntax:  
[ label ] MULLW  
0 k 255  
k
Syntax:  
[ label ] MULWF f [,a]  
Operands:  
Operation:  
Status Affected:  
Encoding:  
Operands:  
0 f 255  
a [0,1]  
(W) x k PRODH:PRODL  
Operation:  
(W) x (f) PRODH:PRODL  
None  
Status Affected:  
Encoding:  
None  
0000  
1101  
kkkk  
kkkk  
0000  
001a  
ffff  
ffff  
Description:  
An unsigned multiplication is  
carried out between the contents  
of W and the 8-bit literal ‘k’. The  
16-bit result is placed in  
PRODH:PRODL register pair.  
PRODH contains the high byte.  
W is unchanged.  
None of the status flags are  
affected.  
Note that neither overflow nor  
carry is possible in this opera-  
tion. A zero result is possible but  
not detected.  
Description:  
An unsigned multiplication is car-  
ried out between the contents of  
W and the register file location  
‘f’. The 16-bit result is stored in  
the PRODH:PRODL register  
pair. PRODH contains the high  
byte.  
Both W and ‘f’ are unchanged.  
None of the status flags are  
affected.  
Note that neither overflow nor  
carry is possible in this opera-  
tion. A zero result is possible but  
not detected. If ‘a’ is 0, the  
Access Bank will be selected,  
overriding the BSR value. If  
‘a’= 1, then the bank will be  
selected as per the BSR value  
(default).  
Words:  
Cycles:  
1
1
Q Cycle Activity:  
Q1  
Q2  
Q3  
Q4  
Decode  
Read  
literal ‘k’  
Process  
Data  
Write  
registers  
PRODH:  
PRODL  
Words:  
Cycles:  
1
1
Q Cycle Activity:  
Q1  
MULLW  
0xC4  
Example:  
Q2  
Q3  
Q4  
Before Instruction  
Decode  
Read  
register ‘f’  
Process  
Data  
Write  
W
PRODH  
PRODL  
=
=
=
0xE2  
registers  
PRODH:  
PRODL  
?
?
After Instruction  
W
=
0xE2  
0xAD  
0x08  
MULWF  
REG  
Example:  
PRODH  
PRODL  
=
=
Before Instruction  
W
=
0xC4  
REG  
PRODH  
PRODL  
=
=
=
0xB5  
?
?
After Instruction  
W
=
0xC4  
REG  
PRODH  
PRODL  
=
=
=
0xB5  
0x8A  
0x94  
DS39616B-page 314  
Preliminary  
2003 Microchip Technology Inc.  
 
 
PIC18F2331/2431/4331/4431  
NEGF  
Negate f  
NOP  
No Operation  
Syntax:  
[ label ] NEGF f [,a]  
Syntax:  
[ label ] NOP  
None  
Operands:  
0 f 255  
a [0,1]  
Operands:  
Operation:  
Status Affected:  
Encoding:  
No operation  
None  
Operation:  
( f ) + 1 f  
Status Affected:  
Encoding:  
N, OV, C, DC, Z  
0000  
1111  
0000  
xxxx  
0000  
xxxx  
0000  
xxxx  
0110  
110a  
ffff  
ffff  
Description:  
Words:  
No operation.  
Description:  
Location ‘f’ is negated using two’s  
complement. The result is placed in  
the data memory location ‘f’. If ‘a’  
is 0, the Access Bank will be  
1
1
Cycles:  
Q Cycle Activity:  
Q1  
selected, overriding the BSR value.  
If ‘a’ = 1, then the bank will be  
selected as per the BSR value.  
Q2  
No  
Q3  
No  
Q4  
Decode  
No  
operation  
operation  
operation  
Words:  
Cycles:  
1
1
Example:  
None.  
Q Cycle Activity:  
Q1  
Q2  
Q3  
Q4  
Decode  
Read  
register ‘f’  
Process  
Data  
Write  
register ‘f’  
NEGF  
REG, 1  
Example:  
Before Instruction  
REG  
=
0011 1010 [0x3A]  
1100 0110 [0xC6]  
After Instruction  
REG  
=
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 315  
 
 
PIC18F2331/2431/4331/4431  
POP  
Pop Top of Return Stack  
PUSH  
Push Top of Return Stack  
Syntax:  
[ label ] POP  
None  
Syntax:  
[ label ] PUSH  
None  
Operands:  
Operation:  
Status Affected:  
Encoding:  
Operands:  
Operation:  
Status Affected:  
Encoding:  
(TOS) bit bucket  
None  
(PC+2) TOS  
None  
0000  
0000  
0000  
0110  
0000  
0000  
0000  
0101  
Description:  
The TOS value is pulled off the  
return stack and is discarded. The  
TOS value then becomes the  
previous value that was pushed  
onto the return stack.  
This instruction is provided to  
enable the user to properly manage  
the return stack to incorporate a  
software stack.  
Description:  
The PC+2 is pushed onto the top of  
the return stack. The previous TOS  
value is pushed down on the stack.  
This instruction allows to implement  
a software stack by modifying TOS,  
and then push it onto the return  
stack.  
Words:  
Cycles:  
1
1
Words:  
Cycles:  
1
1
Q Cycle Activity:  
Q1  
Q2  
Q3  
Q4  
Q Cycle Activity:  
Q1  
Decode  
PUSH PC+2  
onto return  
stack  
No  
operation  
No  
operation  
Q2  
Q3  
Q4  
Decode  
No  
operation  
POP TOS  
value  
No  
operation  
PUSH  
Example:  
POP  
GOTO  
Example:  
Before Instruction  
NEW  
TOS  
PC  
=
=
0x00345A  
0x000124  
Before Instruction  
TOS  
=
=
0x0031A2  
0x014332  
After Instruction  
Stack (1 level down)  
PC  
=
=
=
0x000126  
0x000126  
0x00345A  
TOS  
After Instruction  
Stack (1 level down)  
TOS  
PC  
=
=
0x014332  
NEW  
DS39616B-page 316  
Preliminary  
2003 Microchip Technology Inc.  
 
 
PIC18F2331/2431/4331/4431  
RCALL  
Relative Call  
RESET  
Reset  
Syntax:  
[ label ] RCALL  
-1024 n 1023  
(PC) + 2 TOS,  
n
Syntax:  
[ label ] RESET  
Operands:  
Operation:  
Operands:  
Operation:  
None  
Reset all registers and flags that  
are affected by a MCLR Reset.  
(PC) + 2 + 2n PC  
Status Affected:  
Encoding:  
None  
Status Affected:  
Encoding:  
All  
1101  
1nnn  
nnnn  
nnnn  
0000  
0000  
1111  
1111  
Description:  
Subroutine call with a jump up to  
1K from the current location. First,  
return address (PC+2) is pushed  
onto the stack. Then, add the 2’s  
complement number ‘2n’ to the PC.  
Since the PC will have incremented  
to fetch the next instruction, the  
new address will be PC+2+2n. This  
instruction is a two-cycle instruc-  
tion.  
Description:  
This instruction provides a way to  
execute a MCLR Reset in software.  
Words:  
Cycles:  
1
1
Q Cycle Activity:  
Q1  
Q2  
Q3  
Q4  
Decode  
Start  
reset  
No  
operation  
No  
operation  
Words:  
Cycles:  
1
2
RESET  
Example:  
After Instruction  
Registers =  
Reset Value  
Reset Value  
Q Cycle Activity:  
Q1  
Flags*  
=
Q2  
Q3  
Q4  
Decode  
Read literal  
‘n’  
Process  
Data  
Write to PC  
Push PC to  
stack  
No  
No  
No  
No  
operation  
operation  
operation  
operation  
HERE  
RCALL  
Jump  
Example:  
Before Instruction  
PC  
=
Address (HERE)  
After Instruction  
PC  
=
Address (Jump)  
Address (HERE+2)  
TOS =  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 317  
 
 
PIC18F2331/2431/4331/4431  
RETFIE  
Return from Interrupt  
RETLW  
Return Literal to W  
Syntax:  
[ label ] RETFIE [s]  
s [0,1]  
Syntax:  
[ label ] RETLW k  
0 k 255  
Operands:  
Operation:  
Operands:  
Operation:  
(TOS) PC,  
1 GIE/GIEH or PEIE/GIEL,  
if s = 1  
k W,  
(TOS) PC,  
PCLATU, PCLATH are unchanged  
(WS) W,  
(STATUSS) STATUS,  
(BSRS) BSR,  
Status Affected:  
Encoding:  
None  
0000  
1100  
kkkk  
kkkk  
PCLATU, PCLATH are unchanged.  
Description:  
W is loaded with the eight-bit literal  
‘k’. The program counter is loaded  
from the top of the stack (the return  
address). The high address latch  
(PCLATH) remains unchanged.  
Status Affected:  
Encoding:  
GIE/GIEH, PEIE/GIEL.  
0000  
0000  
0001  
000s  
Description:  
Return from Interrupt. Stack is  
popped and Top-of-Stack (TOS) is  
loaded into the PC. Interrupts are  
enabled by setting either the high  
or low priority global interrupt  
enable bit. If ‘s’ = 1, the contents of  
the shadow registers WS,  
STATUSS and BSRS are loaded  
into their corresponding registers,  
W, STATUS and BSR. If ‘s’ = 0, no  
update of these registers occurs  
(default).  
Words:  
Cycles:  
1
2
Q Cycle Activity:  
Q1  
Q2  
Q3  
Q4  
Decode  
Read  
literal ‘k’  
Process  
Data  
pop PC from  
stack, Write  
to W  
No  
No  
No  
No  
operation  
operation  
operation  
operation  
Words:  
Cycles:  
1
2
Example:  
CALL TABLE ; W contains table  
; offset value  
Q Cycle Activity:  
Q1  
Q2  
Q3  
Q4  
; W now has  
; table value  
Decode  
No  
operation  
No  
operation  
pop PC from  
stack  
:
TABLE  
ADDWF PCL ; W = offset  
Set GIEH or  
GIEL  
RETLW k0  
RETLW k1  
:
; Begin table  
;
No  
operation  
No  
operation  
No  
operation  
No  
operation  
:
RETLW kn  
; End of table  
RETFIE  
1
Example:  
After Interrupt  
Before Instruction  
PC  
W
=
=
=
=
=
TOS  
WS  
W
=
0x07  
BSR  
STATUS  
GIE/GIEH, PEIE/GIEL  
BSRS  
STATUSS  
1
After Instruction  
W
=
value of kn  
DS39616B-page 318  
Preliminary  
2003 Microchip Technology Inc.  
 
 
PIC18F2331/2431/4331/4431  
RETURN  
Return from Subroutine  
RLCF  
Rotate Left f through Carry  
Syntax:  
[ label ] RETURN [s]  
s [0,1]  
Syntax:  
[ label ] RLCF f [,d [,a]]  
Operands:  
Operation:  
Operands:  
0 f 255  
d [0,1]  
a [0,1]  
(TOS) PC,  
if s = 1  
(WS) W,  
Operation:  
(f<n>) dest<n+1>,  
(f<7>) C,  
(STATUSS) STATUS,  
(BSRS) BSR,  
PCLATU, PCLATH are unchanged  
(C) dest<0>  
Status Affected:  
Encoding:  
C, N, Z  
Status Affected:  
Encoding:  
None  
0011  
01da  
ffff  
ffff  
0000  
0000  
0001  
001s  
Description:  
The contents of register ‘f’ are  
rotated one bit to the left through  
the Carry Flag. If ‘d’ is 0, the result  
is placed in W. If ‘d’ is 1, the result  
is stored back in register ‘f’  
(default). If ‘a’ is 0, the Access  
Bank will be selected, overriding  
the BSR value. If ‘a’ = 1, then the  
bank will be selected as per the  
BSR value (default).  
Description:  
Return from subroutine. The stack  
is popped and the top of the stack  
(TOS) is loaded into the program  
counter. If ‘s’= 1, the contents of the  
shadow registers WS, STATUSS  
and BSRS are loaded into their cor-  
responding registers, W, STATUS  
and BSR. If ‘s’ = 0, no update of  
these registers occurs (default).  
Words:  
Cycles:  
1
2
register f  
C
Words:  
Cycles:  
1
1
Q Cycle Activity:  
Q1  
Q2  
Q3  
Q4  
Q Cycle Activity:  
Q1  
Decode  
No  
operation  
Process  
Data  
pop PC from  
stack  
Q2  
Q3  
Q4  
No  
operation  
No  
operation  
No  
operation  
No  
operation  
Decode  
Read  
register ‘f’  
Process  
Data  
Write to  
destination  
RLCF  
REG, W  
Example:  
RETURN  
Example:  
Before Instruction  
REG  
C
=
=
1110 0110  
0
After Interrupt  
PC = TOS  
After Instruction  
REG  
=
1110 0110  
W
C
=
=
1100 1100  
1
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 319  
 
 
PIC18F2331/2431/4331/4431  
RLNCF  
Rotate Left f (no carry)  
RRCF  
Rotate Right f through Carry  
Syntax:  
[ label ] RLNCF f [,d [,a]]  
Syntax:  
[ label ] RRCF f [,d [,a]]  
Operands:  
0 f 255  
d [0,1]  
a [0,1]  
Operands:  
0 f 255  
d [0,1]  
a [0,1]  
Operation:  
(f<n>) dest<n+1>,  
(f<7>) dest<0>  
Operation:  
(f<n>) dest<n-1>,  
(f<0>) C,  
(C) dest<7>  
Status Affected:  
Encoding:  
N, Z  
Status Affected:  
Encoding:  
C, N, Z  
0100  
01da  
ffff  
ffff  
0011  
00da  
ffff  
ffff  
Description:  
The contents of register ‘f’ are  
rotated one bit to the left. If ‘d’ is 0,  
the result is placed in W. If ‘d’ is 1,  
the result is stored back in register  
‘f’ (default). If ‘a’ is 0, the Access  
Bank will be selected, overriding  
the BSR value. If ‘a’ is 1, then the  
bank will be selected as per the  
BSR value (default).  
Description:  
The contents of register ‘f’ are  
rotated one bit to the right through  
the Carry Flag. If ‘d’ is 0, the result  
is placed in W. If ‘d’ is 1, the result  
is placed back in register ‘f’  
(default). If ‘a’ is 0, the Access  
Bank will be selected, overriding  
the BSR value. If ‘a’ is 1, then the  
bank will be selected as per the  
BSR value (default).  
register f  
Words:  
Cycles:  
1
1
register f  
C
Words:  
Cycles:  
1
1
Q Cycle Activity:  
Q1  
Q2  
Q3  
Q4  
Q Cycle Activity:  
Q1  
Decode  
Read  
register ‘f’  
Process  
Data  
Write to  
destination  
Q2  
Q3  
Q4  
Decode  
Read  
register ‘f’  
Process  
Data  
Write to  
destination  
RLNCF  
REG  
Example:  
Before Instruction  
RRCF  
REG, W  
Example:  
REG  
=
1010 1011  
0101 0111  
After Instruction  
Before Instruction  
REG  
=
REG  
C
=
=
1110 0110  
0
After Instruction  
REG  
=
1110 0110  
W
C
=
=
0111 0011  
0
DS39616B-page 320  
Preliminary  
2003 Microchip Technology Inc.  
 
 
PIC18F2331/2431/4331/4431  
RRNCF  
Rotate Right f (no carry)  
SETF  
Set f  
Syntax:  
[ label ] RRNCF f [,d [,a]]  
Syntax:  
[ label ] SETF f [,a]  
Operands:  
0 f 255  
d [0,1]  
a [0,1]  
Operands:  
0 f 255  
a [0,1]  
Operation:  
FFh f  
Operation:  
(f<n>) dest<n-1>,  
(f<0>) dest<7>  
Status Affected:  
Encoding:  
None  
0110  
100a  
ffff  
ffff  
Status Affected:  
Encoding:  
N, Z  
Description:  
The contents of the specified  
register are set to FFh. If ‘a’ is 0,  
the Access Bank will be selected,  
overriding the BSR value. If ‘a’ is 1,  
then the bank will be selected as  
per the BSR value (default).  
0100  
00da  
ffff  
ffff  
Description:  
The contents of register ‘f’ are  
rotated one bit to the right. If ‘d’ is  
0, the result is placed in W. If ‘d’ is  
1, the result is placed back in regis-  
ter ‘f’ (default). If ‘a’ is 0, the Access  
Bank will be selected, overriding  
the BSR value. If ‘a’ is 1, then the  
bank will be selected as per the  
BSR value (default).  
Words:  
Cycles:  
1
1
Q Cycle Activity:  
Q1  
Q2  
Q3  
Q4  
register f  
Decode  
Read  
register ‘f’  
Process  
Data  
Write  
register ‘f’  
Words:  
Cycles:  
1
1
SETF  
REG  
Example:  
Before Instruction  
Q Cycle Activity:  
Q1  
REG  
=
=
0x5A  
0xFF  
Q2  
Q3  
Q4  
After Instruction  
REG  
Decode  
Read  
register ‘f’  
Process  
Data  
Write to  
destination  
RRNCF  
REG, 1, 0  
Example 1:  
Before Instruction  
REG  
=
1101 0111  
1110 1011  
RRNCF REG, W  
After Instruction  
REG  
=
Example 2:  
Before Instruction  
W
REG  
=
=
?
1101 0111  
After Instruction  
W
REG  
=
=
1110 1011  
1101 0111  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 321  
 
 
PIC18F2331/2431/4331/4431  
SLEEP  
Enter Sleep mode  
SUBFWB  
Subtract f from W with borrow  
Syntax:  
[ label ] SLEEP  
Syntax:  
[ label ] SUBFWB f [,d [,a]]  
Operands:  
Operation:  
None  
Operands:  
0 f 255  
d [0,1]  
a [0,1]  
00h WDT,  
0 WDT postscaler,  
1 TO,  
Operation:  
(W) – (f) – (C) dest  
0 PD  
Status Affected:  
Encoding:  
N, OV, C, DC, Z  
Status Affected:  
Encoding:  
TO, PD  
0101  
01da  
ffff  
ffff  
0000  
0000  
0000  
0011  
Description:  
Subtract register ‘f’ and carry flag  
(borrow) from W (2’s complement  
method). If ‘d’ is 0, the result is  
stored in W. If ‘d’ is 1, the result is  
stored in register ‘f’ (default). If ‘a’ is  
0, the Access Bank will be  
selected, overriding the BSR value.  
If ‘a’ is 1, then the bank will be  
selected as per the BSR value  
(default).  
Description:  
The power-down status bit (PD) is  
cleared. The time-out status bit  
(TO) is set. Watchdog Timer and  
its postscaler are cleared.  
The processor is put into Sleep  
mode with the oscillator stopped.  
Words:  
Cycles:  
1
1
Q Cycle Activity:  
Q1  
Words:  
Cycles:  
1
1
Q2  
Q3  
Q4  
Decode  
No  
operation  
Process  
Data  
Go to  
sleep  
Q Cycle Activity:  
Q1  
Q2  
Q3  
Q4  
Decode  
Read  
register ‘f’  
Process  
Data  
Write to  
destination  
SLEEP  
Example:  
Before Instruction  
SUBFWB REG  
Example 1:  
TO  
PD  
=
?
=
?
Before Instruction  
After Instruction  
REG  
W
C
=
=
=
0x03  
0x02  
0x01  
TO  
PD  
=
=
1 †  
0
After Instruction  
† If WDT causes wake-up, this bit is cleared.  
REG  
=
0xFF  
0x02  
W
=
C
Z
N
=
=
=
0x00  
0x00  
0x01 ; result is negative  
SUBFWB  
REG, 0, 0  
Example 2:  
Before Instruction  
REG  
=
2
W
C
=
=
5
1
After Instruction  
REG  
W
=
=
2
3
C
Z
N
=
=
=
1
0
0
; result is positive  
SUBFWB  
REG, 1, 0  
Example 3:  
Before Instruction  
REG  
=
1
W
C
=
=
2
0
After Instruction  
REG  
W
=
=
0
2
C
Z
N
=
=
=
1
1
0
; result is zero  
DS39616B-page 322  
Preliminary  
2003 Microchip Technology Inc.  
 
 
PIC18F2331/2431/4331/4431  
SUBLW  
Subtract W from literal  
SUBWF  
Syntax:  
Subtract W from f  
Syntax:  
[ label ] SUBLW k  
0 k 255  
[ label ] SUBWF f [,d [,a]]  
Operands:  
Operation:  
Status Affected:  
Encoding:  
Operands:  
0 f 255  
d [0,1]  
a [0,1]  
k – (W) W  
N, OV, C, DC, Z  
Operation:  
(f) – (W) dest  
0000  
1000  
kkkk  
kkkk  
Status Affected:  
Encoding:  
N, OV, C, DC, Z  
Description:  
W is subtracted from the eight-bit  
literal ‘k’. The result is placed in  
W.  
0101  
11da  
ffff  
ffff  
Description:  
Subtract W from register ‘f’ (2’s  
complement method). If ‘d’ is 0,  
the result is stored in W. If ‘d’ is 1,  
the result is stored back in regis-  
ter ‘f’ (default). If = ‘a’ is 0, the  
Access Bank will be selected,  
overriding the BSR value. If ‘a’ is  
1, then the bank will be selected  
as per the BSR value (default).  
Words:  
Cycles:  
1
1
Q Cycle Activity:  
Q1  
Q2  
Q3  
Q4  
Decode  
Read  
literal ‘k’  
Process  
Data  
Write to W  
SUBLW 0x02  
Example 1:  
Words:  
Cycles:  
1
1
Before Instruction  
W
C
=
=
1
?
Q Cycle Activity:  
Q1  
Q2  
Q3  
Q4  
After Instruction  
Decode  
Read  
register ‘f’  
Process  
Data  
Write to  
destination  
W
=
1
C
=
=
=
1
0
0
; result is positive  
Z
SUBWF REG  
Example 1:  
N
SUBLW 0x02  
Example 2:  
Before Instruction  
REG  
W
C
=
=
=
3
2
?
Before Instruction  
W
C
=
=
2
?
After Instruction  
After Instruction  
REG  
W
=
=
1
2
W
=
0
C
Z
N
=
=
=
1
0
0
; result is positive  
C
Z
N
=
=
=
1
1
0
; result is zero  
SUBWF REG, W  
Example 2:  
SUBLW 0x02  
Example 3:  
Before Instruction  
Before Instruction  
REG  
=
2
2
?
W
C
=
=
3
?
W
C
=
=
After Instruction  
After Instruction  
W
=
FF ; (2’s complement)  
REG  
=
2
0
C
Z
N
=
=
=
0
0
1
; result is negative  
W
=
C
Z
N
=
=
=
1
1
0
; result is zero  
SUBWF REG  
Example 3:  
Before Instruction  
REG  
=
0x01  
W
C
=
=
0x02  
?
After Instruction  
REG  
W
=
=
0xFFh ;(2’s complement)  
0x02  
C
Z
N
=
=
=
0x00 ; result is negative  
0x00  
0x01  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 323  
 
 
PIC18F2331/2431/4331/4431  
SUBWFB  
Syntax:  
Subtract W from f with Borrow  
SUBWFB REG, 1, 0  
Example 1:  
Before Instruction  
[ label ] SUBWFB f [,d [,a]]  
REG  
W
C
=
=
=
0x19  
0x0D  
0x01  
(0001 1001)  
(0000 1101)  
Operands:  
0 f 255  
d [0,1]  
a [0,1]  
After Instruction  
REG  
W
C
Z
N
=
=
=
=
=
0x0C  
0x0D  
(0000 1011)  
(0000 1101)  
Operation:  
(f) – (W) – (C) dest  
Status Affected: N, OV, C, DC, Z  
0x01  
0x00  
0x00  
Encoding:  
0101  
10da  
ffff  
ffff  
; result is positive  
Description:  
Subtract W and the carry flag (bor-  
row) from register ‘f’ (2’s complement  
method). If ‘d’ is 0, the result is stored  
in W. If ‘d’ is 1, the result is stored  
back in register ‘f’ (default). If ‘a’ is 0,  
the Access Bank will be selected,  
overriding the BSR value. If ‘a’ is 1,  
then the bank will be selected as per  
the BSR value (default).  
SUBWFB REG, 0, 0  
Example 2:  
Before Instruction  
REG  
W
C
=
=
=
0x1B  
0x1A  
0x00  
(0001 1011)  
(0001 1010)  
After Instruction  
REG  
W
C
Z
N
=
=
=
=
=
0x1B  
0x00  
(0001 1011)  
0x01  
0x01  
0x00  
; result is zero  
Words:  
Cycles:  
1
1
SUBWFB REG, 1, 0  
Example 3:  
Q Cycle Activity:  
Q1  
Before Instruction  
REG  
=
0x03  
0x0E  
0x01  
(0000 0011)  
(0000 1101)  
Q2  
Q3  
Q4  
W
C
=
=
Decode  
Read  
register ‘f’  
Process  
Data  
Write to  
destination  
After Instruction  
REG  
=
0xF5  
0x0E  
(1111 0100)  
; [2’s comp]  
(0000 1101)  
W
=
C
Z
N
=
=
=
0x00  
0x00  
0x01  
; result is negative  
DS39616B-page 324  
Preliminary  
2003 Microchip Technology Inc.  
 
PIC18F2331/2431/4331/4431  
SWAPF  
Swap f  
Syntax:  
[ label ] SWAPF f [,d [,a]]  
Operands:  
0 f 255  
d [0,1]  
a [0,1]  
Operation:  
(f<3:0>) dest<7:4>,  
(f<7:4>) dest<3:0>  
Status Affected:  
Encoding:  
None  
0011  
10da  
ffff  
ffff  
Description:  
The upper and lower nibbles of reg-  
ister ‘f’ are exchanged. If ‘d’ is 0,  
the result is placed in W. If ‘d’ is 1,  
the result is placed in register ‘f’  
(default). If ‘a’ is 0, the Access  
Bank will be selected, overriding  
the BSR value. If ‘a’ is 1, then the  
bank will be selected as per the  
BSR value (default).  
Words:  
Cycles:  
1
1
Q Cycle Activity:  
Q1  
Q2  
Q3  
Q4  
Decode  
Read  
register ‘f’  
Process  
Data  
Write to  
destination  
SWAPF  
REG  
Example:  
Before Instruction  
REG  
=
0x53  
0x35  
After Instruction  
REG  
=
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 325  
 
PIC18F2331/2431/4331/4431  
TBLRD  
Table Read  
TBLRD  
Table Read (cont’d)  
TBLRD *+ ;  
Syntax:  
[ label ] TBLRD ( *; *+; *-; +*)  
Example1:  
Operands:  
Operation:  
None  
Before Instruction  
TABLAT  
TBLPTR  
MEMORY(0x00A356)  
=
=
=
0x55  
0x00A356  
0x34  
if TBLRD *,  
(Prog Mem (TBLPTR)) TABLAT;  
TBLPTR - No Change;  
if TBLRD *+,  
(Prog Mem (TBLPTR)) TABLAT;  
(TBLPTR) +1 TBLPTR;  
if TBLRD *-,  
After Instruction  
TABLAT  
TBLPTR  
=
=
0x34  
0x00A357  
TBLRD +* ;  
Example2:  
(Prog Mem (TBLPTR)) TABLAT;  
(TBLPTR) -1 TBLPTR;  
if TBLRD +*,  
(TBLPTR) +1 TBLPTR;  
(Prog Mem (TBLPTR)) TABLAT;  
Before Instruction  
TABLAT  
TBLPTR  
=
=
=
=
0xAA  
0x01A357  
0x12  
MEMORY(0x01A357)  
MEMORY(0x01A358)  
0x34  
After Instruction  
Status Affected:None  
TABLAT  
TBLPTR  
=
=
0x34  
0x01A358  
0000  
0000  
0000  
10nn  
nn=0 *  
=1 *+  
=2 *-  
=3 +*  
Encoding:  
Description:  
This instruction is used to read the  
contents of Program Memory (P.M.). To  
address the program memory, a  
pointer called Table Pointer (TBLPTR)  
is used.  
The TBLPTR (a 21-bit pointer) points  
to each byte in the program memory.  
TBLPTR has a 2 Mbyte address range.  
TBLPTR[0] = 0:Least Significant  
Byte of Program  
Memory Word  
TBLPTR[0] = 1:Most Significant  
Byte of Program  
Memory Word  
The TBLRDinstruction can modify the  
value of TBLPTR as follows:  
• no change  
• post-increment  
• post-decrement  
• pre-increment  
Words:  
Cycles:  
1
2
Q Cycle Activity:  
Q1  
Q2  
Q3  
Q4  
Decode  
No  
No  
No  
operation  
operation  
operation  
No  
No operation  
No  
No operation  
operation (Read Program operation (Write TABLAT)  
Memory)  
DS39616B-page 326  
Preliminary  
2003 Microchip Technology Inc.  
 
PIC18F2331/2431/4331/4431  
TBLWT  
Table Write  
TBLWT Table Write (Continued)  
Syntax:  
[ label ]  
TBLWT ( *; *+; *-; +*)  
Words: 1  
Cycles: 2  
Q Cycle Activity:  
Q1  
Operands:  
Operation:  
None  
if TBLWT*,  
(TABLAT) Holding Register;  
TBLPTR - No Change;  
if TBLWT*+,  
Q2  
Q3  
Q4  
Decode  
No  
No  
No  
operation  
operation  
operation  
(TABLAT) Holding Register;  
(TBLPTR) +1 TBLPTR;  
if TBLWT*-,  
(TABLAT) Holding Register;  
(TBLPTR) -1 TBLPTR;  
if TBLWT+*,  
No  
operation  
No  
operation  
(Read  
No  
operation  
No  
operation  
(Write to  
Holding  
Register )  
TABLAT)  
(TBLPTR) +1 TBLPTR;  
(TABLAT) Holding Register;  
Example1:  
TBLWT *+;  
Before Instruction  
Status Affected: None  
TABLAT  
TBLPTR  
=
=
0x55  
0000  
0000  
0000  
11nn  
nn=0 *  
=1 *+  
=2 *-  
=3 +*  
Encoding:  
0x00A356  
HOLDING REGISTER  
(0x00A356)  
=
0xFF  
After Instructions (table write completion)  
TABLAT  
TBLPTR  
HOLDING REGISTER  
(0x00A356)  
=
=
0x55  
0x00A357  
Description:  
This instruction uses the 3 LSBs of  
TBLPTR to determine which of the 8  
holding registers the TABLAT is written  
to. The holding registers are used to  
program the contents of Program  
Memory (P.M.). (Refer to Section 6.0  
“Flash Program Memory” for addi-  
tional details on programming Flash  
memory.)  
=
0x55  
Example 2:  
TBLWT +*;  
Before Instruction  
TABLAT  
TBLPTR  
HOLDING REGISTER  
(0x01389A)  
HOLDING REGISTER  
(0x01389B)  
=
=
0x34  
0x01389A  
=
=
0xFF  
0xFF  
The TBLPTR (a 21-bit pointer) points  
to each byte in the program memory.  
TBLPTR has a 2 MBtye address  
range. The LSb of the TBLPTR selects  
which byte of the program memory  
location to access.  
After Instruction (table write completion)  
TABLAT  
=
0x34  
TBLPTR  
=
0x01389B  
HOLDING REGISTER  
(0x01389A)  
=
=
0xFF  
0x34  
HOLDING REGISTER  
(0x01389B)  
TBLPTR[0] = 0:Least Significant  
Byte of Program  
Memory Word  
TBLPTR[0] = 1:Most Significant  
Byte of Program  
Memory Word  
The TBLWT instruction can modify the  
value of TBLPTR as follows:  
• no change  
• post-increment  
• post-decrement  
• pre-increment  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 327  
 
PIC18F2331/2431/4331/4431  
TSTFSZ  
Test f, skip if 0  
XORLW  
Exclusive OR literal with W  
Syntax:  
[ label ] TSTFSZ f [,a]  
Syntax:  
[ label ] XORLW k  
0 k 255  
Operands:  
0 f 255  
a [0,1]  
Operands:  
Operation:  
Status Affected:  
Encoding:  
(W) .XOR. k W  
N, Z  
Operation:  
skip if f = 0  
Status Affected:  
Encoding:  
None  
0000  
1010  
kkkk  
kkkk  
0110  
011a  
ffff  
ffff  
Description:  
The contents of W are XORed  
with the 8-bit literal ‘k’. The result  
is placed in W.  
Description:  
If ‘f’ = 0, the next instruction,  
fetched during the current instruc-  
tion execution, is discarded and a  
NOPis executed, making this a two-  
cycle instruction. If ‘a’ is 0, the  
Access Bank will be selected, over-  
riding the BSR value. If ‘a’ is 1,  
then the bank will be selected as  
per the BSR value (default).  
Words:  
Cycles:  
1
1
Q Cycle Activity:  
Q1  
Q2  
Q3  
Q4  
Decode  
Read  
literal ‘k’  
Process  
Data  
Write to W  
Words:  
Cycles:  
1
1(2)  
Example:  
XORLW 0xAF  
= 0xB5  
Note: 3 cycles if skip and followed  
by a 2-word instruction.  
Before Instruction  
W
Q Cycle Activity:  
Q1  
After Instruction  
Q2  
Q3  
Q4  
W
=
0x1A  
Decode  
Read  
register ‘f’  
Process  
Data  
No  
operation  
If skip:  
Q1  
Q2  
Q3  
Q4  
No  
No  
No  
No  
operation  
operation  
operation  
operation  
If skip and followed by 2-word instruction:  
Q1  
Q2  
Q3  
Q4  
No  
No  
No  
No  
operation  
operation  
operation  
operation  
No  
No  
No  
No  
operation  
operation  
operation  
operation  
HERE  
NZERO  
ZERO  
TSTFSZ CNT  
:
Example:  
:
Before Instruction  
PC = Address (HERE)  
After Instruction  
If CNT  
=
=
=
0x00,  
PC  
Address (ZERO)  
0x00,  
If CNT  
PC  
Address (NZERO)  
DS39616B-page 328  
Preliminary  
2003 Microchip Technology Inc.  
 
 
PIC18F2331/2431/4331/4431  
XORWF  
Exclusive OR W with f  
Syntax:  
[ label ] XORWF f [,d [,a]]  
Operands:  
0 f 255  
d [0,1]  
a [0,1]  
Operation:  
(W) .XOR. (f) dest  
Status Affected:  
Encoding:  
N, Z  
0001  
10da  
ffff  
ffff  
Description:  
Exclusive OR the contents of W  
with register ‘f’. If ‘d’ is 0, the result  
is stored in W. If ‘d’ is 1, the result  
is stored back in the register ‘f'  
(default). If ‘a’ is 0, the Access  
Bank will be selected, overriding  
the BSR value. If ‘a’ is 1, then the  
bank will be selected as per the  
BSR value (default).  
Words:  
Cycles:  
1
1
Q Cycle Activity:  
Q1  
Q2  
Q3  
Q4  
Decode  
Read  
register ‘f’  
Process  
Data  
Write to  
destination  
XORWF  
REG  
Example:  
Before Instruction  
REG  
W
=
=
0xAF  
0xB5  
After Instruction  
REG  
W
=
=
0x1A  
0xB5  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 329  
 
PIC18F2331/2431/4331/4431  
NOTES:  
DS39616B-page 330  
Preliminary  
2003 Microchip Technology Inc.  
PIC18F2331/2431/4331/4431  
24.1 MPLAB Integrated Development  
Environment Software  
24.0 DEVELOPMENT SUPPORT  
The PICmicro® microcontrollers are supported with a  
full range of hardware and software development tools:  
The MPLAB IDE software brings an ease of software  
development previously unseen in the 8/16-bit micro-  
controller market. The MPLAB IDE is a Windows®  
based application that contains:  
• Integrated Development Environment  
- MPLAB® IDE Software  
• Assemblers/Compilers/Linkers  
- MPASMTM Assembler  
• An interface to debugging tools  
- simulator  
- MPLAB C17 and MPLAB C18 C Compilers  
- MPLINKTM Object Linker/  
MPLIBTM Object Librarian  
- programmer (sold separately)  
- emulator (sold separately)  
- in-circuit debugger (sold separately)  
• A full-featured editor with color coded context  
• A multiple project manager  
- MPLAB C30 C Compiler  
- MPLAB ASM30 Assembler/Linker/Library  
• Simulators  
• Customizable data windows with direct edit of  
contents  
- MPLAB SIM Software Simulator  
- MPLAB dsPIC30 Software Simulator  
• Emulators  
• High level source code debugging  
• Mouse over variable inspection  
• Extensive on-line help  
- MPLAB ICE 2000 In-Circuit Emulator  
- MPLAB ICE 4000 In-Circuit Emulator  
• In-Circuit Debugger  
The MPLAB IDE allows you to:  
• Edit your source files (either assembly or C)  
- MPLAB ICD 2  
• One touch assemble (or compile) and download  
to PICmicro emulator and simulator tools  
(automatically updates all project information)  
• Device Programmers  
- PRO MATE® II Universal Device Programmer  
- PICSTART® Plus Development Programmer  
• Low Cost Demonstration Boards  
- PICDEMTM 1 Demonstration Board  
- PICDEM.netTM Demonstration Board  
- PICDEM 2 Plus Demonstration Board  
- PICDEM 3 Demonstration Board  
- PICDEM 4 Demonstration Board  
- PICDEM 17 Demonstration Board  
- PICDEM 18R Demonstration Board  
- PICDEM LIN Demonstration Board  
- PICDEM USB Demonstration Board  
• Evaluation Kits  
• Debug using:  
- source files (assembly or C)  
- absolute listing file (mixed assembly and C)  
- machine code  
MPLAB IDE supports multiple debugging tools in a  
single development paradigm, from the cost effective  
simulators, through low cost in-circuit debuggers, to  
full-featured emulators. This eliminates the learning  
curve when upgrading to tools with increasing flexibility  
and power.  
24.2 MPASM Assembler  
®
- KEELOQ  
The MPASM assembler is a full-featured, universal  
macro assembler for all PICmicro MCUs.  
- PICDEM MSC  
- microID®  
- CAN  
The MPASM assembler generates relocatable object  
files for the MPLINK object linker, Intel® standard HEX  
files, MAP files to detail memory usage and symbol ref-  
erence, absolute LST files that contain source lines and  
generated machine code and COFF files for  
debugging.  
- PowerSmart®  
- Analog  
The MPASM assembler features include:  
• Integration into MPLAB IDE projects  
• User defined macros to streamline assembly code  
• Conditional assembly for multi-purpose source  
files  
• Directives that allow complete control over the  
assembly process  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page331  
 
 
 
PIC18F2331/2431/4331/4431  
24.3 MPLAB C17 and MPLAB C18  
C Compilers  
24.6 MPLAB ASM30 Assembler, Linker,  
and Librarian  
The MPLAB C17 and MPLAB C18 Code Development  
MPLAB ASM30 assembler produces relocatable  
machine code from symbolic assembly language for  
dsPIC30F devices. MPLAB C30 compiler uses the  
assembler to produce it’s object file. The assembler  
generates relocatable object files that can then be  
archived or linked with other relocatable object files and  
archives to create an executable file. Notable features  
of the assembler include:  
Systems are complete ANSI  
C
compilers for  
Microchip’s PIC17CXXX and PIC18CXXX family of  
microcontrollers. These compilers provide powerful  
integration capabilities, superior code optimization and  
ease of use not found with other compilers.  
For easy source level debugging, the compilers provide  
symbol information that is optimized to the MPLAB IDE  
debugger.  
• Support for the entire dsPIC30F instruction set  
• Support for fixed-point and floating-point data  
• Command line interface  
24.4 MPLINK Object Linker/  
MPLIB Object Librarian  
• Rich directive set  
• Flexible macro language  
The MPLINK object linker combines relocatable  
objects created by the MPASM assembler and the  
MPLAB C17 and MPLAB C18 C compilers. It can link  
relocatable objects from pre-compiled libraries, using  
directives from a linker script.  
• MPLAB IDE compatibility  
24.7 MPLAB SIM Software Simulator  
The MPLAB SIM software simulator allows code devel-  
opment in a PC hosted environment by simulating the  
PICmicro series microcontrollers on an instruction  
level. On any given instruction, the data areas can be  
examined or modified and stimuli can be applied from  
a file, or user defined key press, to any pin. The execu-  
tion can be performed in Single-step, Execute-Until-  
Break or Trace mode.  
The MPLIB object librarian manages the creation and  
modification of library files of pre-compiled code. When  
a routine from a library is called from a source file, only  
the modules that contain that routine will be linked in  
with the application. This allows large libraries to be  
used efficiently in many different applications.  
The object linker/library features include:  
• Efficient linking of single libraries instead of many  
smaller files  
The MPLAB SIM simulator fully supports symbolic  
debugging using the MPLAB C17 and MPLAB C18  
C Compilers, as well as the MPASM assembler. The  
software simulator offers the flexibility to develop and  
debug code outside of the laboratory environment,  
making it an excellent, economical software  
development tool.  
• Enhanced code maintainability by grouping  
related modules together  
• Flexible creation of libraries with easy module  
listing, replacement, deletion and extraction  
24.5 MPLAB C30 C Compiler  
24.8 MPLAB SIM30 Software Simulator  
The MPLAB C30 C compiler is a full-featured, ANSI  
compliant, optimizing compiler that translates standard  
ANSI C programs into dsPIC30F assembly language  
source. The compiler also supports many command-  
line options and language extensions to take full  
advantage of the dsPIC30F device hardware capabili-  
ties, and afford fine control of the compiler code  
generator.  
The MPLAB SIM30 software simulator allows code  
development in a PC hosted environment by simulating  
the dsPIC30F series microcontrollers on an instruction  
level. On any given instruction, the data areas can be  
examined or modified and stimuli can be applied from  
a file, or user defined key press, to any of the pins.  
The MPLAB SIM30 simulator fully supports symbolic  
debugging using the MPLAB C30 C Compiler and  
MPLAB ASM30 assembler. The simulator runs in either  
a Command Line mode for automated tasks, or from  
MPLAB IDE. This high-speed simulator is designed to  
debug, analyze and optimize time intensive DSP  
routines.  
MPLAB C30 is distributed with a complete ANSI C  
standard library. All library functions have been vali-  
dated and conform to the ANSI C library standard. The  
library includes functions for string manipulation,  
dynamic memory allocation, data conversion, time-  
keeping, and math functions (trigonometric, exponen-  
tial and hyperbolic). The compiler provides symbolic  
information for high level source debugging with the  
MPLAB IDE.  
DS39616B-page 332  
Preliminary  
2003 Microchip Technology Inc.  
 
 
 
 
 
 
 
PIC18F2331/2431/4331/4431  
24.9 MPLAB ICE 2000  
High Performance Universal  
In-Circuit Emulator  
24.11 MPLAB ICD 2 In-Circuit Debugger  
Microchip’s In-Circuit Debugger, MPLAB ICD 2, is a  
powerful, low cost, run-time development tool,  
connecting to the host PC via an RS-232 or high speed  
The MPLAB ICE 2000 universal in-circuit emulator is  
intended to provide the product development engineer  
with a complete microcontroller design tool set for  
PICmicro microcontrollers. Software control of the  
MPLAB ICE 2000 in-circuit emulator is advanced by  
the MPLAB Integrated Development Environment,  
which allows editing, building, downloading and source  
debugging from a single environment.  
USB interface. This tool is based on the Flash  
PICmicro MCUs and can be used to develop for these  
and other PICmicro microcontrollers. The MPLAB  
ICD 2 utilizes the in-circuit debugging capability built  
into the Flash devices. This feature, along with  
Microchip’s In-Circuit Serial ProgrammingTM (ICSPTM  
)
protocol, offers cost effective in-circuit Flash debugging  
from the graphical user interface of the MPLAB Inte-  
grated Development Environment. This enables a  
designer to develop and debug source code by setting  
breakpoints, single-stepping and watching variables,  
CPU status and peripheral registers. Running at full  
speed enables testing hardware and applications in  
real-time. MPLAB ICD 2 also serves as a development  
programmer for selected PICmicro devices.  
The MPLAB ICE 2000 is a full-featured emulator sys-  
tem with enhanced trace, trigger and data monitoring  
features. Interchangeable processor modules allow the  
system to be easily reconfigured for emulation of differ-  
ent processors. The universal architecture of the  
MPLAB ICE in-circuit emulator allows expansion to  
support new PICmicro microcontrollers.  
The MPLAB ICE 2000 in-circuit emulator system has  
been designed as a real-time emulation system with  
advanced features that are typically found on more  
expensive development tools. The PC platform and  
Microsoft® Windows 32-bit operating system were  
chosen to best make these features available in a  
simple, unified application.  
24.12 PRO MATE II Universal Device  
Programmer  
The PRO MATE II is a universal, CE compliant device  
programmer with programmable voltage verification at  
VDDMIN and VDDMAX for maximum reliability. It features  
an LCD display for instructions and error messages  
and a modular detachable socket assembly to support  
various package types. In Stand-alone mode, the  
PRO MATE II device programmer can read, verify, and  
program PICmicro devices without a PC connection. It  
can also set code protection in this mode.  
24.10 MPLAB ICE 4000  
High Performance Universal  
In-Circuit Emulator  
The MPLAB ICE 4000 universal in-circuit emulator is  
intended to provide the product development engineer  
with a complete microcontroller design tool set for high-  
end PICmicro microcontrollers. Software control of the  
MPLAB ICE in-circuit emulator is provided by the  
MPLAB Integrated Development Environment, which  
allows editing, building, downloading and source  
debugging from a single environment.  
24.13 PICSTART Plus Development  
Programmer  
The PICSTART Plus development programmer is an  
easy-to-use, low cost, prototype programmer. It con-  
nects to the PC via a COM (RS-232) port. MPLAB  
Integrated Development Environment software makes  
using the programmer simple and efficient. The  
PICSTART Plus development programmer supports  
most PICmicro devices up to 40 pins. Larger pin count  
devices, such as the PIC16C92X and PIC17C76X,  
may be supported with an adapter socket. The  
PICSTART Plus development programmer is CE  
compliant.  
The MPLAB ICD 4000 is a premium emulator system,  
providing the features of MPLAB ICE 2000, but with  
increased emulation memory and high speed perfor-  
mance for dsPIC30F and PIC18XXXX devices. Its  
advanced emulator features include complex triggering  
and timing, up to 2 Mb of emulation memory, and the  
ability to view variables in real-time.  
The MPLAB ICE 4000 in-circuit emulator system has  
been designed as a real-time emulation system with  
advanced features that are typically found on more  
expensive development tools. The PC platform and  
Microsoft Windows 32-bit operating system were cho-  
sen to best make these features available in a simple,  
unified application.  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page333  
 
 
 
 
 
PIC18F2331/2431/4331/4431  
24.14 PICDEM 1 PICmicro  
Demonstration Board  
24.17 PICDEM 3 PIC16C92X  
Demonstration Board  
The PICDEM 1 demonstration board demonstrates the  
capabilities of the PIC16C5X (PIC16C54 to  
PIC16C58A), PIC16C61, PIC16C62X, PIC16C71,  
PIC16C8X, PIC17C42, PIC17C43 and PIC17C44. All  
necessary hardware and software is included to run  
basic demo programs. The sample microcontrollers  
provided with the PICDEM 1 demonstration board can  
be programmed with a PRO MATE II device program-  
mer, or a PICSTART Plus development programmer.  
The PICDEM 1 demonstration board can be connected  
to the MPLAB ICE in-circuit emulator for testing. A pro-  
totype area extends the circuitry for additional applica-  
tion components. Features include an RS-232  
interface, a potentiometer for simulated analog input,  
push button switches and eight LEDs.  
The PICDEM 3 demonstration board supports the  
PIC16C923 and PIC16C924 in the PLCC package. All  
the necessary hardware and software is included to run  
the demonstration programs.  
24.18 PICDEM 4 8/14/18-Pin  
Demonstration Board  
The PICDEM 4 can be used to demonstrate the capa-  
bilities of the 8-, 14-, and 18-pin PIC16XXXX and  
PIC18XXXX MCUs, including the PIC16F818/819,  
PIC16F87/88, PIC16F62XA and the PIC18F1320 fam-  
ily of microcontrollers. PICDEM 4 is intended to show-  
case the many features of these low pin count parts,  
including LIN and Motor Control using ECCP. Special  
provisions are made for low-power operation with the  
supercapacitor circuit, and jumpers allow on-board  
hardware to be disabled to eliminate current draw in  
this mode. Included on the demo board are provisions  
for Crystal, RC or Canned Oscillator modes, a five volt  
regulator for use with a nine volt wall adapter or battery,  
DB-9 RS-232 interface, ICD connector for program-  
ming via ICSP and development with MPLAB ICD 2,  
2x16 liquid crystal display, PCB footprints for H-Bridge  
motor driver, LIN transceiver and EEPROM. Also  
included are: header for expansion, eight LEDs, four  
potentiometers, three push buttons and a prototyping  
area. Included with the kit is a PIC16F627A and a  
PIC18F1320. Tutorial firmware is included along with  
the User’s Guide.  
24.15 PICDEM.net Internet/Ethernet  
Demonstration Board  
The PICDEM.net demonstration board is an Internet/  
Ethernet demonstration board using the PIC18F452  
microcontroller and TCP/IP firmware. The board  
supports any 40-pin DIP device that conforms to the  
standard pinout used by the PIC16F877 or  
PIC18C452. This kit features a user friendly TCP/IP  
stack, web server with HTML, a 24L256 Serial  
EEPROM for Xmodem download to web pages into  
Serial EEPROM, ICSP/MPLAB ICD 2 interface con-  
nector, an Ethernet interface, RS-232 interface, and a  
16 x 2 LCD display. Also included is the book and  
CD-ROM “TCP/IP Lean, Web Servers for Embedded  
Systems,” by Jeremy Bentham  
24.19 PICDEM 17 Demonstration Board  
The PICDEM 17 demonstration board is an evaluation  
board that demonstrates the capabilities of several  
Microchip microcontrollers, including PIC17C752,  
PIC17C756A, PIC17C762 and PIC17C766. A pro-  
grammed sample is included. The PRO MATE II device  
programmer, or the PICSTART Plus development pro-  
grammer, can be used to reprogram the device for user  
tailored application development. The PICDEM 17  
demonstration board supports program download and  
execution from external on-board Flash memory. A  
generous prototype area is available for user hardware  
expansion.  
24.16 PICDEM 2 Plus  
Demonstration Board  
The PICDEM 2 Plus demonstration board supports  
many 18-, 28-, and 40-pin microcontrollers, including  
PIC16F87X and PIC18FXX2 devices. All the neces-  
sary hardware and software is included to run the dem-  
onstration programs. The sample microcontrollers  
provided with the PICDEM 2 demonstration board can  
be programmed with a PRO MATE II device program-  
mer, PICSTART Plus development programmer, or  
MPLAB ICD 2 with a Universal Programmer Adapter.  
The MPLAB ICD 2 and MPLAB ICE in-circuit emulators  
may also be used with the PICDEM 2 demonstration  
board to test firmware. A prototype area extends the  
circuitry for additional application components. Some  
of the features include an RS-232 interface, a 2 x 16  
LCD display, a piezo speaker, an on-board temperature  
sensor, four LEDs, and sample PIC18F452 and  
PIC16F877 Flash microcontrollers.  
DS39616B-page 334  
Preliminary  
2003 Microchip Technology Inc.  
 
 
 
 
 
 
PIC18F2331/2431/4331/4431  
24.20 PICDEM 18R PIC18C601/801  
Demonstration Board  
24.23 PICDEM USB PIC16C7X5  
Demonstration Board  
The PICDEM 18R demonstration board serves to assist  
development of the PIC18C601/801 family of Microchip  
microcontrollers. It provides hardware implementation  
of both 8-bit Multiplexed/De-multiplexed and 16-bit  
Memory modes. The board includes 2 Mb external  
Flash memory and 128 Kb SRAM memory, as well as  
serial EEPROM, allowing access to the wide range of  
memory types supported by the PIC18C601/801.  
The PICDEM USB Demonstration Board shows off the  
capabilities of the PIC16C745 and PIC16C765 USB  
microcontrollers. This board provides the basis for  
future USB products.  
24.24 Evaluation and  
Programming Tools  
In addition to the PICDEM series of circuits, Microchip  
has a line of evaluation kits and demonstration software  
for these products.  
24.21 PICDEM LIN PIC16C43X  
Demonstration Board  
• KEELOQ evaluation and programming tools for  
Microchip’s HCS Secure Data Products  
The powerful LIN hardware and software kit includes a  
series of boards and three PICmicro microcontrollers.  
The small footprint PIC16C432 and PIC16C433 are  
used as slaves in the LIN communication and feature  
on-board LIN transceivers. A PIC16F874 Flash micro-  
controller serves as the master. All three microcontrol-  
lers are programmed with firmware to provide LIN bus  
communication.  
• CAN developers kit for automotive network  
applications  
• Analog design boards and filter design software  
• PowerSmart battery charging evaluation/  
calibration kits  
• IrDA® development kit  
• microID development and rfLabTM development  
software  
• SEEVAL® designer kit for memory evaluation and  
endurance calculations  
24.22 PICkitTM 1 Flash Starter Kit  
A complete "development system in a box", the PICkit  
Flash Starter Kit includes a convenient multi-section  
board for programming, evaluation, and development  
of 8/14-pin Flash PIC® microcontrollers. Powered via  
USB, the board operates under a simple Windows GUI.  
The PICkit 1 Starter Kit includes the user's guide (on  
CD ROM), PICkit 1 tutorial software and code for vari-  
ous applications. Also included are MPLAB® IDE (Inte-  
grated Development Environment) software, software  
and hardware "Tips 'n Tricks for 8-pin Flash PIC®  
Microcontrollers" Handbook and a USB Interface  
Cable. Supports all current 8/14-pin Flash PIC  
microcontrollers, as well as many future planned  
devices.  
• PICDEM MSC demo boards for Switching mode  
power supply, high power IR driver, delta sigma  
ADC, and flow rate sensor  
Check the Microchip web page and the latest Product  
Line Card for the complete list of demonstration and  
evaluation kits.  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page335  
 
 
 
 
 
PIC18F2331/2431/4331/4431  
NOTES:  
DS39616B-page 336  
Preliminary  
2003 Microchip Technology Inc.  
PIC18F2331/2431/4331/4431  
25.0 ELECTRICAL CHARACTERISTICS  
(†)  
Absolute Maximum Ratings  
Ambient temperature under bias.............................................................................................................-55°C to +125°C  
Storage temperature .............................................................................................................................. -65°C to +150°C  
Voltage on any pin with respect to VSS (except VDD, MCLR, and RA4) ......................................... -0.3V to (VDD + 0.3V)  
Voltage on VDD with respect to VSS ......................................................................................................... -0.3V to +7.5V  
Voltage on MCLR with respect to VSS (Note 2) ......................................................................................... 0V to +13.25V  
Voltage on RA4 with respect to Vss............................................................................................................... 0V to +8.5V  
Total power dissipation (Note 1) ...............................................................................................................................1.0W  
Maximum current out of VSS pin ...........................................................................................................................300 mA  
Maximum current into VDD pin ..............................................................................................................................250 mA  
Input clamp current, IIK (VI < 0 or VI > VDD)...................................................................................................................... 20 mA  
Output clamp current, IOK (VO < 0 or VO > VDD) .............................................................................................................. 20 mA  
Maximum output current sunk by any I/O pin..........................................................................................................25 mA  
Maximum output current sourced by any I/O pin ....................................................................................................25 mA  
Maximum current sunk by all ports .......................................................................................................................200 mA  
Maximum current sourced by all ports ..................................................................................................................200 mA  
Note 1: Power dissipation is calculated as follows:  
Pdis = VDD x {IDD - IOH} + {(VDD-VOH) x IOH} + (VOl x IOL)  
2: Voltage spikes below VSS at the MCLR/VPP pin, inducing currents greater than 80 mA, may cause latch-up.  
Thus, a series resistor of 50-100should be used when applying a “low” level to the MCLR/VPP pin, rather  
than pulling this pin directly to VSS.  
† NOTICE: Stresses above those listed under “Absolute Maximum Ratings” may cause permanent damage to the  
device. This is a stress rating only and functional operation of the device at those or any other conditions above those  
indicated in the operation listings of this specification is not implied. Exposure to maximum rating conditions for  
extended periods may affect device reliability.  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 337  
 
 
 
PIC18F2331/2431/4331/4431  
FIGURE 25-1:  
PIC18F2331/2431/4331/4431 VOLTAGE-FREQUENCY GRAPH (INDUSTRIAL)  
6.0V  
5.5V  
5.0V  
4.5V  
4.0V  
PIC18F2X31/4X31  
4.2V  
3.5V  
3.0V  
2.5V  
2.0V  
40 MHz  
Frequency  
FIGURE 25-2:  
PIC18LF2331/2431/4331/4431 VOLTAGE-FREQUENCY GRAPH (INDUSTRIAL)  
6.0V  
5.5V  
5.0V  
4.5V  
4.0V  
PIC18LF2X31/4X31  
4.2V  
3.5V  
3.0V  
2.5V  
2.0V  
40 MHz  
4 MHz  
Frequency  
FMAX = (16.36 MHz/V) (VDDAPPMIN – 2.0V) + 4 MHz  
Note: VDDAPPMIN is the minimum voltage of the PICmicro® device in the application.  
DS39616B-page 338  
Preliminary  
2003 Microchip Technology Inc.  
PIC18F2331/2431/4331/4431  
25.1 DC Characteristics: Supply Voltage  
PIC18F2331/2431/4331/4431 (Industrial, Extended)  
PIC18LF2331/2431/4331/4431 (Industrial)  
PIC18F2331/2431/4331/4431  
Standard Operating Conditions (unless otherwise stated)  
(Industrial, Extended)  
Operating temperature  
-40°C TA +85°C for industrial  
PIC18LF2331/2431/4331/4431  
Standard Operating Conditions (unless otherwise stated)  
(Industrial)  
Operating temperature  
-40°C TA +85°C for industrial  
Param  
No.  
Symbol  
Characteristic  
Supply Voltage  
Min  
Typ  
Max Units  
Conditions  
VDD  
D001  
PIC18LF2X31/4X31 2.0  
PIC18F2X31/4X31 4.2  
5.5  
5.5  
V
V
V
HS, XT, RC and LP Osc mode  
D002  
D003  
VDR  
RAM Data Retention  
Voltage  
1.5  
(1)  
VPOR  
VDD Start Voltage  
to ensure internal Power-  
on Reset signal  
0.7  
V
See section on Power-on Reset for details  
D004  
SVDD  
VBOR  
VDD Rise Rate  
0.05  
V/ms See section on Power-on Reset for details  
to ensure internal Power-  
on Reset signal  
Brown-out Reset Voltage  
BORV1:BORV0 = 10  
BORV1:BORV0 = 01  
BORV1:BORV0 = 00  
D005  
2.45  
3.80  
4.09  
2.99  
4.64  
4.99  
V
V
V
Legend:  
Shading of rows is to assist in readability of the table.  
Note 1: This is the limit to which VDD can be lowered in Sleep mode, or during a device Reset, without losing RAM data.  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 339  
 
 
 
PIC18F2331/2431/4331/4431  
25.2 DC Characteristics: Power-Down and Supply Current  
PIC18F2331/2431/4331/4431 (Industrial, Extended)  
PIC18LF2331/2431/4331/4431 (Industrial)  
PIC18F2331/2431/4331/4431  
Standard Operating Conditions (unless otherwise stated)  
(Industrial, Extended)  
Operating temperature  
-40°C TA +85°C for industrial  
PIC18LF2331/2431/4331/4431  
Standard Operating Conditions (unless otherwise stated)  
(Industrial)  
Operating temperature  
-40°C TA +85°C for industrial  
Param  
Device  
No.  
Typ  
Max Units  
Conditions  
(1)  
Power-down Current (IPD)  
PIC18LF2X31/4X31 0.1  
0.5  
0.5  
1.9  
0.5  
0.5  
1.9  
2.0  
2.0  
6.5  
µA  
µA  
µA  
µA  
µA  
µA  
µA  
µA  
µA  
-40°C  
25°C  
VDD = 2.0V,  
(Sleep mode)  
0.1  
0.2  
85°C  
-40°C  
25°C  
85°C  
-40°C  
25°C  
85°C  
PIC18LF2X31/4X31 0.1  
VDD = 3.0V,  
(Sleep mode)  
0.1  
0.3  
All devices 0.1  
VDD = 5.0V,  
(Sleep mode)  
0.1  
0.4  
Legend:  
Shading of rows is to assist in readability of the table.  
Note 1: The power-down current in Sleep mode does not depend on the oscillator type. Power-down current is measured with  
the part in Sleep mode, with all I/O pins in high-impedance state and tied to VDD or VSS, and all features that add delta  
current disabled (such as WDT, Timer1 Oscillator, BOR, etc.).  
2: The supply current is mainly a function of operating voltage, frequency and mode. Other factors, such as I/O pin loading  
and switching rate, oscillator type and circuit, internal code execution pattern and temperature, also have an impact on  
the current consumption.  
The test conditions for all IDD measurements in active Operation mode are:  
OSC1 = external square wave, from rail-to-rail; all I/O pins tri-stated, pulled to VDD;  
MCLR = VDD; WDT enabled/disabled as specified.  
3: For RC oscillator configurations, current through REXT is not included. The current through the resistor can be estimated  
by the formula Ir = VDD/2REXT (mA) with REXT in k.  
4: Standard low cost 32 kHz crystals have an operating temperature range of -10°C to +70°C. Extended temperature  
crystals are available at a much higher cost.  
DS39616B-page 340  
Preliminary  
2003 Microchip Technology Inc.  
 
PIC18F2331/2431/4331/4431  
25.2 DC Characteristics: Power-Down and Supply Current  
PIC18F2331/2431/4331/4431 (Industrial, Extended)  
PIC18LF2331/2431/4331/4431 (Industrial) (Continued)  
PIC18F2331/2431/4331/4431  
Standard Operating Conditions (unless otherwise stated)  
(Industrial, Extended)  
Operating temperature  
-40°C TA +85°C for industrial  
PIC18LF2331/2431/4331/4431  
Standard Operating Conditions (unless otherwise stated)  
(Industrial)  
Operating temperature  
-40°C TA +85°C for industrial  
Param  
Device  
No.  
Typ  
Max Units  
Conditions  
(2,3)  
Supply Current (IDD)  
PIC18LF2X31/4X31  
PIC18LF2X31/4X31  
All devices  
8
40  
40  
µA  
µA  
µA  
µA  
µA  
µA  
µA  
µA  
µA  
µA  
µA  
µA  
µA  
µA  
µA  
µA  
µA  
µA  
-40°C  
25°C  
85°C  
-40°C  
25°C  
85°C  
-40°C  
25°C  
85°C  
-40°C  
25°C  
85°C  
-40°C  
25°C  
85°C  
-40°C  
25°C  
85°C  
9
VDD = 2.0V  
11  
25  
25  
20  
55  
55  
50  
40  
68  
FOSC = 31 kHz  
(RC_RUN mode,  
Internal oscillator source)  
68  
VDD = 3.0V  
VDD = 5.0V  
VDD = 2.0V  
VDD = 3.0V  
VDD = 5.0V  
68  
180  
180  
180  
220  
220  
220  
330  
330  
330  
550  
550  
550  
PIC18LF2X31/4X31 140  
145  
155  
PIC18LF2X31/4X31 215  
FOSC = 1 MHz  
(RC_RUN mode,  
Internal oscillator source)  
225  
235  
All devices 385  
390  
405  
Legend:  
Shading of rows is to assist in readability of the table.  
Note 1: The power-down current in Sleep mode does not depend on the oscillator type. Power-down current is measured with  
the part in Sleep mode, with all I/O pins in high-impedance state and tied to VDD or VSS, and all features that add delta  
current disabled (such as WDT, Timer1 Oscillator, BOR, etc.).  
2: The supply current is mainly a function of operating voltage, frequency and mode. Other factors, such as I/O pin loading  
and switching rate, oscillator type and circuit, internal code execution pattern and temperature, also have an impact on  
the current consumption.  
The test conditions for all IDD measurements in active Operation mode are:  
OSC1 = external square wave, from rail-to-rail; all I/O pins tri-stated, pulled to VDD;  
MCLR = VDD; WDT enabled/disabled as specified.  
3: For RC oscillator configurations, current through REXT is not included. The current through the resistor can be estimated  
by the formula Ir = VDD/2REXT (mA) with REXT in k.  
4: Standard low cost 32 kHz crystals have an operating temperature range of -10°C to +70°C. Extended temperature  
crystals are available at a much higher cost.  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 341  
PIC18F2331/2431/4331/4431  
25.2 DC Characteristics: Power-Down and Supply Current  
PIC18F2331/2431/4331/4431 (Industrial, Extended)  
PIC18LF2331/2431/4331/4431 (Industrial) (Continued)  
PIC18F2331/2431/4331/4431  
Standard Operating Conditions (unless otherwise stated)  
(Industrial, Extended)  
Operating temperature  
-40°C TA +85°C for industrial  
PIC18LF2331/2431/4331/4431  
Standard Operating Conditions (unless otherwise stated)  
(Industrial)  
Operating temperature  
-40°C TA +85°C for industrial  
Param  
Device  
No.  
Typ  
Max Units  
Conditions  
PIC18LF2X31/4X31 410  
600  
600  
600  
900  
900  
900  
1.8  
µA  
µA  
µA  
µA  
µA  
µA  
mA  
mA  
mA  
-40°C  
25°C  
85°C  
-40°C  
25°C  
85°C  
-40°C  
25°C  
85°C  
425  
VDD = 2.0V  
435  
PIC18LF2X31/4X31 650  
FOSC = 4 MHz  
670  
VDD = 3.0V  
VDD = 5.0V  
(RC_RUN mode,  
Internal oscillator source)  
680  
All devices 1.2  
1.2  
1.2  
1.8  
1.8  
Legend:  
Shading of rows is to assist in readability of the table.  
Note 1: The power-down current in Sleep mode does not depend on the oscillator type. Power-down current is measured with  
the part in Sleep mode, with all I/O pins in high-impedance state and tied to VDD or VSS, and all features that add delta  
current disabled (such as WDT, Timer1 Oscillator, BOR, etc.).  
2: The supply current is mainly a function of operating voltage, frequency and mode. Other factors, such as I/O pin loading  
and switching rate, oscillator type and circuit, internal code execution pattern and temperature, also have an impact on  
the current consumption.  
The test conditions for all IDD measurements in active Operation mode are:  
OSC1 = external square wave, from rail-to-rail; all I/O pins tri-stated, pulled to VDD;  
MCLR = VDD; WDT enabled/disabled as specified.  
3: For RC oscillator configurations, current through REXT is not included. The current through the resistor can be estimated  
by the formula Ir = VDD/2REXT (mA) with REXT in k.  
4: Standard low cost 32 kHz crystals have an operating temperature range of -10°C to +70°C. Extended temperature  
crystals are available at a much higher cost.  
DS39616B-page 342  
Preliminary  
2003 Microchip Technology Inc.  
PIC18F2331/2431/4331/4431  
25.2 DC Characteristics: Power-Down and Supply Current  
PIC18F2331/2431/4331/4431 (Industrial, Extended)  
PIC18LF2331/2431/4331/4431 (Industrial) (Continued)  
PIC18F2331/2431/4331/4431  
Standard Operating Conditions (unless otherwise stated)  
(Industrial, Extended)  
Operating temperature  
-40°C TA +85°C for industrial  
PIC18LF2331/2431/4331/4431  
Standard Operating Conditions (unless otherwise stated)  
(Industrial)  
Operating temperature  
-40°C TA +85°C for industrial  
Param  
Device  
No.  
Typ  
Max Units  
Conditions  
(2,3)  
Supply Current (IDD)  
PIC18LF2X31/4X31 4.7  
8
µA  
µA  
µA  
µA  
µA  
µA  
µA  
µA  
µA  
µA  
µA  
µA  
µA  
µA  
µA  
µA  
µA  
µA  
-40°C  
25°C  
85°C  
-40°C  
25°C  
85°C  
-40°C  
25°C  
85°C  
-40°C  
25°C  
85°C  
-40°C  
25°C  
85°C  
-40°C  
25°C  
85°C  
5.0  
8
VDD = 2.0V  
5.8  
11  
PIC18LF2X31/4X31 7.0  
11  
FOSC = 31 kHz  
7.8  
8.7  
11  
VDD = 3.0V  
VDD = 5.0V  
VDD = 2.0V  
VDD = 3.0V  
VDD = 5.0V  
(RC_IDLE mode,  
Internal oscillator source)  
15  
All devices  
12  
14  
14  
75  
85  
95  
16  
16  
22  
PIC18LF2X31/4X31  
150  
150  
150  
180  
180  
180  
300  
300  
300  
PIC18LF2X31/4X31 110  
FOSC = 1 MHz  
(RC_IDLE mode,  
Internal oscillator source)  
125  
135  
All devices 180  
195  
200  
Legend:  
Shading of rows is to assist in readability of the table.  
Note 1: The power-down current in Sleep mode does not depend on the oscillator type. Power-down current is measured with  
the part in Sleep mode, with all I/O pins in high-impedance state and tied to VDD or VSS, and all features that add delta  
current disabled (such as WDT, Timer1 Oscillator, BOR, etc.).  
2: The supply current is mainly a function of operating voltage, frequency and mode. Other factors, such as I/O pin loading  
and switching rate, oscillator type and circuit, internal code execution pattern and temperature, also have an impact on  
the current consumption.  
The test conditions for all IDD measurements in active Operation mode are:  
OSC1 = external square wave, from rail-to-rail; all I/O pins tri-stated, pulled to VDD;  
MCLR = VDD; WDT enabled/disabled as specified.  
3: For RC oscillator configurations, current through REXT is not included. The current through the resistor can be estimated  
by the formula Ir = VDD/2REXT (mA) with REXT in k.  
4: Standard low cost 32 kHz crystals have an operating temperature range of -10°C to +70°C. Extended temperature  
crystals are available at a much higher cost.  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 343  
PIC18F2331/2431/4331/4431  
25.2 DC Characteristics: Power-Down and Supply Current  
PIC18F2331/2431/4331/4431 (Industrial, Extended)  
PIC18LF2331/2431/4331/4431 (Industrial) (Continued)  
PIC18F2331/2431/4331/4431  
Standard Operating Conditions (unless otherwise stated)  
(Industrial, Extended)  
Operating temperature  
-40°C TA +85°C for industrial  
PIC18LF2331/2431/4331/4431  
Standard Operating Conditions (unless otherwise stated)  
(Industrial)  
Operating temperature  
-40°C TA +85°C for industrial  
Param  
Device  
No.  
Typ  
Max Units  
Conditions  
PIC18LF2X31/4X31 175  
275  
275  
275  
375  
375  
375  
800  
800  
800  
µA  
µA  
µA  
µA  
µA  
µA  
µA  
µA  
µA  
-40°C  
25°C  
85°C  
-40°C  
25°C  
85°C  
-40°C  
25°C  
85°C  
185  
VDD = 2.0V  
195  
PIC18LF2X31/4X31 265  
FOSC = 4 MHz  
280  
VDD = 3.0V  
VDD = 5.0V  
(RC_IDLE mode,  
Internal oscillator source)  
300  
All devices 475  
500  
505  
Legend:  
Shading of rows is to assist in readability of the table.  
Note 1: The power-down current in Sleep mode does not depend on the oscillator type. Power-down current is measured with  
the part in Sleep mode, with all I/O pins in high-impedance state and tied to VDD or VSS, and all features that add delta  
current disabled (such as WDT, Timer1 Oscillator, BOR, etc.).  
2: The supply current is mainly a function of operating voltage, frequency and mode. Other factors, such as I/O pin loading  
and switching rate, oscillator type and circuit, internal code execution pattern and temperature, also have an impact on  
the current consumption.  
The test conditions for all IDD measurements in active Operation mode are:  
OSC1 = external square wave, from rail-to-rail; all I/O pins tri-stated, pulled to VDD;  
MCLR = VDD; WDT enabled/disabled as specified.  
3: For RC oscillator configurations, current through REXT is not included. The current through the resistor can be estimated  
by the formula Ir = VDD/2REXT (mA) with REXT in k.  
4: Standard low cost 32 kHz crystals have an operating temperature range of -10°C to +70°C. Extended temperature  
crystals are available at a much higher cost.  
DS39616B-page 344  
Preliminary  
2003 Microchip Technology Inc.  
PIC18F2331/2431/4331/4431  
25.2 DC Characteristics: Power-Down and Supply Current  
PIC18F2331/2431/4331/4431 (Industrial, Extended)  
PIC18LF2331/2431/4331/4431 (Industrial) (Continued)  
PIC18F2331/2431/4331/4431  
Standard Operating Conditions (unless otherwise stated)  
(Industrial, Extended)  
Operating temperature  
-40°C TA +85°C for industrial  
PIC18LF2331/2431/4331/4431  
Standard Operating Conditions (unless otherwise stated)  
(Industrial)  
Operating temperature  
-40°C TA +85°C for industrial  
Param  
Device  
No.  
Typ  
Max Units  
Conditions  
(2,3)  
Supply Current (IDD)  
PIC18LF2X31/4X31 150  
250  
250  
250  
350  
350  
350  
1.0  
1.0  
1.0  
600  
600  
600  
1.0  
1.0  
1.0  
2.0  
2.0  
2.0  
12  
µA  
µA  
-40°C  
25°C  
85°C  
-40°C  
25°C  
85°C  
-40°C  
25°C  
85°C  
-40°C  
25°C  
85°C  
-40°C  
25°C  
85°C  
-40°C  
25°C  
85°C  
-40°C  
25°C  
85°C  
-40°C  
25°C  
85°C  
150  
VDD = 2.0V  
160  
µA  
PIC18LF2X31/4X31 340  
µA  
FOSC = 1 MHZ  
300  
µA  
VDD = 3.0V  
VDD = 5.0V  
VDD = 2.0V  
VDD = 3.0V  
VDD = 5.0V  
VDD = 4.2V  
VDD = 5.0V  
(PRI_RUN,  
EC oscillator)  
280  
µA  
All devices 0.72  
mA  
mA  
mA  
µA  
0.63  
0.57  
PIC18LF2X31/4X31 440  
450  
µA  
460  
µA  
PIC18LF2X31/4X31 0.80  
mA  
mA  
mA  
mA  
mA  
mA  
mA  
mA  
mA  
mA  
mA  
mA  
FOSC = 4 MHz  
(PRI_RUN,  
EC oscillator)  
0.78  
0.77  
All devices 1.6  
1.5  
1.5  
All devices 9.5  
9.7  
12  
FOSC = 40 MHZ  
(PRI_RUN,  
EC oscillator)  
9.9  
All devices 11.9  
12.1  
12  
15  
15  
12.3  
15  
Legend:  
Shading of rows is to assist in readability of the table.  
Note 1: The power-down current in Sleep mode does not depend on the oscillator type. Power-down current is measured with  
the part in Sleep mode, with all I/O pins in high-impedance state and tied to VDD or VSS, and all features that add delta  
current disabled (such as WDT, Timer1 Oscillator, BOR, etc.).  
2: The supply current is mainly a function of operating voltage, frequency and mode. Other factors, such as I/O pin loading  
and switching rate, oscillator type and circuit, internal code execution pattern and temperature, also have an impact on  
the current consumption.  
The test conditions for all IDD measurements in active Operation mode are:  
OSC1 = external square wave, from rail-to-rail; all I/O pins tri-stated, pulled to VDD;  
MCLR = VDD; WDT enabled/disabled as specified.  
3: For RC oscillator configurations, current through REXT is not included. The current through the resistor can be estimated  
by the formula Ir = VDD/2REXT (mA) with REXT in k.  
4: Standard low cost 32 kHz crystals have an operating temperature range of -10°C to +70°C. Extended temperature  
crystals are available at a much higher cost.  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 345  
PIC18F2331/2431/4331/4431  
25.2 DC Characteristics: Power-Down and Supply Current  
PIC18F2331/2431/4331/4431 (Industrial, Extended)  
PIC18LF2331/2431/4331/4431 (Industrial) (Continued)  
PIC18F2331/2431/4331/4431  
Standard Operating Conditions (unless otherwise stated)  
(Industrial, Extended)  
Operating temperature  
-40°C TA +85°C for industrial  
PIC18LF2331/2431/4331/4431  
Standard Operating Conditions (unless otherwise stated)  
(Industrial)  
Operating temperature  
-40°C TA +85°C for industrial  
Param  
Device  
No.  
Typ  
Max Units  
Conditions  
(2,3)  
Supply Current (IDD)  
PIC18LF2X31/4X31  
35  
35  
35  
55  
50  
60  
50  
50  
µA  
µA  
µA  
µA  
µA  
µA  
µA  
µA  
µA  
µA  
µA  
µA  
µA  
µA  
µA  
µA  
µA  
µA  
mA  
mA  
mA  
mA  
mA  
mA  
-40°C  
25°C  
85°C  
-40°C  
25°C  
85°C  
-40°C  
25°C  
85°C  
-40°C  
25°C  
85°C  
-40°C  
25°C  
85°C  
-40°C  
25°C  
85°C  
-40°C  
25°C  
85°C  
-40°C  
25°C  
85°C  
VDD = 2.0V  
60  
PIC18LF2X31/4X31  
80  
FOSC = 1 MHz  
80  
VDD = 3.0V  
VDD = 5.0V  
VDD = 2.0V  
VDD = 3.0V  
VDD = 5.0V  
VDD = 4.2 V  
(PRI_IDLE mode,  
EC oscillator)  
100  
150  
150  
150  
180  
180  
180  
280  
280  
280  
525  
525  
525  
4.1  
4.1  
4.1  
5.1  
5.1  
5.1  
All devices 105  
110  
115  
PIC18LF2X31/4X31 135  
140  
140  
PIC18LF2X31/4X31 215  
FOSC = 4 MHz  
(PRI_IDLE mode,  
EC oscillator)  
225  
230  
All devices 410  
420  
430  
All devices 3.2  
3.2  
FOSC = 40 MHz  
(PRI_IDLE mode,  
EC oscillator)  
3.3  
All devices 4.0  
4.1  
4.1  
VDD = 5.0V  
Legend:  
Shading of rows is to assist in readability of the table.  
Note 1: The power-down current in Sleep mode does not depend on the oscillator type. Power-down current is measured with  
the part in Sleep mode, with all I/O pins in high-impedance state and tied to VDD or VSS, and all features that add delta  
current disabled (such as WDT, Timer1 Oscillator, BOR, etc.).  
2: The supply current is mainly a function of operating voltage, frequency and mode. Other factors, such as I/O pin loading  
and switching rate, oscillator type and circuit, internal code execution pattern and temperature, also have an impact on  
the current consumption.  
The test conditions for all IDD measurements in active Operation mode are:  
OSC1 = external square wave, from rail-to-rail; all I/O pins tri-stated, pulled to VDD;  
MCLR = VDD; WDT enabled/disabled as specified.  
3: For RC oscillator configurations, current through REXT is not included. The current through the resistor can be estimated  
by the formula Ir = VDD/2REXT (mA) with REXT in k.  
4: Standard low cost 32 kHz crystals have an operating temperature range of -10°C to +70°C. Extended temperature  
crystals are available at a much higher cost.  
DS39616B-page 346  
Preliminary  
2003 Microchip Technology Inc.  
PIC18F2331/2431/4331/4431  
25.2 DC Characteristics: Power-Down and Supply Current  
PIC18F2331/2431/4331/4431 (Industrial, Extended)  
PIC18LF2331/2431/4331/4431 (Industrial) (Continued)  
PIC18F2331/2431/4331/4431  
Standard Operating Conditions (unless otherwise stated)  
(Industrial, Extended)  
Operating temperature  
-40°C TA +85°C for industrial  
PIC18LF2331/2431/4331/4431  
Standard Operating Conditions (unless otherwise stated)  
(Industrial)  
Operating temperature  
-40°C TA +85°C for industrial  
Param  
Device  
No.  
Typ  
Max Units  
Conditions  
(2,3)  
Supply Current (IDD)  
PIC18LF2X31/4X31 5.1  
9
µA  
µA  
µA  
µA  
µA  
µA  
µA  
µA  
µA  
-10°C  
25°C  
70°C  
-10°C  
25°C  
70°C  
-10°C  
25°C  
70°C  
5.8  
9
VDD = 2.0V  
7.9  
11  
12  
12  
14  
20  
20  
25  
PIC18LF2X31/4X31 7.9  
(4)  
FOSC = 32 kHz  
8.9  
10.5  
VDD = 3.0V  
VDD = 5.0V  
(SEC_RUN mode,  
Timer1 as clock)  
All devices 12.5  
16.3  
18.9  
(2,3)  
Supply Current (IDD)  
PIC18LF2X31/4X31 9.2  
15  
15  
18  
30  
30  
35  
80  
80  
85  
µA  
µA  
µA  
µA  
µA  
µA  
µA  
µA  
µA  
-10°C  
25°C  
70°C  
-10°C  
25°C  
70°C  
-10°C  
25°C  
70°C  
9.6  
VDD = 2.0V  
VDD = 3.0V  
VDD = 5.0V  
12.7  
PIC18LF2X31/4X31 22.0  
(4)  
FOSC = 32 kHz  
21.0  
20.0  
(SEC_IDLE mode,  
Timer1 as clock)  
All devices  
30  
45  
45  
Legend:  
Shading of rows is to assist in readability of the table.  
Note 1: The power-down current in Sleep mode does not depend on the oscillator type. Power-down current is measured with  
the part in Sleep mode, with all I/O pins in high-impedance state and tied to VDD or VSS, and all features that add delta  
current disabled (such as WDT, Timer1 Oscillator, BOR, etc.).  
2: The supply current is mainly a function of operating voltage, frequency and mode. Other factors, such as I/O pin loading  
and switching rate, oscillator type and circuit, internal code execution pattern and temperature, also have an impact on  
the current consumption.  
The test conditions for all IDD measurements in active Operation mode are:  
OSC1 = external square wave, from rail-to-rail; all I/O pins tri-stated, pulled to VDD;  
MCLR = VDD; WDT enabled/disabled as specified.  
3: For RC oscillator configurations, current through REXT is not included. The current through the resistor can be estimated  
by the formula Ir = VDD/2REXT (mA) with REXT in k.  
4: Standard low cost 32 kHz crystals have an operating temperature range of -10°C to +70°C. Extended temperature  
crystals are available at a much higher cost.  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 347  
PIC18F2331/2431/4331/4431  
25.2 DC Characteristics: Power-Down and Supply Current  
PIC18F2331/2431/4331/4431 (Industrial, Extended)  
PIC18LF2331/2431/4331/4431 (Industrial) (Continued)  
PIC18F2331/2431/4331/4431  
Standard Operating Conditions (unless otherwise stated)  
(Industrial, Extended)  
Operating temperature  
-40°C TA +85°C for industrial  
PIC18LF2331/2431/4331/4431  
Standard Operating Conditions (unless otherwise stated)  
(Industrial)  
Operating temperature  
-40°C TA +85°C for industrial  
Param  
Device  
No.  
Typ  
Max Units  
Conditions  
Module Differential Currents (IWDT, IBOR, ILVD, IOSCB, IAD)  
D022  
(IWDT)  
Watchdog Timer 1.5  
4.0  
4.0  
µA  
µA  
µA  
µA  
µA  
µA  
µA  
µA  
µA  
µA  
µA  
µA  
µA  
µA  
µA  
µA  
µA  
µA  
µA  
µA  
µA  
µA  
µA  
µA  
µA  
µA  
-40°C  
25°C  
VDD = 2.0V  
VDD = 3.0V  
VDD = 5.0V  
2.2  
3.1  
2.5  
3.3  
4.7  
3.7  
4.5  
6.1  
5.0  
85°C  
6.0  
-40°C  
6.0  
25°C  
7.0  
85°C  
10.0  
10.0  
13.0  
35.0  
45.0  
25.0  
35.0  
45.0  
3.5  
-40°C  
25°C  
85°C  
VDD = 3.0V  
VDD = 5.0V  
VDD = 2.0V  
VDD = 3.0V  
VDD = 5.0V  
D022A  
(IBOR)  
D022B  
(ILVD)  
Brown-out Reset  
19  
24  
-40°C to +85°C  
-40°C to +85°C  
-40°C to +85°C  
-40°C to +85°C  
-40°C to +85°C  
-40°C  
Low-Voltage Detect 8.5  
16  
20  
D025  
Timer1 Oscillator 1.7  
(4)  
(4)  
(4)  
VDD = 2.0V  
VDD = 3.0V  
VDD = 5.0V  
32 kHz on Timer1  
32 kHz on Timer1  
32 kHz on Timer1  
(IOSCB)  
1.8  
3.5  
25°C  
2.1  
4.5  
85°C  
2.2  
4.5  
-40°C  
2.6  
4.5  
25°C  
2.8  
5.5  
85°C  
3.0  
6.0  
-40°C  
3.3  
6.0  
25°C  
3.6  
7.0  
85°C  
VDD = 2.0V  
VDD = 3.0V  
VDD = 5.0V  
D026  
(IAD)  
A/D Converter 1.0  
3.0  
-40°C to 85°C  
-40°C to 85°C  
-40°C to 85°C  
A/D on, not converting  
1.0  
2.0  
4.0  
10.0  
Legend:  
Shading of rows is to assist in readability of the table.  
Note 1: The power-down current in Sleep mode does not depend on the oscillator type. Power-down current is measured with  
the part in Sleep mode, with all I/O pins in high-impedance state and tied to VDD or VSS, and all features that add delta  
current disabled (such as WDT, Timer1 Oscillator, BOR, etc.).  
2: The supply current is mainly a function of operating voltage, frequency and mode. Other factors, such as I/O pin loading  
and switching rate, oscillator type and circuit, internal code execution pattern and temperature, also have an impact on  
the current consumption.  
The test conditions for all IDD measurements in active Operation mode are:  
OSC1 = external square wave, from rail-to-rail; all I/O pins tri-stated, pulled to VDD;  
MCLR = VDD; WDT enabled/disabled as specified.  
3: For RC oscillator configurations, current through REXT is not included. The current through the resistor can be estimated  
by the formula Ir = VDD/2REXT (mA) with REXT in k.  
4: Standard low cost 32 kHz crystals have an operating temperature range of -10°C to +70°C. Extended temperature  
crystals are available at a much higher cost.  
DS39616B-page 348  
Preliminary  
2003 Microchip Technology Inc.  
PIC18F2331/2431/4331/4431  
25.3 DC Characteristics: PIC18F2331/2431/4331/4431 (Industrial)  
PIC18LF2331/2431/4331/4431 (Industrial)  
Standard Operating Conditions (unless otherwise stated)  
Operating temperature -40°C TA +85°C for industrial  
DC CHARACTERISTICS  
Param  
Symbol  
No.  
Characteristic  
Min  
Max  
Units  
Conditions  
VIL  
VIH  
IIL  
Input Low Voltage  
I/O ports:  
with TTL buffer  
D030  
D030A  
D031  
VSS  
0.15 VDD  
0.8  
V
V
VDD < 4.5V  
4.5V VDD 5.5V  
with Schmitt Trigger buffer  
RC3 and RC4  
VSS  
VSS  
0.2 VDD  
0.3 VDD  
V
V
D032  
MCLR  
VSS  
VSS  
0.2 VDD  
0.3 VDD  
V
V
D032A  
OSC1 and T1OSI  
LP, XT, HS, HSPLL  
modes(1)  
EC mode(1)  
D033  
OSC1  
VSS  
0.2 VDD  
V
Input High Voltage  
I/O ports:  
D040  
D040A  
D041  
with TTL buffer  
0.25 VDD + 0.8V  
2.0  
VDD  
VDD  
V
V
VDD < 4.5V  
4.5V VDD 5.5V  
with Schmitt Trigger buffer  
RC3 and RC4  
0.8 VDD  
0.7 VDD  
VDD  
VDD  
V
V
D042  
MCLR  
0.8 VDD  
0.7 VDD  
VDD  
VDD  
V
V
D042A  
OSC1 and T1OSI  
LP, XT, HS, HSPLL  
modes(1)  
EC mode(1)  
D043  
D060  
OSC1  
0.8 VDD  
VDD  
1
V
Input Leakage Current(2,3)  
I/O ports  
µA VSS VPIN VDD,  
Pin at hi-impedance  
D061  
D063  
MCLR  
1
1
µA Vss VPIN VDD  
µA Vss VPIN VDD  
OSC1  
IPU  
Weak Pull-up Current  
PORTB weak pull-up current  
D070  
IPURB  
50  
400  
µA VDD = 5V, VPIN = VSS  
Note 1: In RC oscillator configuration, the OSC1/CLKI pin is a Schmitt Trigger input. It is not recommended that the  
PICmicro device be driven with an external clock while in RC mode.  
2: The leakage current on the MCLR pin is strongly dependent on the applied voltage level. The specified  
levels represent normal operating conditions. Higher leakage current may be measured at different input  
voltages.  
3: Negative current is defined as current sourced by the pin.  
4: Parameter is characterized but not tested.  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 349  
 
 
PIC18F2331/2431/4331/4431  
25.3 DC Characteristics: PIC18F2331/2431/4331/4431 (Industrial)  
PIC18LF2331/2431/4331/4431 (Industrial) (Continued)  
Standard Operating Conditions (unless otherwise stated)  
Operating temperature -40°C TA +85°C for industrial  
DC CHARACTERISTICS  
Param  
Symbol  
No.  
Characteristic  
Min  
Max  
Units  
Conditions  
VOL  
VOH  
VOD  
Output Low Voltage  
I/O ports  
D080  
D083  
0.6  
0.6  
V
V
IOL = 8.5 mA, VDD = 4.5V,  
-40°C to +85°C  
OSC2/CLKO  
(RC, RCIO, EC, ECIO modes)  
Output High Voltage(3)  
IOL = 1.6 mA, VDD = 4.5V,  
-40°C to +85°C  
D090  
D092  
D150  
I/O ports  
VDD – 0.7  
VDD – 0.7  
V
V
V
IOH = -3.0 mA, VDD = 4.5V,  
-40°C to +85°C  
OSC2/CLKO  
(RC, RCIO, EC, ECIO modes)  
IOH = -1.3 mA, VDD = 4.5V,  
-40°C to +85°C  
RA4 pin  
Open-Drain High Voltage  
8.5  
Capacitive Loading Specs  
on Output Pins  
D100(4)  
COSC2 OSC2 pin  
15  
pF In XT, HS and LP modes  
when external clock is  
used to drive OSC1  
D101  
D102  
CIO  
CB  
All I/O pins and OSC2  
(in RC mode)  
50  
pF To meet the AC Timing  
Specifications  
pF I2C™ Specification  
SCL, SDA  
400  
Note 1: In RC oscillator configuration, the OSC1/CLKI pin is a Schmitt Trigger input. It is not recommended that the  
PICmicro device be driven with an external clock while in RC mode.  
2: The leakage current on the MCLR pin is strongly dependent on the applied voltage level. The specified  
levels represent normal operating conditions. Higher leakage current may be measured at different input  
voltages.  
3: Negative current is defined as current sourced by the pin.  
4: Parameter is characterized but not tested.  
DS39616B-page 350  
Preliminary  
2003 Microchip Technology Inc.  
PIC18F2331/2431/4331/4431  
TABLE 25-1: MEMORY PROGRAMMING REQUIREMENTS  
Standard Operating Conditions (unless otherwise stated)  
Operating temperature -40°C TA +85°C for industrial  
DC Characteristics  
Param  
Sym  
No.  
Characteristic  
Min  
Typ†  
Max  
Units  
Conditions  
Internal Program Memory  
Programming Specifications(1)  
VPP  
IPP  
9.00  
13.25  
300  
1
V
(Note 3)  
D110  
D112  
D113  
Voltage on MCLR/VPP pin  
Current into MCLR/VPP pin  
µA  
mA  
IDDP  
Supply Current during  
Programming  
Data EEPROM Memory  
D120  
ED  
Byte Endurance  
100K  
VMIN  
1M  
E/W -40°C to +85°C  
D121 VDRW VDD for Read/Write  
5.5  
V
Using EECON to read/write  
VMIN = Minimum operating  
voltage  
D122 TDEW Erase/Write Cycle Time  
D123 TRETD Characteristic Retention  
4
ms  
40  
Year Provided no other  
specifications are violated  
D124  
TREF  
Number of Total Erase/Write  
Cycles before Refresh(2)  
1M  
10M  
E/W -40°C to +85°C  
Program Flash Memory  
Cell Endurance  
D130  
D131  
EP  
10K  
100K  
E/W -40°C to +85°C  
VPR  
VDD for Read  
VMIN  
5.5  
V
VMIN = Minimum operating  
voltage  
D132  
VIE  
VDD for Block Erase  
4.5  
4.5  
5.5  
5.5  
V
V
Using ICSP port  
Using ICSP port  
D132A VIW  
VDD for Externally Timed Erase  
or Write  
D132B VPEW VDD for Self-timed Write  
VMIN  
5.5  
V
VMIN = Minimum operating  
voltage  
D133  
TIE  
ICSP Block Erase Cycle Time  
1
4
ms VDD > 4.5V  
ms VDD > 4.5V  
D133A TIW  
ICSP Erase or Write Cycle Time  
(externally timed)  
D133A TIW  
Self-timed Write Cycle Time  
2
ms  
D134 TRETD Characteristic Retention  
40  
100  
Year Provided no other  
specifications are violated  
Data in “Typ” column is at 5.0V, 25°C unless otherwise stated. These parameters are for design guidance  
only and are not tested.  
Note 1: These specifications are for programming the on-chip program memory through the use of Table Write  
instructions.  
2: Refer to Section 7.8 “Using the Data EEPROM” for a more detailed discussion on data EEPROM  
endurance.  
3: Required only if low-voltage programming is disabled.  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 351  
 
PIC18F2331/2431/4331/4431  
FIGURE 25-3:  
LOW-VOLTAGE DETECT CHARACTERISTICS  
VDD  
(LVDIF can be  
cleared in software)  
VLVD  
(LVDIF set by hardware)  
LVDIF  
TABLE 25-2: LOW-VOLTAGE DETECT CHARACTERISTICS  
Standard Operating Conditions (unless otherwise stated)  
Operating temperature -40°C TA +85°C for industrial  
Param  
No.  
Symbol  
Characteristic  
Min  
Typ† Max  
Units  
Conditions  
D420  
LVV = 0010 2.08  
LVV = 0011 2.26  
LVV = 0100 2.35  
LVV = 0101 2.55  
LVV = 0110 2.64  
LVV = 0111 2.82  
LVV = 1000 3.09  
LVV = 1001 3.29  
LVV = 1010 3.38  
LVV = 1011 3.56  
LVV = 1100 3.75  
LVV = 1101 3.93  
LVV = 1110 4.23  
2.26  
2.45  
2.55  
2.77  
2.87  
3.07  
3.36  
3.57  
3.67  
3.87  
4.07  
4.28  
4.60  
2.44  
2.65  
2.76  
2.99  
3.10  
3.31  
3.63  
3.86  
3.96  
4.18  
4.40  
4.62  
4.96  
V
V
V
V
V
V
V
V
V
V
V
V
V
LVD Voltage on VDD  
Transition High to Low  
Production tested at TAMB = 25°C. Specifications over temp. limits ensured by characterization.  
DS39616B-page 352  
Preliminary  
2003 Microchip Technology Inc.  
 
 
PIC18F2331/2431/4331/4431  
25.4 AC (Timing) Characteristics  
25.4.1 TIMING PARAMETER SYMBOLOGY  
The timing parameter symbols have been created  
following one of the following formats:  
1. TppS2ppS  
2. TppS  
T
3. TCC:ST  
4. Ts  
(I2C specifications only)  
(I2C specifications only)  
F
Frequency  
T
Time  
Lowercase letters (pp) and their meanings:  
pp  
cc  
ck  
cs  
di  
CCP1  
CLKO  
CS  
osc  
rd  
OSC1  
RD  
rw  
sc  
ss  
t0  
RD or WR  
SCK  
SDI  
do  
dt  
SDO  
SS  
Data in  
I/O port  
MCLR  
T0CKI  
T1CKI  
WR  
io  
t1  
mc  
wr  
Uppercase letters and their meanings:  
S
F
Fall  
P
R
V
Z
Period  
H
High  
Rise  
I
Invalid (Hi-impedance)  
Low  
Valid  
L
Hi-impedance  
I2C only  
AA  
output access  
Bus free  
High  
Low  
High  
Low  
BUF  
TCC:ST (I2C specifications only)  
CC  
HD  
Hold  
SU  
Setup  
ST  
DAT  
STA  
DATA input hold  
Start condition  
STO  
Stop condition  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 353  
 
 
PIC18F2331/2431/4331/4431  
25.4.2  
TIMING CONDITIONS  
Note: Because of space limitations, the generic  
terms “PIC18FXX31” and “PIC18LFXX31”  
are used throughout this section to refer to  
the PIC18F2331/2431/4331/4431 and  
PIC18LF2331/2431/4331/4431 families of  
devices specifically, and only those  
devices.  
The temperature and voltages specified in Table 25-3  
apply to all timing specifications unless otherwise  
noted. Figure 25-4 specifies the load conditions for the  
timing specifications.  
TABLE 25-3: TEMPERATURE AND VOLTAGE SPECIFICATIONS - AC  
Standard Operating Conditions (unless otherwise stated)  
Operating temperature  
Operating voltage VDD range as described in DC spec Section 25.1 and  
Section 25.3. LF parts operate for industrial temperatures only.  
-40°C TA +85°C for industrial  
AC CHARACTERISTICS  
FIGURE 25-4:  
LOAD CONDITIONS FOR DEVICE TIMING SPECIFICATIONS  
Load Condition 1 Load Condition 2  
VDD/2  
CL  
RL  
Pin  
VSS  
CL  
Pin  
RL = 464Ω  
CL = 50 pF for all pins except OSC2/CLKO  
and including D and E outputs as ports  
VSS  
DS39616B-page 354  
Preliminary  
2003 Microchip Technology Inc.  
 
 
 
 
 
PIC18F2331/2431/4331/4431  
25.4.3  
TIMING DIAGRAMS AND SPECIFICATIONS  
FIGURE 25-5:  
EXTERNAL CLOCK TIMING (ALL MODES EXCEPT PLL)  
Q4  
Q1  
1
Q2  
Q3  
Q4  
Q1  
OSC1  
CLKO  
3
4
3
4
2
TABLE 25-4: EXTERNAL CLOCK TIMING REQUIREMENTS  
Param.  
Symbol  
Characteristic  
Min  
Max  
Units  
Conditions  
No.  
1A  
FOSC  
External CLKI Frequency(1)  
Oscillator Frequency(1)  
DC  
DC  
0.1  
4
40  
4
MHz EC, ECIO  
MHz RC osc  
MHz XT osc  
MHz HS osc  
4
25  
10  
200  
4
MHz HS + PLL osc  
kHz LP Osc mode  
5
1
TOSC  
External CLKI Period(1)  
Oscillator Period(1)  
25  
ns  
EC, ECIO  
250  
250  
ns  
ns  
RC osc  
XT osc  
10,000  
25  
100  
250  
250  
ns  
ns  
HS osc  
HS + PLL osc  
25  
µs  
LP osc  
2
3
TCY  
Instruction Cycle Time(1)  
100  
30  
2.5  
10  
ns  
ns  
µs  
ns  
ns  
ns  
ns  
TCY = 4/FOSC  
XT osc  
TosL,  
TosH  
External Clock in (OSC1)  
High or Low Time  
LP osc  
HS osc  
XT osc  
4
TosR,  
TosF  
External Clock in (OSC1)  
Rise or Fall Time  
20  
50  
7.5  
LP osc  
HS osc  
Note 1: Instruction cycle period (TCY) equals four times the input oscillator time base period for all configurations  
except PLL. All specified values are based on characterization data for that particular oscillator type under  
standard operating conditions with the device executing code. Exceeding these specified limits may result  
in an unstable oscillator operation and/or higher than expected current consumption. All devices are tested  
to operate at “min.” values with an external clock applied to the OSC1/CLKI pin. When an external clock  
input is used, the “max.” cycle time limit is “DC” (no clock) for all devices.  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 355  
 
 
 
PIC18F2331/2431/4331/4431  
TABLE 25-5: PLL CLOCK TIMING SPECIFICATIONS (VDD = 4.2V TO 5.5V)  
Param  
Sym  
Characteristic  
Min  
Typ†  
Max  
Units Conditions  
No.  
F10  
FOSC Oscillator Frequency Range  
FSYS On-chip VCO System Frequency  
TPLL PLL Start-up Time (Lock Time)  
CLK CLKO Stability (Jitter)  
4
10  
40  
2
MHz HS mode only  
F11  
F12  
F13  
16  
-2  
MHz HS mode only  
ms  
+2  
%
Data in “Typ” column is at 5V, 25°C unless otherwise stated. These parameters are for design guidance only  
and are not tested.  
TABLE 25-6: INTERNAL RC ACCURACY  
PIC18F2331/2431/4331/4431 (Industrial)  
PIC18LF2331/2431/4331/4431 (Industrial)  
PIC18F1220/1320  
Standard Operating Conditions (unless otherwise stated)  
Operating temperature -40°C TA +85°C for industrial  
(Industrial)  
PIC18LF1220/1320  
Standard Operating Conditions (unless otherwise stated)  
Operating temperature -40°C TA +85°C for industrial  
(Industrial)  
Param  
No.  
Device  
Min  
Typ  
Max  
Units  
Conditions  
(1)  
INTOSC Accuracy @ Freq = 8 MHz, 4 MHz, 2 MHz, 1 MHz, 500 kHz, 250 kHz, 125 kHz  
F2  
F3  
PIC18LF2331/2431/4331/4431  
All devices  
-15  
+/-5  
+/-5  
+15  
+15  
%
%
25°C  
25°C  
VDD = 3.0V  
VDD = 5.0V  
-15  
(2)  
INTRC Accuracy @ Freq = 31 kHz  
F5  
F6  
PIC18LF2331/2431/4331/4431 26.562  
All devices 26.562  
35.938  
35.938  
kHz  
kHz  
25°C  
25°C  
VDD = 3.0V  
VDD = 5.0V  
(3)  
INTRC Stability  
F8  
PIC18LF2331/2431/4331/4431  
All devices  
TBD  
TBD  
1
1
TBD  
TBD  
%
%
25°C  
25°C  
VDD = 3.0V  
VDD = 5.0V  
F9  
Legend:  
Shading of rows is to assist in readability of the table.  
Note 1: Frequency calibrated at 25°C. OSCTUNE register can be used to compensate for temperature drift.  
2: INTRC frequency after calibration.  
3: Change of INTRC frequency as VDD changes.  
DS39616B-page 356  
Preliminary  
2003 Microchip Technology Inc.  
 
 
PIC18F2331/2431/4331/4431  
FIGURE 25-6:  
CLKO AND I/O TIMING  
Q1  
Q2  
Q3  
Q4  
OSC1  
11  
10  
CLKO  
13  
12  
19  
18  
14  
16  
I/O Pin  
(Input)  
15  
17  
I/O Pin  
(Output)  
New Value  
Old Value  
20, 21  
Refer to Figure 25-4 for load conditions.  
Note:  
TABLE 25-7: CLKO AND I/O TIMING REQUIREMENTS  
Param  
Symbol  
Characteristic  
Min  
Typ  
Max  
Units Conditions  
No.  
10  
TosH2ckL OSC1 to CLKO ↓  
TosH2ckH OSC1 to CLKO ↑  
75  
75  
35  
35  
50  
200  
200  
100  
100  
ns  
ns  
ns  
ns  
(1)  
(1)  
(1)  
(1)  
(1)  
(1)  
(1)  
11  
12  
13  
14  
15  
16  
17  
18  
18A  
TckR  
TckF  
CLKO rise time  
CLKO fall time  
TckL2ioV CLKO to Port out valid  
TioV2ckH Port in valid before CLKO ↑  
TckH2ioI Port in hold after CLKO ↑  
TosH2ioV OSC1(Q1 cycle) to Port out valid  
0.5 TCY + 20 ns  
0.25 TCY + 25  
ns  
ns  
ns  
ns  
ns  
0
150  
TosH2ioI OSC1(Q2 cycle) to  
Port input invalid  
PIC18FXX31  
PIC18LFXX31  
100  
200  
(I/O in hold time)  
19  
TioV2osH Port input valid to OSC1(I/O in setup time)  
0
10  
10  
25  
60  
25  
60  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
20  
TioR  
Port output rise time  
Port output fall time  
INT pin high or low time  
PIC18FXX31  
PIC18LFXX31  
PIC18FXX31  
PIC18LFXX31  
20A  
21  
TioF  
21A  
22††  
23††  
24††  
TINP  
TCY  
TCY  
20  
TRBP  
TRCP  
RB7:RB4 change INT high or low time  
RB7:RB4 change INT high or low time  
†† These parameters are asynchronous events not related to any internal clock edges.  
Note 1: Measurements are taken in RC mode, where CLKO output is 4 x TOSC.  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 357  
 
 
PIC18F2331/2431/4331/4431  
FIGURE 25-7:  
RESET, WATCHDOG TIMER, OSCILLATOR START-UP TIMER AND POWER-UP  
TIMER TIMING  
VDD  
MCLR  
30  
Internal  
POR  
33  
PWRT  
Time-out  
32  
OSC  
Time-out  
Internal  
Reset  
Watchdog  
Timer  
Reset  
31  
34  
34  
I/O Pins  
Note:  
Refer to Figure 25-4 for load conditions.  
FIGURE 25-8:  
BROWN-OUT RESET TIMING  
BVDD  
VDD  
35  
VBGAP = 1.2V  
VIRVST  
Enable Internal Reference Voltage  
Internal Reference Voltage Stable  
36  
TABLE 25-8: RESET, WATCHDOG TIMER, OSCILLATOR START-UP TIMER, POWER-UP TIMER  
AND BROWN-OUT RESET REQUIREMENTS  
Param.  
No.  
Symbol  
Characteristic  
Min  
Typ  
Max  
Units  
Conditions  
30  
TmcL  
TWDT  
MCLR Pulse Width (low)  
2
µs  
ms  
31  
Watchdog Timer Time-out Period  
(No Postscaler)  
4.00  
TBD  
32  
33  
TOST  
Oscillation Start-up Timer Period  
1024 TOSC  
1024 TOSC  
TBD  
TOSC = OSC1 period  
TPWRT Power-up Timer Period  
65.5  
ms  
34  
TIOZ  
I/O Hi-impedance from MCLR Low  
or Watchdog Timer Reset  
2
µs  
35  
36  
TBOR  
Brown-out Reset Pulse Width  
200  
µs VDD BVDD (see D005)  
µs  
TIVRST Time for Internal Reference  
Voltage to become stable  
20  
50  
37  
TLVD  
Low-Voltage Detect Pulse Width  
200  
µs  
VDD VLVD  
DS39616B-page 358  
Preliminary  
2003 Microchip Technology Inc.  
 
 
 
PIC18F2331/2431/4331/4431  
FIGURE 25-9:  
TIMER0 AND TIMER1 EXTERNAL CLOCK TIMINGS  
T0CKI  
41  
40  
42  
T1OSO/T1CKI  
46  
45  
47  
48  
TMR0 or  
TMR1  
Note:  
Refer to Figure 25-4 for load conditions.  
TABLE 25-9: TIMER0 AND TIMER1 EXTERNAL CLOCK REQUIREMENTS  
Param  
Symbol  
Characteristic  
Min  
Max Units  
Conditions  
No.  
40  
Tt0H  
T0CKI High Pulse Width  
No Prescaler  
With Prescaler  
No Prescaler  
With Prescaler  
No Prescaler  
With Prescaler  
0.5 TCY + 20  
10  
ns  
ns  
ns  
ns  
ns  
41  
42  
Tt0L  
Tt0P  
T0CKI Low Pulse Width  
T0CKI Period  
0.5 TCY + 20  
10  
TCY + 10  
Greater of:  
20 ns or TCY + 40  
N
ns N = prescale  
value  
(1, 2, 4,..., 256)  
45  
46  
Tt1H  
Tt1L  
T1CKI  
High Time  
Synchronous, no prescaler  
0.5 TCY + 20  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
Synchronous,  
with prescaler  
PIC18FXX31  
PIC18LFXX31  
10  
25  
Asynchronous PIC18FXX31  
PIC18LFXX31  
30  
50  
0.5 TCY + 5  
10  
T1CKI  
Low Time  
Synchronous, no prescaler  
Synchronous,  
with prescaler  
PIC18FXX31  
PIC18LFXX31  
25  
Asynchronous PIC18FXX31  
PIC18LFXX31  
30  
TBD  
TBD  
47  
48  
Tt1P  
Ft1  
T1CKI  
Input  
Period  
Synchronous  
Greater of:  
20 ns or TCY + 40  
N
ns N = prescale  
value  
(1, 2, 4, 8)  
Asynchronous  
60  
DC  
50  
ns  
kHz  
T1CKI Oscillator Input Frequency Range  
Tcke2tmrI Delay from External T1CKI Clock Edge to  
Timer Increment  
2 TOSC  
7 TOSC  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 359  
 
 
PIC18F2331/2431/4331/4431  
FIGURE 25-10:  
CAPTURE/COMPARE/PWM TIMINGS (ALL CCP MODULES)  
CCPx  
(Capture Mode)  
50  
51  
52  
54  
CCPx  
(Compare or PWM Mode)  
53  
Refer to Figure 25-4 for load conditions.  
Note:  
TABLE 25-10: CAPTURE/COMPARE/PWM REQUIREMENTS (ALL CCP MODULES)  
Param  
Symbol  
Characteristic  
Min  
Max  
Units  
Conditions  
No.  
50  
TccL  
CCPx input low No Prescaler  
0.5 TCY + 20  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
time  
With  
Prescaler  
PIC18FXX31  
PIC18LFXX31  
10  
20  
51  
TccH  
CCPx input high No Prescaler  
0.5 TCY + 20  
time  
With  
Prescaler  
PIC18FXX31  
PIC18LFXX31  
10  
20  
52  
53  
TccP  
TccR  
CCPx input period  
3 TCY + 40  
N
N = prescale  
value (1,4 or 16)  
CCPx output fall time  
PIC18FXX31  
PIC18LFXX31  
PIC18FXX31  
PIC18LFXX31  
25  
45  
25  
45  
ns  
ns  
ns  
ns  
54  
TccF  
CCPx output fall time  
DS39616B-page 360  
Preliminary  
2003 Microchip Technology Inc.  
 
 
PIC18F2331/2431/4331/4431  
FIGURE 25-11:  
EXAMPLE SPI MASTER MODE TIMING (CKE = 0)  
SS  
70  
SCK  
(CKP = 0)  
71  
72  
78  
79  
79  
SCK  
(CKP = 1)  
78  
80  
MSb  
bit6 - - - - - -1  
bit6 - - - -1  
LSb  
SDO  
SDI  
75, 76  
MSb IN  
74  
LSb IN  
73  
Note: Refer to Figure 25-4 for load conditions.  
TABLE 25-11: EXAMPLE SPI MODE REQUIREMENTS (MASTER MODE, CKE = 0)  
Param  
Symbol  
Characteristic  
Min  
Max Units Conditions  
No.  
70  
TssL2scH, SSto SCKor SCKinput  
TssL2scL  
TCY  
ns  
71  
TscH  
SCK input high time  
(Slave mode)  
Continuous  
Single Byte  
Continuous  
Single Byte  
1.25 TCY + 30  
ns  
71A  
72  
40  
1.25 TCY + 30  
40  
ns (Note 1)  
ns  
TscL  
SCK input low time  
(Slave mode)  
72A  
73  
ns (Note 1)  
TdiV2scH, Setup time of SDI data input to SCK edge  
TdiV2scL  
100  
1.5 TCY + 40  
100  
ns  
73A  
74  
TB2B  
Last clock edge of Byte1 to the 1st clock edge  
of Byte2  
(Note 2)  
ns  
TscH2diL, Hold time of SDI data input to SCK edge  
TscL2diL  
ns  
75  
TdoR  
SDO data output rise time  
PIC18FXX31  
PIC18LFXX31  
25  
45  
25  
25  
45  
25  
50  
100  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
76  
78  
TdoF  
TscR  
SDO data output fall time  
SCK output rise time  
(Master mode)  
PIC18FXX31  
PIC18LFXX31  
79  
80  
TscF  
SCK output fall time (Master mode)  
TscH2doV, SDO data output valid after  
TscL2doV SCK edge  
PIC18FXX31  
PIC18LFXX31  
Note 1: Requires the use of Parameter # 73A.  
2: Only if Parameter # 71A and # 72A are used.  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 361  
 
 
PIC18F2331/2431/4331/4431  
FIGURE 25-12:  
EXAMPLE SPI MASTER MODE TIMING (CKE = 1)  
SS  
81  
SCK  
(CKP = 0)  
71  
72  
79  
78  
73  
SCK  
(CKP = 1)  
80  
LSb  
MSb  
bit6 - - - - - -1  
bit6 - - - -1  
SDO  
SDI  
75, 76  
MSb IN  
74  
LSb IN  
Note: Refer to Figure 25-4 for load conditions.  
TABLE 25-12: EXAMPLE SPI MODE REQUIREMENTS (MASTER MODE, CKE = 1)  
Param.  
Symbol  
TscH  
TscL  
Characteristic  
Min  
Max Units Conditions  
No.  
71  
SCK input high time  
Continuous  
Single Byte  
Continuous  
Single Byte  
1.25 TCY + 30  
ns  
(Slave mode)  
71A  
72  
40  
1.25 TCY + 30  
40  
ns (Note 1)  
ns  
SCK input low time  
(Slave mode)  
72A  
73  
ns (Note 1)  
TdiV2scH, Setup time of SDI data input to SCK edge  
TdiV2scL  
100  
ns  
73A  
74  
TB2B  
Last clock edge of Byte1 to the 1st clock edge  
of Byte2  
1.5 TCY + 40  
ns (Note 2)  
TscH2diL, Hold time of SDI data input to SCK edge  
TscL2diL  
100  
ns  
75  
TdoR  
SDO data output rise time  
PIC18FXX31  
PIC18LFXX31  
25  
45  
25  
25  
45  
25  
50  
100  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
76  
78  
TdoF  
TscR  
SDO data output fall time  
SCK output rise time  
(Master mode)  
PIC18FXX31  
PIC18LFXX31  
79  
80  
TscF  
SCK output fall time (Master mode)  
TscH2doV, SDO data output valid after  
TscL2doV SCK edge  
PIC18FXX31  
PIC18LFXX31  
81  
TdoV2scH, SDO data output setup to SCK edge  
TdoV2scL  
TCY  
ns  
Note 1: Requires the use of Parameter # 73A.  
2: Only if Parameter # 71A and # 72A are used.  
DS39616B-page 362  
Preliminary  
2003 Microchip Technology Inc.  
 
 
PIC18F2331/2431/4331/4431  
FIGURE 25-13:  
EXAMPLE SPI SLAVE MODE TIMING (CKE = 0)  
SS  
70  
SCK  
(CKP = 0)  
83  
71  
72  
78  
79  
79  
SCK  
(CKP = 1)  
78  
80  
MSb  
LSb  
SDO  
SDI  
bit6 - - - - - -1  
bit6 - - - -1  
77  
75, 76  
MSb IN  
74  
LSb IN  
73  
Note:  
Refer to Figure 25-4 for load conditions.  
TABLE 25-13: EXAMPLE SPI MODE REQUIREMENTS (SLAVE MODE TIMING (CKE = 0))  
Param  
Symbol  
Characteristic  
Min  
Max Units Conditions  
No.  
70  
TssL2scH, SSto SCKor SCKinput  
TssL2scL  
TCY  
ns  
71  
TscH  
SCK input high time  
(Slave mode)  
Continuous  
Single Byte  
Continuous  
Single Byte  
1.25 TCY + 30  
ns  
71A  
72  
40  
1.25 TCY + 30  
40  
ns (Note 1)  
TscL  
SCK input low time  
(Slave mode)  
ns  
72A  
73  
ns (Note 1)  
TdiV2scH, Setup time of SDI data input to SCK edge  
TdiV2scL  
100  
ns  
73A  
74  
TB2B  
Last clock edge of Byte1 to the first clock edge of Byte2  
1.5 TCY + 40  
100  
ns (Note 2)  
TscH2diL, Hold time of SDI data input to SCK edge  
TscL2diL  
ns  
75  
TdoR  
SDO data output rise time  
PIC18FXX31  
PIC18LFXX31  
25  
45  
25  
50  
25  
45  
25  
50  
100  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
76  
77  
78  
TdoF  
SDO data output fall time  
10  
TssH2doZ SSto SDO output hi-impedance  
TscR  
SCK output rise time (Master mode)  
PIC18FXX31  
PIC18LFXX31  
79  
80  
TscF  
SCK output fall time (Master mode)  
TscH2doV, SDO data output valid after SCK edge PIC18FXX31  
TscL2doV  
PIC18LFXX31  
83  
TscH2ssH, SS after SCK edge  
TscL2ssH  
1.5 TCY + 40  
Note 1: Requires the use of Parameter # 73A.  
2: Only if Parameter # 71A and # 72A are used.  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 363  
 
 
PIC18F2331/2431/4331/4431  
FIGURE 25-14:  
EXAMPLE SPI SLAVE MODE TIMING (CKE = 1)  
82  
SS  
70  
SCK  
83  
(CKP = 0)  
71  
72  
SCK  
(CKP = 1)  
80  
MSb  
bit6 - - - - - -1  
LSb  
SDO  
SDI  
75, 76  
77  
MSb IN  
74  
bit6 - - - -1  
LSb IN  
Note: Refer to Figure 25-4 for load conditions.  
TABLE 25-14: EXAMPLE SPI SLAVE MODE REQUIREMENTS (CKE = 1)  
Param  
Symbol  
Characteristic  
Min  
Max Units Conditions  
No.  
70  
TssL2scH, SSto SCKor SCKinput  
TssL2scL  
ns  
TCY  
71  
TscH  
TscL  
TB2B  
SCK input high time  
(Slave mode)  
Continuous  
Single Byte  
Continuous  
Single Byte  
1.25 TCY + 30  
ns  
71A  
72  
40  
1.25 TCY + 30  
40  
ns (Note 1)  
ns  
SCK input low time  
(Slave mode)  
72A  
73A  
74  
ns (Note 1)  
ns (Note 2)  
ns  
Last clock edge of Byte1 to the first clock edge of Byte2 1.5 TCY + 40  
TscH2diL, Hold time of SDI data input to SCK edge  
TscL2diL  
100  
75  
TdoR  
SDO data output rise time  
PIC18FXX31  
PIC18LFXX31  
25  
45  
25  
50  
25  
45  
25  
50  
100  
50  
100  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
76  
77  
78  
TdoF  
SDO data output fall time  
10  
TssH2doZ SSto SDO output hi-impedance  
TscR  
SCK output rise time  
(Master mode)  
PIC18FXX31  
PIC18LFXX31  
79  
80  
TscF  
SCK output fall time (Master mode)  
TscH2doV, SDO data output valid after SCK PIC18FXX31  
TscL2doV edge  
PIC18LFXX31  
82  
83  
TssL2doV SDO data output valid after SSPIC18FXX31  
edge  
PIC18LFXX31  
TscH2ssH, SS after SCK edge  
TscL2ssH  
1.5 TCY + 40  
Note 1: Requires the use of Parameter # 73A.  
2: Only if Parameter # 71A and # 72A are used.  
DS39616B-page 364  
Preliminary  
2003 Microchip Technology Inc.  
 
 
PIC18F2331/2431/4331/4431  
FIGURE 25-15:  
I2C BUS START/STOP BITS TIMING  
SCL  
SDA  
91  
93  
90  
92  
Stop  
Condition  
Start  
Condition  
Note: Refer to Figure 25-4 for load conditions.  
TABLE 25-15: I2C BUS START/STOP BITS REQUIREMENTS (SLAVE MODE)  
Param.  
Symbol  
Characteristic  
Min  
Max  
Units  
Conditions  
No.  
90  
TSU:STA Start condition  
Setup time  
100 kHz mode  
400 kHz mode  
100 kHz mode  
400 kHz mode  
100 kHz mode  
400 kHz mode  
100 kHz mode  
400 kHz mode  
4700  
600  
ns  
Only relevant for repeated  
Start condition  
91  
92  
93  
THD:STA Start condition  
Hold time  
4000  
600  
ns  
ns  
ns  
After this period, the first  
clock pulse is generated  
TSU:STO Stop condition  
Setup time  
4700  
600  
THD:STO Stop condition  
Hold time  
4000  
600  
FIGURE 25-16:  
I2C BUS DATA TIMING  
103  
102  
100  
101  
SCL  
90  
106  
107  
91  
92  
SDA  
In  
110  
109  
109  
SDA  
Out  
Note: Refer to Figure 25-4 for load conditions.  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 365  
 
 
PIC18F2331/2431/4331/4431  
TABLE 25-16: I2C BUS DATA REQUIREMENTS (SLAVE MODE)  
Param.  
No.  
Symbol  
Characteristic  
100 kHz mode  
Min  
Max  
Units  
Conditions  
Clock high time  
4.0  
µs  
PIC18FXX31 must operate at  
a minimum of 1.5 MHz  
100  
THIGH  
400 kHz mode  
0.6  
µs  
PIC18FXX31 must operate at  
a minimum of 10 MHz  
SSP Module  
1.5 TCY  
4.7  
Clock low time  
100 kHz mode  
µs  
µs  
PIC18FXX31 must operate at  
a minimum of 1.5 MHz  
101  
TLOW  
400 kHz mode  
1.3  
PIC18FXX31 must operate at  
a minimum of 10 MHz  
SSP Module  
1.5 TCY  
SDA and SCL rise  
time  
100 kHz mode  
400 kHz mode  
1000  
300  
ns  
ns  
102  
103  
TR  
TF  
20 + 0.1 CB  
CB is specified to be from  
10 to 400 pF  
SDA and SCL fall  
time  
100 kHz mode  
400 kHz mode  
300  
300  
ns  
ns  
20 + 0.1 CB  
CB is specified to be from  
10 to 400 pF  
Start condition setup 100 kHz mode  
4.7  
0.6  
4.0  
0.6  
0
µs  
µs  
µs  
µs  
ns  
µs  
ns  
ns  
µs  
µs  
ns  
ns  
µs  
µs  
Only relevant for repeated  
Start condition  
90  
TSU:STA  
THD:STA  
THD:DAT  
TSU:DAT  
TSU:STO  
TAA  
time  
400 kHz mode  
Start condition hold  
time  
100 kHz mode  
400 kHz mode  
100 kHz mode  
400 kHz mode  
After this period, the first clock  
pulse is generated  
91  
Data input hold time  
106  
107  
92  
0
0.9  
Data input setup time 100 kHz mode  
400 kHz mode  
250  
100  
4.7  
0.6  
(Note 2)  
Stop condition setup 100 kHz mode  
time  
400 kHz mode  
Output valid from  
clock  
100 kHz mode  
400 kHz mode  
100 kHz mode  
400 kHz mode  
3500  
(Note 1)  
109  
110  
Bus free time  
4.7  
1.3  
Time the bus must be free  
before a new transmission can  
start  
TBUF  
D102  
CB  
Bus capacitive loading  
400  
pF  
Note 1: As a transmitter, the device must provide this internal minimum delay time to bridge the undefined region  
(min. 300 ns) of the falling edge of SCL to avoid unintended generation of Start or Stop conditions.  
2: A Fast mode I2C bus device can be used in a Standard mode I2C bus system, but the requirement  
TSU:DAT 250 ns must then be met. This will automatically be the case if the device does not stretch the  
LOW period of the SCL signal. If such a device does stretch the LOW period of the SCL signal, it must out-  
put the next data bit to the SDA line.  
TR max. + TSU:DAT = 1000 + 250 = 1250 ns (according to the Standard mode I2C bus specification) before  
the SCL line is released.  
DS39616B-page 366  
Preliminary  
2003 Microchip Technology Inc.  
 
 
 
PIC18F2331/2431/4331/4431  
FIGURE 25-17:  
SSP I2C BUS START/STOP BITS TIMING WAVEFORMS  
SCL  
SDA  
93  
91  
90  
92  
Stop  
Condition  
Start  
Condition  
Note: Refer to Figure 25-4 for load conditions.  
TABLE 25-17: SSP I2C BUS START/STOP BITS REQUIREMENTS  
Param.  
Symbol  
Characteristic  
Min  
Max Units  
Conditions  
No.  
90  
TSU:STA Start condition  
Setup time  
100 kHz mode  
400 kHz mode  
1 MHz mode(1) 2(TOSC)(BRG + 1)  
2(TOSC)(BRG + 1)  
2(TOSC)(BRG + 1)  
ns Only relevant for  
repeated Start  
condition  
91  
92  
93  
THD:STA Start condition  
Hold time  
100 kHz mode  
400 kHz mode  
1 MHz mode(1) 2(TOSC)(BRG + 1)  
2(TOSC)(BRG + 1)  
ns After this period, the  
first clock pulse is  
generated  
2(TOSC)(BRG + 1)  
TSU:STO Stop condition  
Setup time  
100 kHz mode  
400 kHz mode  
1 MHz mode(1) 2(TOSC)(BRG + 1)  
2(TOSC)(BRG + 1)  
ns  
2(TOSC)(BRG + 1)  
THD:STO Stop condition  
Hold time  
100 kHz mode  
400 kHz mode  
2(TOSC)(BRG + 1)  
ns  
2(TOSC)(BRG + 1)  
1 MHz mode(1) 2(TOSC)(BRG + 1)  
Note 1: Maximum pin capacitance = 10 pF for all I2C pins.  
FIGURE 25-18:  
SSP I2C BUS DATA TIMING  
103  
102  
100  
101  
109  
SCL  
90  
106  
91  
92  
107  
SDA  
In  
110  
109  
SDA  
Out  
Note: Refer to Figure 25-4 for load conditions.  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 367  
 
 
 
PIC18F2331/2431/4331/4431  
TABLE 25-18: SSP I2C BUS DATA REQUIREMENTS  
Param.  
Symbol  
Characteristic  
Min  
Max Units  
Conditions  
No.  
100  
THIGH  
Clock high time 100 kHz mode  
400 kHz mode  
2(TOSC)(BRG + 1)  
2(TOSC)(BRG + 1)  
ms  
ms  
ms  
ms  
ms  
ms  
ns  
1 MHz mode(1) 2(TOSC)(BRG + 1)  
101  
102  
103  
90  
TLOW  
TR  
Clock low time  
100 kHz mode  
400 kHz mode  
2(TOSC)(BRG + 1)  
2(TOSC)(BRG + 1)  
1 MHz mode(1) 2(TOSC)(BRG + 1)  
SDA and SCL  
rise time  
100 kHz mode  
400 kHz mode  
1 MHz mode(1)  
100 kHz mode  
400 kHz mode  
1 MHz mode(1)  
100 kHz mode  
400 kHz mode  
1000  
300  
300  
300  
300  
100  
CB is specified to be from  
10 to 400 pF  
20 + 0.1 CB  
ns  
ns  
TF  
SDA and SCL  
fall time  
ns  
CB is specified to be from  
10 to 400 pF  
20 + 0.1 CB  
ns  
ns  
TSU:STA Start condition  
setup time  
2(TOSC)(BRG + 1)  
2(TOSC)(BRG + 1)  
ms Only relevant for  
Repeated Start  
ms  
condition  
ms  
1 MHz mode(1) 2(TOSC)(BRG + 1)  
91  
THD:STA Start condition  
hold time  
100 kHz mode  
400 kHz mode  
2(TOSC)(BRG + 1)  
2(TOSC)(BRG + 1)  
ms After this period, the first  
clock pulse is generated  
ms  
1 MHz mode(1) 2(TOSC)(BRG + 1)  
ms  
ns  
106  
107  
92  
THD:DAT Data input  
hold time  
100 kHz mode  
400 kHz mode  
1 MHz mode(1)  
100 kHz mode  
400 kHz mode  
1 MHz mode(1)  
100 kHz mode  
400 kHz mode  
0
0
0.9  
ms  
ns  
TBD  
250  
TSU:DAT Data input  
setup time  
ns  
ns  
(Note 2)  
100  
TBD  
ns  
TSU:STO Stop condition  
setup time  
2(TOSC)(BRG + 1)  
2(TOSC)(BRG + 1)  
ms  
ms  
ms  
ns  
1 MHz mode(1) 2(TOSC)(BRG + 1)  
109  
110  
D102  
TAA  
TBUF  
CB  
Output validfrom 100 kHz mode  
3500  
1000  
clock  
400 kHz mode  
1 MHz mode(1)  
100 kHz mode  
400 kHz mode  
1 MHz mode(1)  
ns  
ns  
Bus free time  
4.7  
1.3  
TBD  
ms Time the bus must be free  
before a new transmission  
ms  
can start  
ms  
Bus capacitive loading  
400  
pF  
Note 1: Maximum pin capacitance = 10 pF for all I2C pins.  
2: A Fast mode I2C bus device can be used in a Standard mode I2C bus system, but parameter #107 250 ns  
must then be met. This will automatically be the case if the device does not stretch the LOW period of the  
SCL signal. If such a device does stretch the LOW period of the SCL signal, it must output the next data bit  
to the SDA line, parameter #102 + parameter #107 = 1000 + 250 = 1250 ns (for 100 kHz mode) before the  
SCL line is released.  
DS39616B-page 368  
Preliminary  
2003 Microchip Technology Inc.  
 
PIC18F2331/2431/4331/4431  
FIGURE 25-19:  
USART SYNCHRONOUS TRANSMISSION (MASTER/SLAVE) TIMING  
RC6/TX/CK  
pin  
121  
121  
RC7/RX/DT  
pin  
120  
Note: Refer to Figure 25-4 for load conditions.  
122  
TABLE 25-19: USART SYNCHRONOUS TRANSMISSION REQUIREMENTS  
Param  
Symbol  
Characteristic  
Min  
Max  
Units  
Conditions  
No.  
120  
TckH2dtV SYNC XMIT (MASTER & SLAVE)  
Clock high to data out valid  
PIC18FXX31  
PIC18LFXX31  
PIC18FXX31  
PIC18LFXX31  
PIC18FXX31  
PIC18LFXX31  
40  
100  
20  
ns  
ns  
ns  
ns  
ns  
ns  
121  
122  
Tckrf  
Tdtrf  
Clock out rise time and fall time  
(Master mode)  
50  
Data out rise time and fall time  
20  
50  
FIGURE 25-20:  
USART SYNCHRONOUS RECEIVE (MASTER/SLAVE) TIMING  
RC6/TX/CK  
pin  
125  
RC7/RX/DT  
pin  
126  
Note: Refer to Figure 25-4 for load conditions.  
TABLE 25-20: USART SYNCHRONOUS RECEIVE REQUIREMENTS  
Param.  
Symbol  
Characteristic  
Min  
Max  
Units  
Conditions  
No.  
125  
TdtV2ckl SYNC RCV (MASTER & SLAVE)  
Data hold before CK (DT hold time)  
Data hold after CK (DT hold time)  
10  
15  
ns  
ns  
126  
TckL2dtl  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 369  
 
 
 
 
PIC18F2331/2431/4331/4431  
TABLE 25-21: A/D CONVERTER CHARACTERISTICS: PIC18F2331/2431/4331/4431 (INDUSTRIAL)  
PIC18LF2331/2431/4331/4431 (INDUSTRIAL)  
Param  
Symbol  
Characteristic  
Min  
Typ  
Max  
Units  
Conditions  
No.  
Device Supply  
AVDD  
Analog VDD Supply  
VDD-0.3  
VSS-0.3  
VDD+0.3  
VSS+0.3  
V
V
AVSS  
IAD  
Analog VSS Supply  
Module Current  
(during conversion)  
500  
250  
µA  
µA  
VDD = 5V  
VDD = 2.5V  
IADO  
Module Current Off  
1.0  
µA  
AC Timing Parameters  
A10  
A11  
A12  
FTHR  
Throughput rate  
200  
75  
ksps VDD = 5V, single channel  
ksps VDD < 3V, single channel  
TAD  
A/D Clock Period  
385  
1000  
20,000  
20,000  
ns  
VDD = 5V  
VDD = 3V  
TRC  
A/D Internal RC Oscillator Period  
500  
750  
10000  
1500  
2250  
20000  
ns  
ns  
ns  
PIC18F parts  
PIC18LF parts  
AVDD < 3.0V  
(1)  
A13  
A14  
A16  
TCNV  
TACQ  
TTC  
Conversion Time  
12  
12  
12  
TAD  
TAD  
(2)  
(2)  
Acquisition Time  
2
Conversion start from external  
1/4 TCY  
1Tcy  
Reference Inputs  
A20  
VREF  
Reference voltage for 10-bit  
resolution  
1.5  
1.8  
AVDD-AVSS  
AVDD-AVSS  
V
V
VDD 3V  
VDD < 3V  
(VREF+ - VREF-)  
A21  
A22  
A23  
VREFH  
VREFL  
IREF  
Reference voltage High  
(AVDD or VREF+)  
1.5V  
AVSS  
AVDD  
V
V
VDD 3V  
Reference voltage Low  
(AVSS or VREF-)  
VREFH-1.5V  
Reference Current  
150µA  
75µA  
VDD = 5V  
VDD = 2.5V  
Analog Input Characteristics  
(3)  
A26  
A30  
VAIN  
ZAIN  
Input Voltage  
AVSS-0.3  
AVDD+0.3  
2.5  
V
Recommended impedance of  
analog voltage source  
kΩ  
A31  
ZCHIN  
Analog channel input impedance  
10.0  
kΩ  
VDD = 3.0 V  
DC Performance  
A41  
A42  
NR  
EIL  
Resolution  
10 bits  
Integral Nonlinearity  
<
<
1
LSb VDD 3.0V  
VREFH 3.0V  
A43  
A45  
A46  
A47  
EIL  
EOFF  
EGA  
Differential Nonlinearity  
Offset error  
0.5  
1
LSb VDD 3.0V  
VREFH 3.0V  
<
1.5  
1.5  
LSb VDD 3.0V  
VREFH 3.0V  
Gain error  
0.5  
<
LSb VDD 3.0V  
VREFH 3.0V  
(4)  
Monotonicity  
guaranteed  
VDD 3.0V  
VREFH 3.0V  
Note 1: Conversion time does not include acquisition time. See Section 20.0 “10-bit High-Speed Analog-to-Digital Converter  
(A/D) Module” for a full discussion of acquisition time requirements.  
2: In sequential modes, Tacq should be 12Tad or greater.  
3: For VDD < 2.7V and temperature below 0°C, VAIN should be limited to range < VDD/2.  
4: The A/D conversion result never decreases with an incraese in the input voltage, and has no missing codes.  
DS39616B-page 370  
Preliminary  
2003 Microchip Technology Inc.  
PIC18F2331/2431/4331/4431  
26.0 PRELIMINARY DC AND AC  
CHARACTERISTICS GRAPHS  
AND TABLES  
Graphs are not available at this time.  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 371  
 
PIC18F2331/2431/4331/4431  
NOTES:  
DS39616B-page 372  
Preliminary  
2003 Microchip Technology Inc.  
PIC18F2331/2431/4331/4431  
27.0 PACKAGING INFORMATION  
27.1 Package Marking Information  
28-Lead PDIP (Skinny DIP)  
Example  
XXXXXXXXXXXXXXXXX  
XXXXXXXXXXXXXXXXX  
YYWWNNN  
PIC18F2331-I/SP  
0317017  
28-Lead SOIC  
Example  
XXXXXXXXXXXXXXXXXXXX  
XXXXXXXXXXXXXXXXXXXX  
XXXXXXXXXXXXXXXXXXXX  
PIC18F2431-E/SO  
YYWWNNN  
0310017  
40-Lead PDIP  
Example  
Example  
XXXXXXXXXXXXXXXXXX  
XXXXXXXXXXXXXXXXXX  
XXXXXXXXXXXXXXXXXX  
PIC18F4331-I/P  
0312017  
YYWWNNN  
44-Lead TQFP  
PIC18F4431  
-I/PT  
XXXXXXXXXX  
XXXXXXXXXX  
XXXXXXXXXX  
YYWWNNN  
0320017  
44-Lead QFN  
Example  
XXXXXXXXXX  
XXXXXXXXXX  
XXXXXXXXXX  
YYWWNNN  
PIC18F4431  
-I/ML  
0320017  
Legend: XX...X Customer specific information*  
Y
Year code (last digit of calendar year)  
YY  
WW  
NNN  
Year code (last 2 digits of calendar year)  
Week code (week of January 1 is week ‘01’)  
Alphanumeric traceability code  
Note: In the event the full Microchip part number cannot be marked on one line, it will  
be carried over to the next line thus limiting the number of available characters  
for customer specific information.  
*
Standard PICmicro device marking consists of Microchip part number, year code, week code, and  
traceability code. For PICmicro device marking beyond this, certain price adders apply. Please check  
with your Microchip Sales Office. For QTP devices, any special marking adders are included in QTP  
price.  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 373  
 
 
PIC18F2331/2431/4331/4431  
27.2 Package Details  
The following sections give the technical details of the packages.  
28-Lead Skinny Plastic Dual In-line (SP) – 300 mil (PDIP)  
E1  
D
2
n
1
α
E
A2  
L
A
c
B1  
β
A1  
eB  
B
p
Units  
INCHES*  
NOM  
MILLIMETERS  
Dimension Limits  
MIN  
MAX  
MIN  
NOM  
28  
MAX  
n
p
Number of Pins  
Pitch  
28  
.100  
.150  
.130  
2.54  
3.81  
3.30  
Top to Seating Plane  
Molded Package Thickness  
Base to Seating Plane  
Shoulder to Shoulder Width  
Molded Package Width  
Overall Length  
A
A2  
A1  
E
.140  
.160  
3.56  
4.06  
.125  
.015  
.300  
.275  
1.345  
.125  
.008  
.040  
.016  
.320  
.135  
3.18  
0.38  
7.62  
6.99  
34.16  
3.18  
0.20  
1.02  
3.43  
.310  
.285  
1.365  
.130  
.012  
.053  
.019  
.350  
10  
.325  
.295  
1.385  
.135  
.015  
.065  
.022  
.430  
15  
7.87  
7.24  
8.26  
7.49  
35.18  
3.43  
0.38  
1.65  
0.56  
10.92  
15  
E1  
D
34.67  
3.30  
Tip to Seating Plane  
Lead Thickness  
L
c
0.29  
Upper Lead Width  
B1  
B
1.33  
Lower Lead Width  
0.41  
8.13  
5
0.48  
8.89  
10  
Overall Row Spacing  
Mold Draft Angle Top  
Mold Draft Angle Bottom  
§
eB  
α
5
β
5
10  
15  
5
10  
15  
* Controlling Parameter  
§ Significant Characteristic  
Notes:  
Dimension D and E1 do not include mold flash or protrusions. Mold flash or protrusions shall not exceed  
.010” (0.254mm) per side.  
JEDEC Equivalent: MO-095  
Drawing No. C04-070  
DS39616B-page 374  
Preliminary  
2003 Microchip Technology Inc.  
PIC18F2331/2431/4331/4431  
28-Lead Plastic Small Outline (SO) – Wide, 300 mil (SOIC)  
E
E1  
p
D
B
2
1
n
h
α
45°  
c
A2  
A
φ
β
L
A1  
Units  
INCHES*  
NOM  
MILLIMETERS  
NOM  
Dimension Limits  
MIN  
MAX  
MIN  
MAX  
n
p
Number of Pins  
Pitch  
28  
28  
.050  
.099  
.091  
.008  
.407  
.295  
.704  
.020  
.033  
4
1.27  
2.50  
2.31  
0.20  
10.34  
7.49  
17.87  
0.50  
0.84  
4
Overall Height  
A
.093  
.104  
2.36  
2.64  
Molded Package Thickness  
Standoff  
A2  
A1  
E
.088  
.004  
.394  
.288  
.695  
.010  
.016  
0
.094  
.012  
.420  
.299  
.712  
.029  
.050  
8
2.24  
0.10  
10.01  
7.32  
17.65  
0.25  
0.41  
0
2.39  
0.30  
10.67  
7.59  
18.08  
0.74  
1.27  
8
§
Overall Width  
Molded Package Width  
Overall Length  
E1  
D
Chamfer Distance  
Foot Length  
h
L
φ
Foot Angle Top  
c
Lead Thickness  
Lead Width  
.009  
.014  
0
.011  
.017  
12  
.013  
.020  
15  
0.23  
0.36  
0
0.28  
0.42  
12  
0.33  
0.51  
15  
B
α
β
Mold Draft Angle Top  
Mold Draft Angle Bottom  
0
12  
15  
0
12  
15  
* Controlling Parameter  
§ Significant Characteristic  
Notes:  
Dimensions D and E1 do not include mold flash or protrusions. Mold flash or protrusions shall not exceed  
.010” (0.254mm) per side.  
JEDEC Equivalent: MS-013  
Drawing No. C04-052  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 375  
PIC18F2331/2431/4331/4431  
40-Lead Plastic Dual In-line (P) – 600 mil (PDIP)  
E1  
D
2
α
n
1
E
A2  
A
L
c
B1  
B
β
A1  
p
eB  
Units  
INCHES*  
NOM  
MILLIMETERS  
Dimension Limits  
MIN  
MAX  
MIN  
NOM  
40  
MAX  
n
p
Number of Pins  
Pitch  
40  
.100  
.175  
.150  
2.54  
Top to Seating Plane  
A
.160  
.190  
.160  
4.06  
3.56  
4.45  
3.81  
4.83  
4.06  
Molded Package Thickness  
Base to Seating Plane  
Shoulder to Shoulder Width  
Molded Package Width  
Overall Length  
A2  
A1  
E
.140  
.015  
.595  
.530  
2.045  
.120  
.008  
.030  
.014  
.620  
5
0.38  
15.11  
13.46  
51.94  
3.05  
0.20  
0.76  
0.36  
15.75  
5
.600  
.545  
2.058  
.130  
.012  
.050  
.018  
.650  
10  
.625  
.560  
2.065  
.135  
.015  
.070  
.022  
.680  
15  
15.24  
13.84  
52.26  
3.30  
0.29  
1.27  
0.46  
16.51  
10  
15.88  
14.22  
52.45  
3.43  
0.38  
1.78  
0.56  
17.27  
15  
E1  
D
Tip to Seating Plane  
Lead Thickness  
L
c
Upper Lead Width  
B1  
B
Lower Lead Width  
Overall Row Spacing  
Mold Draft Angle Top  
§
eB  
α
β
Mold Draft Angle Bottom  
* Controlling Parameter  
§ Significant Characteristic  
5
10  
15  
5
10  
15  
Notes:  
Dimensions D and E1 do not include mold flash or protrusions. Mold flash or protrusions shall not exceed  
.010” (0.254mm) per side.  
JEDEC Equivalent: MO-011  
Drawing No. C04-016  
DS39616B-page 376  
Preliminary  
2003 Microchip Technology Inc.  
PIC18F2331/2431/4331/4431  
44-Lead Plastic Thin Quad Flatpack (PT) 10x10x1 mm Body, 1.0/0.10 mm Lead Form (TQFP)  
E
E1  
#leads=n1  
p
D1  
D
2
1
B
n
°
CH x 45  
α
A
c
φ
β
A1  
A2  
L
(F)  
Units  
INCHES  
NOM  
MILLIMETERS*  
Dimension Limits  
MIN  
MAX  
MIN  
NOM  
44  
MAX  
n
p
Number of Pins  
Pitch  
44  
.031  
11  
0.80  
11  
Pins per Side  
Overall Height  
n1  
A
.039  
.037  
.002  
.018  
.043  
.039  
.004  
.024  
.039  
3.5  
.047  
1.00  
0.95  
1.10  
1.00  
0.10  
0.60  
1.20  
Molded Package Thickness  
Standoff  
A2  
A1  
L
(F)  
φ
.041  
.006  
.030  
1.05  
0.15  
0.75  
§
0.05  
0.45  
1.00  
0
Foot Length  
Footprint (Reference)  
Foot Angle  
0
.463  
.463  
.390  
.390  
.004  
.012  
.025  
5
7
.482  
.482  
.398  
.398  
.008  
.017  
.045  
15  
3.5  
12.00  
12.00  
10.00  
10.00  
0.15  
0.38  
0.89  
10  
7
12.25  
12.25  
10.10  
10.10  
0.20  
0.44  
1.14  
15  
Overall Width  
E
D
.472  
.472  
.394  
.394  
.006  
.015  
.035  
10  
11.75  
11.75  
9.90  
9.90  
0.09  
0.30  
0.64  
5
Overall Length  
Molded Package Width  
Molded Package Length  
Lead Thickness  
E1  
D1  
c
Lead Width  
B
CH  
α
Pin 1 Corner Chamfer  
Mold Draft Angle Top  
Mold Draft Angle Bottom  
β
5
10  
15  
5
10  
15  
* Controlling Parameter  
§ Significant Characteristic  
Notes:  
Dimensions D1 and E1 do not include mold flash or protrusions. Mold flash or protrusions shall not exceed  
.010” (0.254mm) per side.  
JEDEC Equivalent: MS-026  
Drawing No. C04-076  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 377  
PIC18F2331/2431/4331/4431  
44-Lead Plastic Quad Flat No Lead Package (ML) 8x8 mm Body (QFN)  
EXPOSED  
E
METAL  
PAD  
p
D
D2  
2
1
B
n
PIN 1  
INDEX ON  
OPTIONAL PIN 1  
INDEX ON  
TOP MARKING  
E2  
L
EXPOSED PAD  
TOP VIEW  
BOTTOM VIEW  
A
A1  
A3  
Units  
INCHES  
MILLIMETERS*  
NOM  
Dimension Limits  
MIN  
NOM  
MAX  
MIN  
MAX  
n
p
Number of Pins  
Pitch  
44  
44  
.026 BSC  
.035  
0.65 BSC  
Overall Height  
Standoff  
A
A1  
A3  
E
.031  
.000  
.039  
0.80  
0.90  
0.02  
1.00  
.001  
.002  
0
0.05  
Base Thickness  
Overall Width  
Exposed Pad Width  
Overall Length  
Exposed Pad Length  
Lead Width  
.010 REF  
.315 BSC  
.268  
0.25 REF  
8.00 BSC  
6.80  
E2  
D
.262  
.274  
6.65  
6.95  
.315 BSC  
.268  
8.00 BSC  
6.80  
D2  
B
.262  
.012  
.014  
.274  
.013  
.018  
6.65  
0.30  
0.35  
6.95  
0.35  
0.45  
.013  
0.33  
Lead Length  
L
.016  
0.40  
*Controlling Parameter  
Notes:  
Dimensions D and E1 do not include mold flash or protrusions. Mold flash or protrusions shall not  
exceed .010" (0.254mm) per side.  
JEDEC equivalent: M0-220  
Drawing No. C04-103  
DS39616B-page 378  
Preliminary  
2003 Microchip Technology Inc.  
PIC18F2331/2431/4331/4431  
APPENDIX A: REVISION HISTORY  
APPENDIX B: DEVICE  
DIFFERENCES  
Revision A (June 2003)  
The differences between the devices listed in this data  
sheet are shown in Table B-1.  
Original data sheet for PIC18F2331/2431/4331/4431  
devices.  
Revision B (December 2003)  
The Electrical Specifications in Section 25.0 “Electri-  
cal Characteristics” have been updated and there  
have been minor corrections to the data sheet text.  
TABLE B-1:  
DEVICE DIFFERENCES  
Features  
PIC18F2331  
PIC18F2431  
PIC18F4331  
PIC18F4431  
Program Memory (Bytes)  
Program Memory (Instructions)  
Interrupt Sources  
4096  
2048  
22  
8192  
4096  
22  
4096  
2048  
34  
8192  
4096  
34  
I/O Ports  
Ports A, B, C, D, E Ports A, B, C, D, E Ports A, B, C, D, E Ports A, B, C, D, E  
Capture/Compare/PWM Modules  
2
1
2
1
2
1
2
1
Enhanced Capture/Compare/  
PWM Modules  
Parallel Communications (PSP)  
10-bit Analog-to-Digital Module  
No  
No  
Yes  
Yes  
5 input channels  
5 input channels  
9 input channels  
9 input channels  
40-pin DIP  
44-pin TQFP  
44-pin QFN  
40-pin DIP  
44-pin TQFP  
44-pin QFN  
28-pin SDIP  
28-pin SOIC  
28-pin SDIP  
28-pin SOIC  
Packages  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 379  
 
 
 
PIC18F2331/2431/4331/4431  
APPENDIX C: CONVERSION  
CONSIDERATIONS  
APPENDIX D: MIGRATION FROM  
BASELINE TO  
ENHANCED DEVICES  
This appendix discusses the considerations for  
converting from previous versions of a device to the  
ones listed in this data sheet. Typically, these changes  
are due to the differences in the process technology  
used. An example of this type of conversion is from a  
PIC16C74A to a PIC16C74B.  
This section discusses how to migrate from a baseline  
device (i.e., PIC16C5X) to an enhanced MCU device  
(i.e., PIC18FXXX).  
The following are the list of modifications over the  
PIC16C5X microcontroller family:  
Not Applicable  
Not Currently Available  
DS39616B-page 380  
Preliminary  
2003 Microchip Technology Inc.  
 
 
PIC18F2331/2431/4331/4431  
APPENDIX E: MIGRATION FROM  
APPENDIX F: MIGRATION FROM  
HIGH-END TO  
MID-RANGE TO  
ENHANCED DEVICES  
ENHANCED DEVICES  
A detailed discussion of the differences between the  
mid-range MCU devices (i.e., PIC16CXXX) and the  
enhanced devices (i.e., PIC18FXXX) is provided in  
AN716, “Migrating Designs from PIC16C74A/74B to  
PIC18F442.” The changes discussed, while device  
specific, are generally applicable to all mid-range to  
enhanced device migrations.  
A detailed discussion of the migration pathway and  
differences between the high-end MCU devices (i.e.,  
PIC17CXXX) and the enhanced devices (i.e.,  
PIC18FXXX) is provided in AN726, “PIC17CXXX to  
PIC18FXXX Migration.”  
This Application Note is available on Microchip’s web  
site; www.Microchip.com.  
This Application Note is available on Microchip’s web  
site; www.Microchip.com.  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 381  
 
 
PIC18F2331/2431/4331/4431  
NOTES:  
DS39616B-page 382  
Preliminary  
2003 Microchip Technology Inc.  
PIC18F2331/2431/4331/4431  
INDEX  
RC3 Pin ................................................................... 120  
RC4 Pin ................................................................... 120  
A
A/D ................................................................................... 243  
Associated Registers ............................................... 259  
Calculating the Minimum Required  
Acquisition Time ............................................... 254  
Special Event Trigger (CCP) .................................... 154  
Absolute Maximum Ratings ............................................. 337  
AC (Timing) Characteristics ............................................. 353  
Load Conditions for Device  
Timing Specifications ....................................... 354  
Parameter Symbology ............................................. 353  
Temperature and Voltage Specifications ................. 354  
Timing Conditions .................................................... 354  
Access Bank ...................................................................... 70  
ACK Pulse ................................................................ 217, 218  
ADDLW ............................................................................ 293  
ADDWF ............................................................................ 293  
ADDWFC ......................................................................... 294  
Analog-to-Digital Converter. See A/D.  
RC6 Pin ................................................................... 121  
RC7 Pin ................................................................... 122  
RD0 Pin ................................................................... 127  
RD1 Pin ................................................................... 127  
RD2 Pin ................................................................... 126  
RD3 Pin ................................................................... 126  
RD4 Pin ................................................................... 125  
RD5 Pin ................................................................... 125  
RD7:RD6 Pins ......................................................... 124  
RE2:RE0 Pins .......................................................... 130  
RE3 Pin ................................................................... 130  
Reads from Flash Program Memory .......................... 79  
2
SSP (I C Mode) ....................................................... 217  
SSP (SPI Mode) ...................................................... 214  
System Clock ............................................................. 27  
Table Read Operation ............................................... 75  
Table Write Operation ................................................ 76  
Table Writes to Flash Program Memory .................... 81  
Timer0 in 16-bit Mode .............................................. 134  
Timer0 in 8-bit Mode ................................................ 134  
Timer1 ..................................................................... 138  
Timer1 (16-bit Read/Write Mode) ............................ 138  
Timer2 ..................................................................... 144  
Timer5 ..................................................................... 146  
USART Receive ....................................................... 233  
USART Transmit ...................................................... 231  
Watchdog Timer ...................................................... 278  
BN .................................................................................... 296  
BNC ................................................................................. 297  
BNN ................................................................................. 297  
BNOV ............................................................................... 298  
BNZ .................................................................................. 298  
BOR. See Brown-out Reset.  
BOV ................................................................................. 301  
BRA ................................................................................. 299  
Break Character (12-bit) Transmit and Receive .............. 236  
Brown-out Reset (BOR) ..............................................46, 267  
BSF .................................................................................. 299  
BTFSC ............................................................................. 300  
BTFSS ............................................................................. 300  
BTG ................................................................................. 301  
BZ .................................................................................... 302  
ANDLW ............................................................................ 294  
ANDWF ............................................................................ 295  
Application Notes  
2
AN578 (Use of the SSP Module in the I C  
Multi-Master Environment) ............................... 211  
Assembler  
MPASM Assembler .................................................. 331  
Auto-Wake-up on Sync Break Character ......................... 235  
B
Bank Select Register (BSR) ............................................... 70  
BC .................................................................................... 295  
BCF .................................................................................. 296  
BF bit ................................................................................ 212  
Block Diagrams  
Analog Input Model .................................................. 254  
Capture Mode Operation ......................................... 153  
Compare Mode Operation ....................................... 154  
External Power-on Reset Circuit  
(Slow VDD Power-up) ......................................... 46  
Fail-Safe Clock Monitor ............................................ 281  
Generic I/O Port ....................................................... 107  
Interrupt Logic ............................................................ 92  
Low-Voltage Detect (LVD) ....................................... 262  
Low-Voltage Detect (LVD) with External Input ......... 262  
On-Chip Reset Circuit ................................................ 45  
PIC18F2331/2431 ...................................................... 10  
PIC18F4331/4431 ...................................................... 11  
PLL ............................................................................. 22  
PWM (Standard) ...................................................... 156  
RA0 Pin .................................................................... 108  
RA1 Pin .................................................................... 108  
RA3:RA2 Pins .......................................................... 108  
RA4 Pin .................................................................... 109  
RA5 Pin .................................................................... 110  
RA6 Pin .................................................................... 110  
RB3:RB0 Pins .......................................................... 113  
RB4 Pin .................................................................... 114  
RB5 Pin ............................................................ 115, 121  
RB7:RB6 Pins .......................................................... 116  
RC0 Pin .................................................................... 118  
RC1 Pin .................................................................... 119  
RC2 Pin .................................................................... 119  
C
C Compilers  
MPLAB C17 ............................................................. 332  
MPLAB C18 ............................................................. 332  
MPLAB C30 ............................................................. 332  
CALL ................................................................................ 302  
Capture (CCP Module) .................................................... 153  
Associated Registers ............................................... 155  
CCP Pin Configuration ............................................. 153  
CCPR1H:CCPR1L Registers ................................... 153  
Software Interrupt .................................................... 153  
Timer1 Mode Selection ............................................ 153  
Capture/Compare/PWM (CCP) ....................................... 151  
Capture Mode. See Capture.  
CCP1 ....................................................................... 152  
CCPR1H Register ........................................... 152  
CCPR1L Register ............................................ 152  
2003 Microchip Technology Inc.  
DS39616B-page 383  
PIC18F2331/2431/4331/4431  
CCP2 ........................................................................152  
CCPR2H Register ............................................152  
CCPR2L Register ............................................152  
Compare Mode. See Compare.  
Operation During Code-Protect ................................. 88  
Protection Against Spurious Write ............................. 87  
Reading ..................................................................... 87  
Using .......................................................................... 88  
Write Verify ................................................................ 87  
Writing ........................................................................ 87  
Data Memory ..................................................................... 63  
General Purpose Registers ....................................... 63  
Map for PIC18F2X31/4X31 ........................................ 64  
Special Function Registers ........................................ 65  
Data/Address Bit (D/A) ..................................................... 212  
DAW ................................................................................ 306  
DC and AC Characteristics  
Graphs and Tables (Preliminary) ............................. 371  
DC Characteristics ............................................339, 340, 349  
DCFSNZ .......................................................................... 307  
DECF ............................................................................... 306  
DECFSZ .......................................................................... 307  
Demonstration Boards  
PICDEM 1 ................................................................ 334  
PICDEM 17 .............................................................. 334  
PICDEM 18R PIC18C601/801 ................................. 335  
PICDEM 2 Plus ........................................................ 334  
PICDEM 3 PIC16C92X ............................................ 334  
PICDEM 4 ................................................................ 334  
PICDEM LIN PIC16C43X ........................................ 335  
PICDEM USB PIC16C7X5 ...................................... 335  
PICDEM.net Internet/Ethernet ................................. 334  
Development Support ...................................................... 331  
Device Differences ........................................................... 379  
Device Overview .................................................................. 7  
Features (table) ........................................................... 9  
New Core Features ...................................................... 7  
Other Special Features ................................................ 8  
Direct Addressing ............................................................... 72  
PWM Mode. See PWM.  
Timer Resources ......................................................152  
CKE bit .............................................................................212  
CKP bit .............................................................................213  
Clock Sources ....................................................................26  
Selection Using OSCCON Register ...........................26  
Clocking Scheme/Instruction Cycle ....................................61  
CLRF ................................................................................303  
CLRWDT ..........................................................................303  
Code Examples  
16 x 16 Signed Multiply Routine .................................90  
16 x 16 Unsigned Multiply Routine .............................90  
8 x 8 Signed Multiply Routine .....................................89  
8 x 8 Unsigned Multiply Routine .................................89  
Changing Between Capture Prescalers ...................153  
Computed GOTO Using an Offset Value ...................63  
Data EEPROM Read .................................................87  
Data EEPROM Refresh Routine ................................88  
Data EEPROM Write ..................................................87  
Erasing a Flash Program Memory Row .....................80  
Fast Register Stack ....................................................60  
How to Clear RAM (Bank 1) Using Indirect  
Addressing .........................................................71  
Implementing a Real-Time Clock Using a  
Timer1 Interrupt Service ..................................141  
Initializing PORTA ....................................................107  
Initializing PORTB ....................................................112  
Initializing PORTC ....................................................118  
Initializing PORTD ....................................................124  
Initializing PORTE ....................................................129  
Reading a Flash Program Memory Word ...................79  
Saving Status, WREG and  
E
BSR Registers in RAM .....................................106  
Writing to Flash Program Memory ....................... 8283  
Code Protection ....................................................... 267, 283  
COMF ...............................................................................304  
Compare (CCP Module) ...................................................154  
Associated Registers ...............................................155  
CCP Pin Configuration .............................................154  
CCPR1 Register .......................................................154  
Software Interrupt .....................................................154  
Special Event Trigger ...............................................154  
Timer1 Mode Selection ............................................154  
Computed GOTO ...............................................................63  
Configuration Bits .............................................................267  
Configuration Register Protection ....................................286  
Context Saving During Interrupts .....................................106  
Control Registers  
Effects of Power Managed Modes on Various  
Clock Sources ............................................................ 29  
Electrical Characteristics .................................................. 337  
Enhanced Universal Synchronous Asynchronous  
Receiver Transmitter (USART) ................................ 221  
Equations  
16 x 16 Signed Multiplication Algorithm ..................... 90  
16 x 16 Unsigned Multiplication Algorithm ................. 90  
A/D Acquisition Time ............................................... 253  
A/D Minimum Charging Time ................................... 253  
Errata ................................................................................... 6  
Evaluation and Programming Tools ................................. 335  
External Clock Input ........................................................... 23  
F
Fail-Safe Clock Monitor .............................................267, 281  
Interrupts in Power-Managed Modes ....................... 282  
POR or Wake from Sleep ........................................ 282  
WDT During Oscillator Failure ................................. 281  
Fast Register Stack ............................................................ 60  
Firmware Instructions ....................................................... 287  
Flash Program Memory ..................................................... 75  
Associated Registers ................................................. 83  
Control Registers ....................................................... 76  
Erase Sequence ........................................................ 80  
Erasing ....................................................................... 80  
Operation During Code-Protect ................................. 83  
Reading ..................................................................... 79  
TABLAT Register ....................................................... 78  
EECON1 and EECON2 ..............................................76  
Conversion Considerations ..............................................380  
CPFSEQ ..........................................................................304  
CPFSGT ...........................................................................305  
CPFSLT ...........................................................................305  
Crystal Oscillator/Ceramic Resonator ................................21  
D
D/A Bit ..............................................................................212  
Data EEPROM Code Protection ......................................286  
Data EEPROM Memory .....................................................85  
Associated Registers .................................................88  
EEADR Register ........................................................85  
EECON1 and EECON2 Registers .............................85  
DS39616B-page 384  
2003 Microchip Technology Inc.  
PIC18F2331/2431/4331/4431  
Table Pointer .............................................................. 78  
BZ ............................................................................ 302  
CALL ........................................................................ 302  
CLRF ....................................................................... 303  
CLRWDT ................................................................. 303  
COMF ...................................................................... 304  
CPFSEQ .................................................................. 304  
CPFSGT .................................................................. 305  
CPFSLT ................................................................... 305  
DAW ........................................................................ 306  
DCFSNZ .................................................................. 307  
DECF ....................................................................... 306  
DECFSZ .................................................................. 307  
GOTO ...................................................................... 308  
INCF ........................................................................ 308  
INCFSZ .................................................................... 309  
INFSNZ .................................................................... 309  
IORLW ..................................................................... 310  
IORWF ..................................................................... 310  
LFSR ....................................................................... 311  
MOVF ...................................................................... 311  
MOVFF .................................................................... 312  
MOVLB .................................................................... 312  
MOVLW ................................................................... 313  
MOVWF ................................................................... 313  
MULLW .................................................................... 314  
MULWF .................................................................... 314  
NEGF ....................................................................... 315  
NOP ......................................................................... 315  
POP ......................................................................... 316  
PUSH ....................................................................... 316  
RCALL ..................................................................... 317  
RESET ..................................................................... 317  
RETFIE .................................................................... 318  
RETLW .................................................................... 318  
RETURN .................................................................. 319  
RLCF ....................................................................... 319  
RLNCF ..................................................................... 320  
RRCF ....................................................................... 320  
RRNCF .................................................................... 321  
SETF ....................................................................... 321  
SLEEP ..................................................................... 322  
SUBFWB ................................................................. 322  
SUBLW .................................................................... 323  
SUBWF .................................................................... 323  
SUBWFB ................................................................. 324  
SWAPF .................................................................... 325  
TBLRD ..................................................................... 326  
TBLWT .................................................................... 327  
TSTFSZ ................................................................... 328  
XORLW ................................................................... 328  
XORWF ................................................................... 329  
Summary Table ....................................................... 290  
Instructions in Program Memory ........................................ 62  
Two-Word Instructions ............................................... 62  
INTCON Register  
Boundaries Based on Operation ........................ 78  
Table Pointer Boundaries .......................................... 78  
Table Reads and Table Writes .................................. 75  
Unexpected Termination of Write Operation .............. 83  
Write Verify ................................................................ 83  
Writing to .................................................................... 81  
FSCM. See Fail-Safe Clock Monitor.  
G
GOTO ............................................................................... 308  
H
Hardware Multiplier ............................................................ 89  
Introduction ................................................................ 89  
Operation ................................................................... 89  
Performance Comparison .......................................... 89  
HSPLL ................................................................................ 22  
I
I/O Ports ........................................................................... 107  
I C Mode  
2
Addressing ............................................................... 218  
Associated Registers ............................................... 220  
Master Mode ............................................................ 220  
Mode Selection ........................................................ 217  
Multi-Master Mode ................................................... 220  
Operation ................................................................. 217  
Reception ................................................................. 218  
Slave Mode  
SCL and SDA Pins ........................................... 217  
Transmission ............................................................ 219  
ID Locations ............................................................. 267, 286  
INCF ................................................................................. 308  
INCFSZ ............................................................................ 309  
In-Circuit Debugger .......................................................... 286  
In-Circuit Serial Programming (ICSP) ...................... 267, 286  
Indirect Addressing  
INDF and FSR Registers ........................................... 71  
Operation ................................................................... 71  
Indirect Addressing Operation ............................................ 72  
Indirect File Operand .......................................................... 63  
INFSNZ ............................................................................ 309  
Initialization Conditions for all Registers ...................... 4851  
Instruction Cycle ................................................................. 61  
Instruction Flow/Pipelining ................................................. 61  
Instruction Format ............................................................ 289  
Instruction Set .................................................................. 287  
ADDLW .................................................................... 293  
ADDWF .................................................................... 293  
ADDWFC ................................................................. 294  
ANDLW .................................................................... 294  
ANDWF .................................................................... 295  
BC ............................................................................ 295  
BCF .......................................................................... 296  
BN ............................................................................ 296  
BNC ......................................................................... 297  
BNN ......................................................................... 297  
BNOV ....................................................................... 298  
BNZ .......................................................................... 298  
BOV ......................................................................... 301  
BRA .......................................................................... 299  
BSF .......................................................................... 299  
BTFSC ..................................................................... 300  
BTFSS ..................................................................... 300  
BTG .......................................................................... 301  
RBIF Bit ................................................................... 112  
INTCON Registers ............................................................. 93  
2
2
Inter-Integrated Circuit (I C). See I C Mode.  
Internal Oscillator Block ..................................................... 24  
Adjustment ................................................................. 24  
INTIO Modes ............................................................. 24  
INTRC Output Frequency .......................................... 24  
OSCTUNE Register ................................................... 24  
Internal RC Oscillator  
Use with WDT .......................................................... 278  
2003 Microchip Technology Inc.  
DS39616B-page 385  
PIC18F2331/2431/4331/4431  
Interrupt Sources ..............................................................267  
O
Capture Complete (CCP) .........................................153  
Opcode Field Descriptions ............................................... 288  
OPTION_REG Register  
Compare Complete (CCP) .......................................154  
Interrupt-on-Change (RB7:RB4) ..............................112  
INTn Pin ...................................................................106  
PORTB, Interrupt-on-Change ..................................106  
TMR0 .......................................................................106  
TMR1 Overflow ........................................................137  
TMR2 to PR2 Match .................................................144  
TMR2 to PR2 Match (PWM) ............................ 143, 156  
Interrupts ............................................................................91  
Interrupts, Enable Bits  
PSA Bit .................................................................... 135  
T0CS Bit .................................................................. 135  
T0PS2:T0PS0 Bits ................................................... 135  
T0SE Bit ................................................................... 135  
Oscillator Configuration ...................................................... 21  
EC .............................................................................. 21  
ECIO .......................................................................... 21  
HS .............................................................................. 21  
HSPLL ....................................................................... 21  
Internal Oscillator Block ............................................. 24  
INTIO1 ....................................................................... 21  
INTIO2 ....................................................................... 21  
LP .............................................................................. 21  
RC .............................................................................. 21  
RCIO .......................................................................... 21  
XT .............................................................................. 21  
Oscillator Selection .......................................................... 267  
Oscillator Start-up Timer (OST) ....................................29, 46  
Oscillator Switching ............................................................ 26  
Oscillator Transitions ......................................................... 28  
Oscillator, Timer1 ............................................................. 137  
CCP1 Enable (CCP1IE Bit) ......................................153  
Interrupts, Flag Bits  
CCP1 Flag (CCP1IF Bit) ..........................................153  
CCP1IF Flag (CCP1IF Bit) .......................................154  
Interrupt-on-Change (RB7:RB4) Flag (RBIF Bit) ......112  
INTOSC Frequency Drift ....................................................42  
INTOSC, INTRC. See Internal Oscillator Block.  
IORLW .............................................................................310  
IORWF .............................................................................310  
IPR Registers ...................................................................102  
L
LFSR ................................................................................311  
Look-up Tables ..................................................................63  
Low-Voltage Detect ..........................................................261  
Low-Voltage Detect  
Characteristics .........................................................352  
Effects of a Reset .....................................................265  
Operation .................................................................264  
Current Consumption .......................................265  
Reference Voltage Set Point ............................265  
Operation During Sleep ............................................265  
Low-Voltage ICSP Programming .....................................286  
LVD. See Low-Voltage Detect.  
P
P (Stop) bit ....................................................................... 212  
Packaging Information ..................................................... 373  
Marking .................................................................... 373  
PICkit 1 Flash Starter Kit .................................................. 335  
PICSTART Plus Development Programmer .................... 333  
PIE Registers ..................................................................... 99  
Pin Functions  
MCLR/VPP/RE3 ....................................................12, 15  
OSC1/CLKI/RA7 ...................................................12, 15  
OSC2/CLKO/RA6 .................................................12, 15  
RA0/AN0 ...............................................................12, 15  
RA1/AN1 ...............................................................12, 15  
RA2/AN2/VREF-/CAP1/INDX ................................12, 15  
RA3/AN3/VREF+/CAP2/QEA .................................12, 15  
RA4/AN4/CAP3/QEB ................................................. 15  
RA4/CAP3/QEB ......................................................... 12  
RA5/AN5/LVDIN ........................................................ 15  
RB0/PWM0 ...........................................................13, 16  
RB1/PWM1 ...........................................................13, 16  
RB2/PWM2 ...........................................................13, 16  
RB3/PWM3 ...........................................................13, 16  
RB4/KBI0/PWM5 ....................................................... 16  
RB4/PWM5 ................................................................ 13  
RB5/KBI1/PWM4/PGM .........................................13, 16  
RB6/KBI2/PGC .....................................................13, 16  
RB7/KBI3/PGD .....................................................13, 16  
RC0/T1OSO/T1CKI ..............................................14, 17  
RC1/T1OSI/CCP2/FLTA .......................................14, 17  
RC2/CCP1/FLTB ..................................................14, 17  
RC3/T0CKI/T5CKI/INT0 .......................................14, 17  
RC4/INT1/SDI/SDA ..............................................14, 17  
RC5/INT2/SCK/SCL .............................................14, 17  
RC6/TX/CK/SS .....................................................14, 17  
RC7/RX/DT/SDO ..................................................14, 17  
RD0/T0CKI/T5CKI ..................................................... 18  
RD1/SDO ................................................................... 18  
RD2/SDI/SDA ............................................................ 18  
RD3/SCK/SCL ........................................................... 18  
RD4/FLTA .................................................................. 18  
M
Memory Organization .........................................................57  
Data Memory ..............................................................63  
Program Memory .......................................................57  
Memory Programming Requirements ..............................351  
Migration from Baseline to Enhanced Devices ................380  
Migration from High-End to Enhanced Devices ...............381  
Migration from Mid-Range to Enhanced Devices .............381  
MOVF ...............................................................................311  
MOVFF .............................................................................312  
MOVLB .............................................................................312  
MOVLW ............................................................................313  
MOVWF ...........................................................................313  
MPLAB ASM30 Assembler, Linker, Librarian ..................332  
MPLAB ICD 2 In-Circuit Debugger ...................................333  
MPLAB ICE 2000 High Performance Universal  
In-Circuit Emulator ...................................................333  
MPLAB ICE 4000 High Performance Universal I  
n-Circuit Emulator ....................................................333  
MPLAB Integrated Development  
Environment Software ..............................................331  
MPLINK Object Linker/MPLIB Object Librarian ...............332  
MULLW ............................................................................314  
MULWF ............................................................................314  
N
NEGF ...............................................................................315  
NOP .................................................................................315  
DS39616B-page 386  
2003 Microchip Technology Inc.  
PIC18F2331/2431/4331/4431  
RD5/PWM4 ................................................................ 18  
Program Counter  
PCL Register ............................................................. 60  
PCLATH Register ...................................................... 60  
PCLATU Register ...................................................... 60  
Program Memory  
RD6/PWM6 ................................................................ 18  
RD7/PWM7 ................................................................ 18  
RE0/AN6 .................................................................... 19  
RE1/AN7 .................................................................... 19  
RE2/AN8 .................................................................... 19  
VDD ....................................................................... 14, 19  
VSS ....................................................................... 14, 19  
Pinout I/O Descriptions  
PIC18F2331/2431 ...................................................... 12  
PIC18F4331/4431 ...................................................... 15  
PIR Registers ..................................................................... 96  
PLL Lock Time-out ............................................................. 46  
Pointer, FSRn ..................................................................... 71  
POP .................................................................................. 316  
POR. See Power-on Reset.  
Interrupt Vector .......................................................... 57  
Map and Stack  
PIC18F2331/4331 ............................................. 57  
PIC18F2431/4431 ............................................. 57  
Reset Vector .............................................................. 57  
Program Memory Code Protection .................................. 284  
Program Verification ........................................................ 283  
Program Verification and Code Protection  
Associated Registers ............................................... 283  
Programming, Device Instructions ................................... 287  
Pulse Width Modulation. See PWM (CCP Module)  
and PWM (ECCP Module).  
PORTA  
Associated Registers ............................................... 111  
LATA Register .......................................................... 107  
PORTA Register ...................................................... 107  
TRISA Register ........................................................ 107  
PORTB  
Associated Registers ............................................... 117  
LATB Register .......................................................... 112  
PORTB Register ...................................................... 112  
RB7:RB4 Interrupt-on-Change Flag (RBIF Bit) ........ 112  
TRISB Register ........................................................ 112  
PORTC  
Associated Registers ............................................... 123  
LATC Register ......................................................... 118  
PORTC Register ...................................................... 118  
TRISC Register ........................................................ 118  
PORTD  
PUSH ............................................................................... 316  
PUSH and POP Instructions .............................................. 59  
PWM (CCP Module) ........................................................ 156  
Associated Registers ............................................... 157  
CCPR1H:CCPR1L Registers ................................... 156  
Duty Cycle ............................................................... 156  
Example Frequencies/Resolutions .......................... 157  
Period ...................................................................... 156  
Set-up for PWM Operation ...................................... 157  
TMR2 to PR2 Match .........................................143, 156  
Q
Q Clock ............................................................................ 157  
QEI Sampling Modes ....................................................... 172  
R
R/W bit ..............................................................212, 218, 219  
RAM. See Data Memory.  
RC Oscillator ...................................................................... 23  
RCIO Oscillator Mode ................................................ 23  
RCALL ............................................................................. 317  
RCON Register  
Bit Status During Initialization .................................... 47  
Bits and Positions ...................................................... 47  
RCSTA Register  
SPEN Bit .................................................................. 221  
Receive Overflow Indicator Bit (SSPOV) ......................... 213  
Register File ....................................................................... 63  
Registers  
Associated Registers ............................................... 128  
LATD Register ......................................................... 124  
PORTD Register ...................................................... 124  
TRISD Register ........................................................ 124  
PORTE  
Associated Registers ............................................... 132  
LATE Register .......................................................... 129  
PORTE Register ...................................................... 129  
TRISE Register ........................................................ 129  
Postscaler, WDT  
Assignment (PSA Bit) .............................................. 135  
Rate Select (T0PS2:T0PS0 Bits) ............................. 135  
Power-Managed Modes ..................................................... 31  
Entering ...................................................................... 32  
Idle Modes ................................................................. 33  
Run Modes ................................................................. 38  
Selecting .................................................................... 31  
Sleep Mode ................................................................ 33  
Summary (table) ........................................................ 31  
Wake from .................................................................. 40  
Power-on Reset (POR) .............................................. 46, 267  
Oscillator Start-up Timer (OST) ......................... 46, 267  
Power-up Timer (PWRT) ................................... 46, 267  
Time-out Sequence .................................................... 46  
Power-up Delays ................................................................ 29  
Power-up Timer (PWRT) .............................................. 29, 46  
Prescaler, Capture ........................................................... 153  
Prescaler, Timer0 ............................................................. 135  
Assignment (PSA Bit) .............................................. 135  
Rate Select (T0PS2:T0PS0 Bits) ............................. 135  
Prescaler, Timer2 ............................................................. 157  
PRO MATE II Universal Device Programmer .................. 333  
BAUDCTL (Baud Rate Control) ............................... 224  
CCPxCON (Capture/Compare/PWM Control) ......... 151  
CONFIG1H (Configuration 1 High) .......................... 268  
CONFIG2H (Configuration 2 High) ...................270, 271  
CONFIG2L (Configuration 2 Low) ........................... 269  
CONFIG3H (Configuration 3 High) .......................... 272  
CONFIG4L (Configuration 4 Low) ........................... 273  
CONFIG5H (Configuration 5 High) .......................... 274  
CONFIG6H (Configuration 6 High) .......................... 275  
CONFIG6L (Configuration 6 Low) ........................... 275  
CONFIG7H (Configuration 7 High) .......................... 276  
CONFIG7L (Configuration 7 Low) ........................... 276  
Device ID Register 1 ................................................ 277  
Device ID Register 2 ................................................ 277  
EECON1 (Data EEPROM Control 1) ....................77, 86  
INTCON (Interrupt Control) ........................................ 93  
INTCON2 (Interrupt Control 2) ................................... 94  
INTCON3 (Interrupt Control 3) ................................... 95  
IPR1 (Peripheral Interrupt Priority 1) ....................... 102  
IPR2 (Peripheral Interrupt Priority 2) ....................... 103  
2003 Microchip Technology Inc.  
DS39616B-page 387  
PIC18F2331/2431/4331/4431  
LVDCON (LVD Control) ...........................................263  
OSCCON (Oscillator Control) ....................................28  
OSCTUNE (Oscillator Tuning) ...................................25  
PIE1 (Peripheral Interrupt Enable 1) ..........................99  
PIE2 (Peripheral Interrupt Enable 2) ........................100  
PIR1 (Peripheral Interrupt Request (Flag) 1) .............96  
PIR2 (Peripheral Interrupt Request (Flag) 2) .............97  
RCON (Reset Control) ....................................... 74, 105  
RCSTA (Receive Status and Control) ......................223  
SSPCON (Sync Serial Port Control) Register ..........213  
SSPSTAT (Sync Serial Port Status) Register ..........212  
Status .........................................................................73  
STKPTR (Stack Pointer) ............................................59  
Summary .............................................................. 6668  
T0CON (Timer0 Control) ..........................................133  
T1CON (Timer 1 Control) .........................................137  
T2CON (Timer 2 Control) .........................................143  
TRISE .......................................................................131  
TXSTA (Transmit Status and Control) .....................222  
WDTCON (Watchdog Timer Control) .......................278  
Reset .......................................................................... 45, 317  
Resets ..............................................................................267  
RETFIE ............................................................................318  
RETLW .............................................................................318  
RETURN ..........................................................................319  
Return Address Stack ........................................................58  
Return Stack Pointer (STKPTR) ........................................58  
Revision History ...............................................................379  
RLCF ................................................................................319  
RLNCF .............................................................................320  
RRCF ...............................................................................320  
RRNCF .............................................................................321  
SSPM<3:0> Bits .............................................................. 213  
SSPOV Bit ....................................................................... 213  
Stack Full/Underflow Resets .............................................. 59  
SUBFWB ......................................................................... 322  
SUBLW ............................................................................ 323  
SUBWF ............................................................................ 323  
SUBWFB ......................................................................... 324  
SWAPF ............................................................................ 325  
Synchronous Serial Port Enable Bit (SSPEN) ................. 213  
Synchronous Serial Port Mode Select Bits  
(SSPM<3:0>) ........................................................... 213  
Synchronous Serial Port. See SSP.  
T
TABLAT Register ............................................................... 78  
Table Pointer Operations (table) ........................................ 78  
Table Reads/Table Writes ................................................. 63  
TBLPTR Register ............................................................... 78  
TBLRD ............................................................................. 326  
TBLWT ............................................................................. 327  
Time-out in Various Situations (table) ................................ 47  
Timer0 .............................................................................. 133  
16-bit Mode Timer Reads and Writes ...................... 135  
Associated Registers ............................................... 135  
Clock Source Edge Select (T0SE Bit) ..................... 135  
Clock Source Select (T0CS Bit) ............................... 135  
Interrupt ................................................................... 135  
Operation ................................................................. 135  
Prescaler. See Prescaler, Timer0.  
Switching Prescaler Assignment ............................. 135  
Timer1 .............................................................................. 137  
16-bit Read/Write Mode ........................................... 140  
Associated Registers ............................................... 141  
Interrupt ................................................................... 140  
Operation ................................................................. 138  
Oscillator ...........................................................137, 139  
Oscillator Layout Considerations ............................. 139  
Overflow Interrupt .................................................... 137  
Resetting, Using a Special Event Trigger  
Output (CCP) ................................................... 140  
Special Event Trigger (CCP) ................................... 154  
TMR1H Register ...................................................... 137  
TMR1L Register ....................................................... 137  
Use as a Real-Time Clock ....................................... 140  
Timer2 .............................................................................. 143  
Associated Registers ............................................... 144  
Operation ................................................................. 143  
Postscaler. See Postscaler, Timer2.  
PR2 Register ....................................................143, 156  
Prescaler. See Prescaler, Timer2.  
SSP Clock Shift ................................................143, 144  
TMR2 Register ......................................................... 143  
TMR2 to PR2 Match Interrupt ...................143, 144, 156  
Timer5  
S
S (Start) bit .......................................................................212  
SCK ..................................................................................211  
SCL ..................................................................................217  
SDI ...................................................................................211  
SDO .................................................................................211  
Serial Clock (SCK) Pin .....................................................211  
Serial Data In (SDI) Pin ....................................................211  
Serial Data Out (SDO) Pin ...............................................211  
SETF ................................................................................321  
Slave Select (SS) Pin .......................................................211  
Sleep ................................................................................322  
OSC1 and OSC2 Pin States ......................................29  
SMP bit .............................................................................212  
Software Simulator (MPLAB SIM) ....................................332  
Software Simulator (MPLAB SIM30) ................................332  
Special Event Trigger. See Compare (CCP Module).  
Special Features of the CPU ............................................267  
Special Function Registers ................................................65  
Map ............................................................................65  
SPI Mode .........................................................................211  
Associated Registers ...............................................216  
Serial Clock ..............................................................211  
Serial Data In ...........................................................211  
Serial Data Out .........................................................211  
Slave Select .............................................................211  
SS ....................................................................................211  
SSP  
Block Diagram ......................................................... 146  
Timing Diagrams  
Asynchronous Reception ......................................... 234  
Asynchronous Transmission .................................... 231  
Asynchronous Transmission (Back to Back) ........... 231  
Auto-Wake-up Bit (WUE) During  
Normal Operation ............................................ 235  
Auto-Wake-up Bit (WUE) During Sleep ................... 235  
Brown-out Reset (BOR) ........................................... 358  
Capture/Compare/PWM (CCP) ............................... 360  
CLKO and I/O .......................................................... 357  
Clock, Instruction Cycle ............................................. 61  
Overview  
TMR2 Output for Clock Shift ............................ 143, 144  
2
SSP I C Operation ...........................................................217  
Slave Mode ..............................................................217  
SSPEN Bit ........................................................................213  
DS39616B-page 388  
2003 Microchip Technology Inc.  
PIC18F2331/2431/4331/4431  
2
Example SPI Master Mode (CKE = 0) ..................... 361  
I C Bus Data Requirements (Slave Mode) .............. 366  
2
Example SPI Master Mode (CKE = 1) ..................... 362  
Example SPI Slave Mode (CKE = 0) ....................... 363  
Example SPI Slave Mode (CKE = 1) ....................... 364  
External Clock (All Modes except PLL) .................... 355  
Fail-Safe Clock Monitor ............................................ 282  
Master SSP I C Bus Data Requirements ................ 368  
2
Master SSP I C Bus Start/Stop Bits  
Requirements .................................................. 367  
PLL Clock ................................................................ 356  
RESET, Watchdog Timer, Oscillator Start-up  
Timer, Power-up Timer and Brown-out  
Reset Requirements ........................................ 358  
Timer0 and Timer1 External Clock Requirements ... 359  
USART Synchronous Receive Requirements ......... 369  
USART Synchronous Transmission  
2
I C Bus Data ............................................................ 365  
2
I C Bus Start/Stop Bits ............................................. 365  
2
I C Reception (7-bit Address) .................................. 219  
2
I C Transmission (7-bit Address) ............................. 219  
Low-Voltage Detect .................................................. 264  
Low-Voltage Detect Characteristics ......................... 352  
Requirements .................................................. 369  
Top-of-Stack Access .......................................................... 58  
TSTFSZ ........................................................................... 328  
Two-Speed Start-up ..................................................267, 279  
Two-Word Instructions  
2
Master SSP I C Bus Data ........................................ 367  
2
Master SSP I C Bus Start/Stop Bits ........................ 367  
PWM Output ............................................................ 156  
Reset, Watchdog Timer (WDT), Oscillator Start-up  
Timer (OST), Power-up Timer (PWRT) ........... 358  
Send Break Character Sequence ............................ 236  
Slow Rise Time (MCLR Tied to VDD,  
Example Cases .......................................................... 62  
TXSTA Register  
BRGH Bit ................................................................. 225  
VDD Rise > TPWRT) ............................................ 55  
SPI Mode (Master Mode) ......................................... 215  
SPI Mode (Slave Mode with CKE = 0) ..................... 215  
SPI Mode (Slave Mode with CKE = 1) ..................... 216  
Synchronous Reception (Master Mode, SREN) ...... 239  
Synchronous Transmission ...................................... 237  
Synchronous Transmission (Through TXEN) .......... 238  
Time-out Sequence on POR w/PLL Enabled  
(MCLR Tied to VDD) ........................................... 55  
Time-out Sequence on Power-up (MCLR Not  
Tied to VDD): Case 1 .......................................... 54  
Time-out Sequence on Power-up (MCLR Not  
U
UA .................................................................................... 212  
Update Address bit, UA ................................................... 212  
USART  
Asynchronous Mode ................................................ 230  
12-bit Break Transmit and Receive ................. 236  
Associated Registers, Receive ........................ 234  
Associated Registers, Transmit ....................... 232  
Auto-Wake-up on Sync Break ......................... 235  
Receiver .......................................................... 233  
Setting up 9-bit Mode with Address Detect ..... 233  
Transmitter ...................................................... 230  
Baud Rate Generator (BRG) ................................... 225  
Associated Registers ....................................... 226  
Auto-Baud Rate Detect .................................... 229  
Baud Rate Error, Calculating ........................... 225  
Baud Rates, Asynchronous Modes ................. 226  
High Baud Rate Select (BRGH Bit) ................. 225  
Power-Managed Mode Operation ................... 225  
Sampling .......................................................... 225  
Serial Port Enable (SPEN Bit) ................................. 221  
Synchronous Master Mode ...................................... 237  
Associated Registers, Reception ..................... 240  
Associated Registers, Transmit ....................... 238  
Reception ........................................................ 239  
Transmission ................................................... 237  
Synchronous Slave Mode ........................................ 241  
Associated Registers, Receive ........................ 242  
Associated Registers, Transmit ....................... 241  
Reception ........................................................ 242  
Transmission ................................................... 241  
Tied to VDD): Case 2 .......................................... 54  
Time-out Sequence on Power-up (MCLR  
Tied to VDD, VDD Rise < TPWRT) ........................ 54  
Timer0 and Timer1 External Clock .......................... 359  
Transition for Entry to SEC_IDLE Mode .................... 36  
Transition for Entry to SEC_RUN Mode .................... 38  
Transition for Entry to Sleep Mode ............................ 34  
Transition for Two-Speed Start-up  
(INTOSC to HSPLL) ......................................... 280  
Transition for Wake from RC_RUN Mode  
(RC_RUN to NFP) ............................................. 37  
Transition for Wake from SEC_RUN Mode  
(Secondary Clock to HSPLL) ............................. 36  
Transition for Wake from Sleep (HSPLL) ................... 34  
Transition Timing For Wake From PRI_IDLE Mode ... 35  
Transition Timing to PRI_IDLE Mode ........................ 35  
Transition to RC_IDLE Mode ..................................... 37  
Transition to RC_RUN Mode ..................................... 39  
USART Synchronous Receive ( Master/Slave) ........ 369  
USART SynchronousTransmission  
(Master/Slave) .................................................. 369  
Timing Diagrams and Specifications ................................ 355  
Capture/Compare/PWM Requirements ................... 360  
CLKO and I/O Requirements ................................... 357  
DC Characteristics - Internal RC Accuracy .............. 356  
Example SPI Mode Requirements  
W
Watchdog Timer (WDT) ............................................267, 278  
Associated Registers ............................................... 279  
Control Register ....................................................... 278  
During Oscillator Failure .......................................... 281  
Programming Considerations .................................. 278  
WCOL bit ......................................................................... 213  
Write Collision Detect bit (WCOL) ................................... 213  
WWW, On-Line Support ...................................................... 6  
(Master Mode, CKE = 0) .................................. 361  
Example SPI Mode Requirements  
(Master Mode, CKE = 1) .................................. 362  
Example SPI Mode Requirements  
(Slave Mode, CKE = 0) .................................... 363  
Example SPI Slave Mode Requirements  
(CKE = 1) ......................................................... 364  
External Clock Requirements .................................. 355  
X
XORLW ............................................................................ 328  
XORWF ........................................................................... 329  
2003 Microchip Technology Inc.  
DS39616B-page 389  
PIC18F2331/2431/4331/4431  
NOTES:  
DS39616B-page 390  
2003 Microchip Technology Inc.  
PIC18F2331/2431/4331/4431  
ON-LINE SUPPORT  
SYSTEMS INFORMATION AND  
UPGRADE HOT LINE  
Microchip provides on-line support on the Microchip  
World Wide Web site.  
The Systems Information and Upgrade Line provides  
system users a listing of the latest versions of all of  
Microchip's development systems software products.  
Plus, this line provides information on how customers  
can receive the most current upgrade kits. The Hot Line  
Numbers are:  
The web site is used by Microchip as a means to make  
files and information easily available to customers. To  
view the site, the user must have access to the Internet  
and a web browser, such as Netscape® or Microsoft®  
Internet Explorer. Files are also available for FTP  
download from our FTP site.  
1-800-755-2345 for U.S. and most of Canada, and  
1-480-792-7302 for the rest of the world.  
Connecting to the Microchip Internet  
Web Site  
042003  
The Microchip web site is available at the following  
URL:  
www.microchip.com  
The file transfer site is available by using an FTP ser-  
vice to connect to:  
ftp://ftp.microchip.com  
The web site and file transfer site provide a variety of  
services. Users may download files for the latest  
Development Tools, Data Sheets, Application Notes,  
User's Guides, Articles and Sample Programs. A vari-  
ety of Microchip specific business information is also  
available, including listings of Microchip sales offices,  
distributors and factory representatives. Other data  
available for consideration is:  
• Latest Microchip Press Releases  
Technical Support Section with Frequently Asked  
Questions  
• Design Tips  
• Device Errata  
• Job Postings  
• Microchip Consultant Program Member Listing  
• Links to other useful web sites related to  
Microchip Products  
• Conferences for products, Development Systems,  
technical information and more  
• Listing of seminars and events  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 391  
PIC18F2331/2431/4331/4431  
READER RESPONSE  
It is our intention to provide you with the best documentation possible to ensure successful use of your Microchip prod-  
uct. If you wish to provide your comments on organization, clarity, subject matter, and ways in which our documentation  
can better serve you, please FAX your comments to the Technical Publications Manager at (480) 792-4150.  
Please list the following information, and use this outline to provide us with your comments about this document.  
To:  
Technical Publications Manager  
Reader Response  
Total Pages Sent ________  
RE:  
From:  
Name  
Company  
Address  
City / State / ZIP / Country  
Telephone: (_______) _________ - _________  
FAX: (______) _________ - _________  
Application (optional):  
Would you like a reply?  
Y
N
PIC18F2331/2431/4331/4431  
DS39616B  
Literature Number:  
Device:  
Questions:  
1. What are the best features of this document?  
2. How does this document meet your hardware and software development needs?  
3. Do you find the organization of this document easy to follow? If not, why?  
4. What additions to the document do you think would enhance the structure and subject?  
5. What deletions from the document could be made without affecting the overall usefulness?  
6. Is there any incorrect or misleading information (what and where)?  
7. How would you improve this document?  
DS39616B-page 392  
Preliminary  
2003 Microchip Technology Inc.  
PIC18F2331/2431/4331/4431  
PRODUCT IDENTIFICATION SYSTEM  
To order or obtain information, e.g., on pricing or delivery, refer to the factory or the listed sales office.  
PART NO.  
Device  
X
/XX  
XXX  
Examples:  
Temperature  
Range  
Package  
Pattern  
a) PIC18LF4431-I/P 301 = Industrial temp.,  
PDIP package, Extended VDD limits,  
QTP pattern #301.  
b) PIC18LF2331-I/SO = Industrial temp.,  
SOIC package, Extended VDD limits.  
c) PIC18F4331-I/P = Industrial temp., PDIP  
package, normal VDD limits.  
(1)  
Device  
PIC18F2331/2431/4331/4431  
,
(1,2)  
PIC18F2331/2431/4331/4431T  
;
VDD range 4.2V to 5.5V  
(1)  
PIC18LF2331/2431/4331/4431  
,
(1,2)  
PIC18LF2331/2431/4331/44310T  
;
VDD range 2.0V to 5.5V  
Temperature  
Range  
I
=
-40°C to +85°C (Industrial)  
Note 1: F = Standard Voltage range  
LF = Wide Voltage Range  
Package  
PT = TQFP (Thin Quad Flatpack)  
SO = SOIC  
2: T = in tape and reel - SOIC  
and TQFP packages only.  
SP = Skinny Plastic DIP  
P
= PDIP  
ML = QFN  
Pattern  
QTP, SQTP, Code or Special Requirements  
(blank otherwise)  
Sales and Support  
Data Sheets  
Products supported by a preliminary Data Sheet may have an errata sheet describing minor operational differences and  
recommended workarounds. To determine if an errata sheet exists for a particular device, please contact one of the following:  
1. Your local Microchip sales office  
2. The Microchip Corporate Literature Center U.S. FAX: (480) 792-7277  
3. The Microchip Worldwide Site (www.microchip.com)  
Please specify which device, revision of silicon and Data Sheet (include Literature #) you are using.  
New Customer Notification System  
Register on our web site (www.microchip.com/cn) to receive the most current information on our products.  
2003 Microchip Technology Inc.  
Preliminary  
DS39616B-page 393  
WORLDWIDE SALES AND SERVICE  
Korea  
AMERICAS  
ASIA/PACIFIC  
168-1, Youngbo Bldg. 3 Floor  
Samsung-Dong, Kangnam-Ku  
Seoul, Korea 135-882  
Tel: 82-2-554-7200 Fax: 82-2-558-5932 or  
82-2-558-5934  
Corporate Office  
Australia  
2355 West Chandler Blvd.  
Chandler, AZ 85224-6199  
Tel: 480-792-7200  
Suite 22, 41 Rawson Street  
Epping 2121, NSW  
Australia  
Fax: 480-792-7277  
Technical Support: 480-792-7627  
Web Address: http://www.microchip.com  
Tel: 61-2-9868-6733  
Fax: 61-2-9868-6755  
Singapore  
200 Middle Road  
#07-02 Prime Centre  
Singapore, 188980  
Tel: 65-6334-8870 Fax: 65-6334-8850  
China - Beijing  
Unit 706B  
Wan Tai Bei Hai Bldg.  
No. 6 Chaoyangmen Bei Str.  
Beijing, 100027, China  
Tel: 86-10-85282100  
Fax: 86-10-85282104  
Atlanta  
3780 Mansell Road, Suite 130  
Alpharetta, GA 30022  
Tel: 770-640-0034  
Fax: 770-640-0307  
Taiwan  
Kaohsiung Branch  
30F - 1 No. 8  
Min Chuan 2nd Road  
Kaohsiung 806, Taiwan  
Tel: 886-7-536-4818  
Fax: 886-7-536-4803  
Boston  
China - Chengdu  
2 Lan Drive, Suite 120  
Westford, MA 01886  
Tel: 978-692-3848  
Fax: 978-692-3821  
Rm. 2401-2402, 24th Floor,  
Ming Xing Financial Tower  
No. 88 TIDU Street  
Chengdu 610016, China  
Tel: 86-28-86766200  
Taiwan  
Taiwan Branch  
11F-3, No. 207  
Tung Hua North Road  
Taipei, 105, Taiwan  
Tel: 886-2-2717-7175 Fax: 886-2-2545-0139  
Chicago  
333 Pierce Road, Suite 180  
Itasca, IL 60143  
Tel: 630-285-0071  
Fax: 630-285-0075  
Fax: 86-28-86766599  
China - Fuzhou  
Unit 28F, World Trade Plaza  
No. 71 Wusi Road  
Dallas  
Fuzhou 350001, China  
Tel: 86-591-7503506  
Fax: 86-591-7503521  
EUROPE  
Austria  
Durisolstrasse 2  
A-4600 Wels  
Austria  
Tel: 43-7242-2244-399  
Fax: 43-7242-2244-393  
Denmark  
Regus Business Centre  
Lautrup hoj 1-3  
4570 Westgrove Drive, Suite 160  
Addison, TX 75001  
Tel: 972-818-7423  
Fax: 972-818-2924  
China - Hong Kong SAR  
Unit 901-6, Tower 2, Metroplaza  
223 Hing Fong Road  
Kwai Fong, N.T., Hong Kong  
Tel: 852-2401-1200  
Fax: 852-2401-3431  
Detroit  
Tri-Atria Office Building  
32255 Northwestern Highway, Suite 190  
Farmington Hills, MI 48334  
Tel: 248-538-2250  
China - Shanghai  
Room 701, Bldg. B  
Far East International Plaza  
No. 317 Xian Xia Road  
Shanghai, 200051  
Tel: 86-21-6275-5700  
Fax: 86-21-6275-5060  
China - Shenzhen  
Rm. 1812, 18/F, Building A, United Plaza  
No. 5022 Binhe Road, Futian District  
Shenzhen 518033, China  
Tel: 86-755-82901380  
Fax: 86-755-8295-1393  
China - Shunde  
Fax: 248-538-2260  
Ballerup DK-2750 Denmark  
Tel: 45-4420-9895 Fax: 45-4420-9910  
Kokomo  
France  
2767 S. Albright Road  
Kokomo, IN 46902  
Tel: 765-864-8360  
Fax: 765-864-8387  
Parc d’Activite du Moulin de Massy  
43 Rue du Saule Trapu  
Batiment A - ler Etage  
91300 Massy, France  
Tel: 33-1-69-53-63-20  
Fax: 33-1-69-30-90-79  
Los Angeles  
18201 Von Karman, Suite 1090  
Irvine, CA 92612  
Tel: 949-263-1888  
Fax: 949-263-1338  
Germany  
Steinheilstrasse 10  
D-85737 Ismaning, Germany  
Tel: 49-89-627-144-0  
Fax: 49-89-627-144-44  
Phoenix  
2355 West Chandler Blvd.  
Chandler, AZ 85224-6199  
Tel: 480-792-7966  
Fax: 480-792-4338  
Room 401, Hongjian Building  
No. 2 Fengxiangnan Road, Ronggui Town  
Shunde City, Guangdong 528303, China  
Tel: 86-765-8395507 Fax: 86-765-8395571  
Italy  
Via Quasimodo, 12  
20025 Legnano (MI)  
Milan, Italy  
China - Qingdao  
Rm. B505A, Fullhope Plaza,  
No. 12 Hong Kong Central Rd.  
Qingdao 266071, China  
Tel: 86-532-5027355 Fax: 86-532-5027205  
San Jose  
Tel: 39-0331-742611  
Fax: 39-0331-466781  
Netherlands  
P. A. De Biesbosch 14  
NL-5152 SC Drunen, Netherlands  
Tel: 31-416-690399  
1300 Terra Bella Avenue  
Mountain View, CA 94043  
Tel: 650-215-1444  
Toronto  
India  
6285 Northam Drive, Suite 108  
Mississauga, Ontario L4V 1X5, Canada  
Tel: 905-673-0699  
Divyasree Chambers  
1 Floor, Wing A (A3/A4)  
No. 11, O’Shaugnessey Road  
Bangalore, 560 025, India  
Tel: 91-80-2290061 Fax: 91-80-2290062  
Japan  
Fax: 31-416-690340  
United Kingdom  
505 Eskdale Road  
Winnersh Triangle  
Fax: 905-673-6509  
Wokingham  
Berkshire, England RG41 5TU  
Tel: 44-118-921-5869  
Fax: 44-118-921-5820  
Benex S-1 6F  
3-18-20, Shinyokohama  
Kohoku-Ku, Yokohama-shi  
Kanagawa, 222-0033, Japan  
Tel: 81-45-471- 6166 Fax: 81-45-471-6122  
11/24/03  
DS39616B-page 394  
Preliminary  
2003 Microchip Technology Inc.  

相关型号:

PIC18F2331-E/ML

28/40/44-Pin Enhanced Flash Microcontrollers with nanoWatt Technology, High-Performance PWM and A/D
MICROCHIP

PIC18F2331-E/MM

IC,MICROCONTROLLER,8-BIT,PIC CPU,CMOS,LLCC,28PIN,PLASTIC
MICROCHIP

PIC18F2331-E/P

28/40/44-Pin Enhanced Flash Microcontrollers with nanoWatt Technology, High-Performance PWM and A/D
MICROCHIP

PIC18F2331-E/PT

28/40/44-Pin Enhanced Flash Microcontrollers with nanoWatt Technology, High-Performance PWM and A/D
MICROCHIP

PIC18F2331-E/SO

28/40/44-Pin Enhanced Flash Microcontrollers with nanoWatt Technology, High-Performance PWM and A/D
MICROCHIP

PIC18F2331-E/SP

28/40/44-Pin Enhanced Flash Microcontrollers with nanoWatt Technology, High-Performance PWM and A/D
MICROCHIP

PIC18F2331-I/ML

28/40/44-Pin Enhanced Flash Microcontrollers with nanoWatt Technology, High-Performance PWM and A/D
MICROCHIP

PIC18F2331-I/P

28/40/44-Pin Enhanced Flash Microcontrollers with nanoWatt Technology, High-Performance PWM and A/D
MICROCHIP

PIC18F2331-I/PT

28/40/44-Pin Enhanced Flash Microcontrollers with nanoWatt Technology, High-Performance PWM and A/D
MICROCHIP

PIC18F2331-I/SO

28/40/44-Pin Enhanced Flash Microcontrollers with nanoWatt Technology, High-Performance PWM and A/D
MICROCHIP

PIC18F2331-I/SOXXX

PIC18F2331-I/SOXXX
MICROCHIP

PIC18F2331-I/SP

28/40/44-Pin Enhanced Flash Microcontrollers with nanoWatt Technology, High-Performance PWM and A/D
MICROCHIP