M1AGLPE3000V2-FG896YI [MICROSEMI]

FPGA;
M1AGLPE3000V2-FG896YI
型号: M1AGLPE3000V2-FG896YI
厂家: Microsemi    Microsemi
描述:

FPGA

可编程逻辑
文件: 总166页 (文件大小:8654K)
中文:  中文翻译
下载:  下载PDF数据表文档文件
Revision 13  
IGLOOe Low Power Flash FPGAs  
with Flash*Freeze Technology  
Bank-Selectable I/O Voltages—Up to 8 Banks per Chip  
Features and Benefits  
Single-Ended I/O Standards: LVTTL, LVCMOS 3.3 V /  
2.5 V / 1.8 V / 1.5 V / 1.2 V, 3.3 V PCI / 3.3 V PCI-X, and  
LVCMOS 2.5 V / 5.0 V Input  
Low Power  
1.2 V to 1.5 V Core Voltage Support for Low Power  
Supports Single-Voltage System Operation  
Low-Power Active FPGA Operation  
Differential I/O Standards: LVPECL, LVDS, B-LVDS, and  
M-LVDS  
Voltage-Referenced I/O Standards: GTL+ 2.5 V / 3.3 V, GTL  
2.5 V / 3.3 V, HSTL Class I and II, SSTL2 Class I and II, SSTL3  
Class I and II  
Wide Range Power Supply Voltage Support per JESD8-B,  
Allowing I/Os to Operate from 2.7 V to 3.6 V  
Wide Range Power Supply Voltage Support per JESD8-12,  
Allowing I/Os to Operate from 1.14 V to 1.575 V  
I/O Registers on Input, Output, and Enable Paths  
Hot-Swappable and Cold-Sparing I/Os  
Flash*Freeze  
Technology  
Enables  
Ultra-Low  
Power  
Consumption while Maintaining FPGA Content  
Flash*Freeze Pin Allows Easy Entry to / Exit from Ultra-Low-  
Power Flash*Freeze Mode  
High Capacity  
600 k to 3 Million System Gates  
108 to 504 kbits of True Dual-Port SRAM  
Up to 620 User I/Os  
Programmable Output Slew Rate and Drive Strength  
Programmable Input Delay  
Schmitt Trigger Option on Single-Ended Inputs  
Weak Pull-Up/-Down  
Reprogrammable Flash Technology  
130-nm, 7-Layer Metal (6 Copper), Flash-Based CMOS  
Process  
Instant On Level 0 Support  
IEEE 1149.1 (JTAG) Boundary Scan Test  
®
Single-Chip Solution  
Pin-Compatible Packages across the IGLOO e Family  
Retains Programmed Design when Powered Off  
250 MHz (1.5 V systems) and 160 MHz (1.2 V systems) System  
Performance  
Clock Conditioning Circuit (CCC) and PLL  
Six CCC Blocks, Each with an Integrated PLL  
Configurable Phase Shift, Multiply/Divide, Delay Capabilities,  
and External Feedback  
In-System Programming (ISP) and Security  
ISP Using On-Chip 128-Bit Advanced Encryption Standard  
(AES) Decryption via JTAG (IEEE 1532–compliant)  
Wide Input Frequency Range (1.5 MHz up to 250 MHz)  
®
Embedded Memory  
FlashLock Designed to Secure FPGA Contents  
1 kbit of FlashROM User Nonvolatile Memory  
High-Performance Routing Hierarchy  
SRAMs and FIFOs with Variable-Aspect-Ratio 4,608-Bit RAM  
Blocks (×1, ×2, ×4, ×9, and ×18 organizations available)  
True Dual-Port SRAM (except ×18)  
Segmented, Hierarchical Routing and Clock Structure  
High-Performance, Low-Skew Global Network  
Architecture Supports Ultra-High Utilization  
ARM Processor Support in IGLOOe FPGAs  
Pro (Professional) I/O  
M1 IGLOOe Devices—Cortex™-M1 Soft Processor Available  
with or without Debug  
700 Mbps DDR, LVDS-Capable I/Os  
1.2 V, 1.5 V, 1.8 V, 2.5 V, and 3.3 V Mixed-Voltage Operation  
Table 1 • IGLOOe Product Family  
IGLOOe Devices  
AGLE600  
AGLE3000  
ARM-Enabled IGLOOe Devices  
System Gates  
M1AGLE3000  
600,000  
13,824  
49  
3,000,000  
VersaTiles (D-flip-flops)  
Quiescent Current (typical) in Flash*Freeze Mode (µW)  
RAM kbits (1,024 bits)  
4,608-Bit Blocks  
75,264  
137  
504  
112  
1
108  
24  
FlashROM Kbits (1,024 bits)  
Secure (AES) ISP  
1
Yes  
6
Yes  
6
CCCs with Integrated PLLs  
VersaNet Globals 1  
18  
18  
8
I/O Banks  
8
Maximum User I/Os  
270  
620  
Package Pins  
FBGA  
FG256, FG484  
FG484, FG896  
Notes:  
1. Refer to the Cortex-M1 Handbook for more information.  
2. Six chip (main) and twelve quadrant global networks are available.  
3. For devices supporting lower densities, refer to the IGLOO Low-Power Flash FPGAs with Flash*Freeze Technology datasheet.  
December 2012  
I
© 2012 Microsemi Corporation  
IGLOOe Low Power Flash FPGAs  
1
I/Os Per Package  
IGLOOe Devices  
AGLE600  
AGLE3000  
ARM-Enabled IGLOOe Devices  
M1AGLE3000  
I/O Types  
Single-Ended  
Differential  
I/O Pairs  
Single-Ended  
Differential  
I/O Pairs  
Package  
FG256  
FG484  
FG896  
Notes:  
I/O1  
165  
270  
I/O1  
79  
135  
341  
620  
168  
310  
1. When considering migrating your design to a lower- or higher-density device, refer to the IGLOOe FPGA Fabric User’s Guide to  
ensure compliance with design and board migration requirements.  
2. Each used differential I/O pair reduces the number of single-ended I/Os available by two.  
3. For AGLE3000 devices, the usage of certain I/O standards is limited as follows:  
– SSTL3(I) and (II): up to 40 I/Os per north or south bank  
– LVPECL / GTL+ 3.3 V / GTL 3.3 V: up to 48 I/Os per north or south bank  
– SSTL2(I) and (II) / GTL+ 2.5 V/ GTL 2.5 V: up to 72 I/Os per north or south bank  
4. FG256 and FG484 are footprint-compatible packages.  
5. When using voltage-referenced I/O standards, one I/O pin should be assigned as a voltage-referenced pin (VREF) per  
minibank (group of I/Os).  
6. When the Flash*Freeze pin is used to directly enable Flash*Freeze mode and not as a regular I/O, the number of single-ended  
user I/Os available is reduced by one.  
7. "G" indicates RoHS-compliant packages. Refer to "IGLOOe Ordering Information" on page III for the location of the "G" in the  
part number.  
IGLOOe FPGAs Package Sizes Dimensions  
Package  
FG256  
17 × 17  
289  
FG484  
23 × 23  
529  
FG896  
31 × 31  
961  
Length × Width (mm × mm)  
Nominal Area (mm2)  
Pitch (mm)  
1
1
1
Height (mm)  
1.6  
2.23  
2.23  
IGLOOe Device Status  
IGLOOe Devices  
Status  
M1 IGLOOe Devices  
Status  
AGLE600  
Production  
Production  
AGLE3000  
M1AGLE3000  
Production  
II  
Revision 13  
IGLOOe Low Power Flash FPGAs  
IGLOOe Ordering Information  
_
AGLE3000  
896  
V2  
FG  
G
Y
I
Application (Temperature Range)  
Blank  
=
=
=
=
Commercial (0°C to +70°C Ambient Temperature)  
Industrial (–40°C to +85°C Ambient Temperature)  
Pre-Production  
I
PP  
ES  
Engineering Sample (Room Temperature Only)  
Security Feature  
Y = Device Includes License to Implement IP Based on the  
Cryptography Research, Inc. (CRI) Patent Portfolio  
Blank = Device Does Not Include License to Implement IP Based  
on the Cryptography Research, Inc. (CRI) Patent Portfolio  
Package Lead Count  
Lead-Free Packaging  
Blank = Standard Packaging  
G = RoHS-Compliant Packaging  
Package Type  
=
Fine Pitch Ball Grid Array (1.0 mm pitch)  
Supply Voltage  
FG  
2 = 1.2 V to 1.5 V  
5 = 1.5 V only  
Part Number  
IGLOOe Devices  
AGLE600  
AGLE3000  
=
=
600,000 System Gates  
3,000,000 System Gates  
IGLOOe Devices with Cortex-M1  
M1AGLE3000  
=
3,000,000 System Gates  
Note: Marking Information: IGLOO V2 devices do not have V2 marking, but IGLOO V5 devices are marked accordingly.  
Revision 13  
III  
IGLOOe Low Power Flash FPGAs  
Temperature Grade Offerings  
AGLE600  
AGLE3000  
Package  
FG256  
FG484  
FG896  
M1AGLPE3000  
C, I  
C, I  
C, I  
C, I  
Note: C = Commercial temperature range: 0°C to 70°C ambient temperature.  
I = Industrial temperature range: –40°C to 85°C ambient temperature.  
References made to IGLOOe devices also apply to ARM-enabled IGLOOe devices. The ARM-enabled part numbers start with M1  
(Cortex-M1).  
Contact your local Microsemi SoC Products Group representative for device availability:  
http://www.microsemi.com/soc/contact/default.aspx.  
IV  
Revision 13  
IGLOOe Low Power Flash FPGAs  
Table of Contents  
IGLOOe Device Family Overview  
General Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1-1  
IGLOOe DC and Switching Characteristics  
General Specifications . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-1  
Calculating Power Dissipation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-7  
User I/O Characteristics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-16  
VersaTile Characteristics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-82  
Global Resource Characteristics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-88  
Clock Conditioning Circuits . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-91  
Embedded SRAM and FIFO Characteristics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-94  
Embedded FlashROM Characteristics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-108  
JTAG 1532 Characteristics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-109  
Pin Descriptions and Packaging  
Supply Pins . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-1  
User-Defined Supply Pins . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-2  
User Pins . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-3  
JTAG Pins . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-4  
Special Function Pins . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-5  
Packaging . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-5  
Related Documents . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-6  
Package Pin Assignments  
FG256 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-1  
FG484 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-5  
FG896 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-16  
Datasheet Information  
List of Changes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-1  
Datasheet Categories . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-8  
Safety Critical, Life Support, and High-Reliability Applications Policy . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-8  
Revision 13  
V
1 – IGLOOe Device Family Overview  
General Description  
The IGLOOe family of flash FPGAs, based on a 130-nm flash process, offers the lowest power FPGA, a  
single-chip solution, small footprint packages, reprogrammability, and an abundance of advanced  
features.  
The Flash*Freeze technology used in IGLOOe devices enables entering and exiting an ultra-low power  
mode while retaining SRAM and register data. Flash*Freeze technology simplifies power management  
through I/O and clock management with rapid recovery to operation mode.  
The Low Power Active capability (static idle) allows for ultra-low power consumption while the IGLOOe  
device is completely functional in the system. This allows the IGLOOe device to control system power  
management based on external inputs (e.g., scanning for keyboard stimulus) while consuming minimal  
power.  
Nonvolatile flash technology gives IGLOOe devices the advantage of being a secure, low power, single-  
chip solution that is Instant On. IGLOOe is reprogrammable and offers time-to-market benefits at an  
ASIC-level unit cost.  
These features enable designers to create high-density systems using existing ASIC or FPGA design  
flows and tools.  
IGLOOe devices offer 1 kbit of on-chip, programmable, nonvolatile FlashROM storage as well as clock  
conditioning circuitry based on 6 integrated phase-locked loops (PLLs). IGLOOe devices have up to 3  
million system gates, supported with up to 504 kbits of true dual-port SRAM and up to 620 user I/Os.  
M1 IGLOOe devices support the high-performance, 32-bit Cortex-M1 processor developed by ARM for  
implementation in FPGAs. Cortex-M1 is a soft processor that is fully implemented in the FPGA fabric. It  
has a three-stage pipeline that offers a good balance between low power consumption and speed when  
implemented in an M1 IGLOOe device. The processor runs the ARMv6-M instruction set, has a  
configurable nested interrupt controller, and can be implemented with or without the debug block. Cortex-  
M1 is available for free from Microsemi for use in M1 IGLOOe FPGAs.  
The ARM-enabled devices have Microsemi ordering numbers that begin with M1AGLE and do not  
support AES decryption.  
Flash*Freeze Technology  
The IGLOOe device offers unique Flash*Freeze technology, allowing the device to enter and exit ultra-  
low power Flash*Freeze mode. IGLOOe devices do not need additional components to turn off I/Os or  
clocks while retaining the design information, SRAM content, and registers. Flash*Freeze technology is  
combined with in-system programmability, which enables users to quickly and easily upgrade and update  
their designs in the final stages of manufacturing or in the field. The ability of IGLOOe V2 devices to  
support a wide range of core voltage (1.2 V to 1.5 V) allows further reduction in power consumption, thus  
achieving the lowest total system power.  
When the IGLOOe device enters Flash*Freeze mode, the device automatically shuts off the clocks and  
inputs to the FPGA core; when the device exits Flash*Freeze mode, all activity resumes and data is  
retained.  
The availability of low power modes, combined with reprogrammability, a single-chip and single-voltage  
solution, and availability of small-footprint, high pin-count packages, make IGLOOe devices the best fit  
for portable electronics.  
Revision 13  
1-1  
IGLOOe Device Family Overview  
Flash Advantages  
Low Power  
Flash-based IGLOOe devices exhibit power characteristics similar to those of an ASIC, making them an  
ideal choice for power-sensitive applications. IGLOOe devices have only a very limited power-on current  
surge and no high-current transition period, both of which occur on many FPGAs.  
IGLOOe devices also have low dynamic power consumption to further maximize power savings; power is  
even further reduced by the use of a 1.2 V core voltage.  
Low dynamic power consumption, combined with low static power consumption and Flash*Freeze  
technology, gives the IGLOOe device the lowest total system power offered by any FPGA.  
Security  
The nonvolatile, flash-based IGLOOe devices do not require a boot PROM, so there is no vulnerable  
external bitstream that can be easily copied. IGLOOe devices incorporate FlashLock, which provides a  
unique combination of reprogrammability and design security without external overhead, advantages that  
only an FPGA with nonvolatile flash programming can offer.  
IGLOOe devices utilize a 128-bit flash-based lock and a separate AES key to provide the highest level of  
protection in the FPGA industry for programmed intellectual property and configuration data. In addition,  
all FlashROM data in IGLOOe devices can be encrypted prior to loading, using the industry-leading  
AES-128 (FIPS192) bit block cipher encryption standard. AES was adopted by the National Institute of  
Standards and Technology (NIST) in 2000 and replaces the 1977 DES standard. IGLOOe devices have a  
built-in AES decryption engine and a flash-based AES key that make them the most comprehensive  
programmable logic device security solution available today. IGLOOe devices with AES-based security  
provide a high level of protection for remote field updates over public networks such as the Internet, and  
are designed to ensure that valuable IP remains out of the hands of system overbuilders, system cloners,  
and IP thieves.  
Security, built into the FPGA fabric, is an inherent component of the IGLOOe family. The flash cells are  
located beneath seven metal layers, and many device design and layout techniques have been used to  
make invasive attacks extremely difficult. The IGLOOe family, with FlashLock and AES security, is unique  
in being highly resistant to both invasive and noninvasive attacks. Your valuable IP is protected with  
industry-standard security, making remote ISP possible. An IGLOOe device provides the best available  
security for programmable logic designs.  
Single Chip  
Flash-based FPGAs store their configuration information in on-chip flash cells. Once programmed, the  
configuration data is an inherent part of the FPGA structure, and no external configuration data needs to  
be loaded at system power-up (unlike SRAM-based FPGAs). Therefore, flash-based IGLOOe FPGAs do  
not require system configuration components such as EEPROMs or microcontrollers to load device  
configuration data. This reduces bill-of-materials costs and PCB area, and increases security and system  
reliability.  
Instant On  
Flash-based IGLOOe devices support Level 0 of the Instant On classification standard. This feature  
helps in system component initialization, execution of critical tasks before the processor wakes up, setup  
and configuration of memory blocks, clock generation, and bus activity management. The Instant On  
feature of flash-based IGLOOe devices greatly simplifies total system design and reduces total system  
cost, often eliminating the need for CPLDs and clock generation PLLs. In addition, glitches and  
brownouts in system power will not corrupt the IGLOOe device's flash configuration, and unlike SRAM-  
based FPGAs, the device will not have to be reloaded when system power is restored. This enables the  
reduction or complete removal of the configuration PROM, expensive voltage monitor, brownout  
detection, and clock generator devices from the PCB design. Flash-based IGLOOe devices simplify total  
system design and reduce cost and design risk while increasing system reliability and improving system  
initialization time.  
1-2  
Revision 13  
IGLOOe Low Power Flash FPGAs  
Reduced Cost of Ownership  
Advantages to the designer extend beyond low unit cost, performance, and ease of use. Unlike SRAM-  
based FPGAs, Flash-based IGLOOe devices allow all functionality to be Instant On; no external boot  
PROM is required. On-board security mechanisms prevent access to all the programming information  
and enable secure remote updates of the FPGA logic. Designers can perform secure remote in-system  
reprogramming to support future design iterations and field upgrades with confidence that valuable  
intellectual property cannot be compromised or copied. Secure ISP can be performed using the industry-  
standard AES algorithm. The IGLOOe family device architecture mitigates the need for ASIC migration at  
higher user volumes. This makes the IGLOOe family a cost-effective ASIC replacement solution,  
especially for applications in the consumer, networking/communications, computing, and avionics  
markets.  
Firm-Error Immunity  
Firm errors occur most commonly when high-energy neutrons, generated in the upper atmosphere, strike  
a configuration cell of an SRAM FPGA. The energy of the collision can change the state of the  
configuration cell and thus change the logic, routing, or I/O behavior in an unpredictable way. These  
errors are impossible to prevent in SRAM FPGAs. The consequence of this type of error can be a  
complete system failure. Firm errors do not exist in the configuration memory of IGLOOe flash-based  
FPGAs. Once it is programmed, the flash cell configuration element of IGLOOe FPGAs cannot be altered  
by high-energy neutrons and is therefore immune to them. Recoverable (or soft) errors occur in the user  
data SRAM of all FPGA devices. These can easily be mitigated by using error detection and correction  
(EDAC) circuitry built into the FPGA fabric.  
Advanced Flash Technology  
The IGLOOe family offers many benefits, including nonvolatility and reprogrammability, through an  
advanced flash-based, 130-nm LVCMOS process with seven layers of metal. Standard CMOS design  
techniques are used to implement logic and control functions. The combination of fine granularity,  
enhanced flexible routing resources, and abundant flash switches allows for very high logic utilization  
without compromising device routability or performance. Logic functions within the device are  
interconnected through a four-level routing hierarchy.  
IGLOOe family FPGAs utilize design and process techniques to minimize power consumption in all  
modes of operation.  
Advanced Architecture  
The proprietary IGLOOe architecture provides granularity comparable to standard-cell ASICs. The  
IGLOOe device consists of five distinct and programmable architectural features (Figure 1-1 on page 4):  
Flash*Freeze technology  
FPGA VersaTiles  
Dedicated FlashROM  
Dedicated SRAM/FIFO memory  
Extensive CCCs and PLLs  
Pro I/O structure  
The FPGA core consists of a sea of VersaTiles. Each VersaTile can be configured as a three-input logic  
function, a D-flip-flop (with or without enable), or a latch by programming the appropriate flash switch  
interconnections. The versatility of the IGLOOe core tile as either a three-input lookup table (LUT)  
equivalent or a D-flip-flop/latch with enable allows for efficient use of the FPGA fabric. The VersaTile  
capability is unique to the Microsemi ProASIC® family of third-generation-architecture flash FPGAs.  
VersaTiles are connected with any of the four levels of routing hierarchy. Flash switches are distributed  
throughout the device to provide nonvolatile, reconfigurable interconnect programming. Maximum core  
utilization is possible for virtually any design.  
Revision 13  
1-3  
IGLOOe Device Family Overview  
CCC  
RAM Block  
4,608-Bit Dual-Port SRAM  
or FIFO Block  
Pro I/Os  
VersaTile  
RAM Block  
4,608-Bit Dual-Port SRAM  
or FIFO Block  
ISP AES  
Decryption*  
User Nonvolatile  
FlashRom  
Flash*Freeze  
Technology  
Charge  
Pumps  
Figure 1-1 • IGLOOe Device Architecture Overview  
Flash*Freeze Technology  
The IGLOOe device has an ultra-low power static mode, called Flash*Freeze mode, which retains all  
SRAM and register information and can still quickly return to normal operation. Flash*Freeze technology  
enables the user to quickly (within 1 µs) enter and exit Flash*Freeze mode by activating the  
Flash*Freeze pin while all power supplies are kept at their original values. In addition, I/Os and global  
I/Os can still be driven and can be toggling without impact on power consumption, clocks can still be  
driven or can be toggling without impact on power consumption, and the device retains all core registers,  
SRAM information, and states. I/O states are tristated during Flash*Freeze mode or can be set to a  
certain state using weak pull-up or pull-down I/O attribute configuration. No power is consumed by the  
I/O banks, clocks, JTAG pins, or PLL in this mode.  
Flash*Freeze technology allows the user to switch to active mode on demand, thus simplifying the power  
management of the device.  
The Flash*Freeze pin (active low) can be routed internally to the core to allow the user's logic to decide  
when it is safe to transition to this mode. It is also possible to use the Flash*Freeze pin as a regular I/O if  
Flash*Freeze mode usage is not planned, which is advantageous because of the inherent low power  
static and dynamic capabilities of the IGLOOe device. Refer to Figure 1-2 for an illustration of  
entering/exiting Flash*Freeze mode.  
IGLOOe  
Flash*Freeze  
FPGA  
Mode Control  
Flash*Freeze Pin  
Figure 1-2 • IGLOOe Flash*Freeze Mode  
1-4  
Revision 13  
IGLOOe Low Power Flash FPGAs  
VersaTiles  
The IGLOOe core consists of VersaTiles, which have been enhanced beyond the ProASICPLUS® core  
tiles. The IGLOOe VersaTile supports the following:  
All 3-input logic functions—LUT-3 equivalent  
Latch with clear or set  
D-flip-flop with clear or set  
Enable D-flip-flop with clear or set  
Refer to Figure 1-3 for VersaTile configurations.  
Enable D-Flip-Flop with Clear or Set  
D-Flip-Flop with Clear or Set  
LUT-3 Equivalent  
X1  
Data  
Y
Data  
CLK  
CLR  
Y
X2  
X3  
LUT-3  
Y
D-FF  
CLK  
D-FF  
Enable  
CLR  
Figure 1-3 • VersaTile Configurations  
User Nonvolatile FlashROM  
IGLOOe devices have 1 kbit of on-chip, user-accessible, nonvolatile FlashROM. The FlashROM can be  
used in diverse system applications:  
Internet protocol addressing (wireless or fixed)  
System calibration settings  
Device serialization and/or inventory control  
Subscription-based business models (for example, set-top boxes)  
Secure key storage for secure communications algorithms  
Asset management/tracking  
Date stamping  
Version management  
The FlashROM is written using the standard IGLOOe IEEE 1532 JTAG programming interface. The core  
can be individually programmed (erased and written), and on-chip AES decryption can be used  
selectively to securely load data over public networks, as in security keys stored in the FlashROM for a  
user design.  
The FlashROM can be programmed via the JTAG programming interface, and its contents can be read  
back either through the JTAG programming interface or via direct FPGA core addressing. Note that the  
FlashROM can only be programmed from the JTAG interface and cannot be programmed from the  
internal logic array.  
The FlashROM is programmed as 8 banks of 128 bits; however, reading is performed on a byte-by-byte  
basis using a synchronous interface. A 7-bit address from the FPGA core defines which of the 8 banks  
and which of the 16 bytes within that bank are being read. The three most significant bits (MSBs) of the  
FlashROM address determine the bank, and the four least significant bits (LSBs) of the FlashROM  
address define the byte.  
The IGLOOe development software solutions, Libero® System-on-Chip (SoC) and Designer, have  
extensive support for the FlashROM. One such feature is auto-generation of sequential programming  
files for applications requiring a unique serial number in each part. Another feature allows the inclusion of  
static data for system version control. Data for the FlashROM can be generated quickly and easily using  
Libero SoC and Designer software tools. Comprehensive programming file support is also included to  
allow for easy programming of large numbers of parts with differing FlashROM contents.  
Revision 13  
1-5  
IGLOOe Device Family Overview  
SRAM and FIFO  
IGLOOe devices have embedded SRAM blocks along their north and south sides. Each variable-aspect-  
ratio SRAM block is 4,608 bits in size. Available memory configurations are 256×18, 512×9, 1k×4, 2k×2,  
and 4k×1 bits. The individual blocks have independent read and write ports that can be configured with  
different bit widths on each port. For example, data can be sent through a 4-bit port and read as a single  
bitstream. The embedded SRAM blocks can be initialized via the device JTAG port (ROM emulation  
mode) using the UJTAG macro.  
In addition, every SRAM block has an embedded FIFO control unit. The control unit allows the SRAM  
block to be configured as a synchronous FIFO without using additional core VersaTiles. The FIFO width  
and depth are programmable. The FIFO also features programmable Almost Empty (AEMPTY) and  
Almost Full (AFULL) flags in addition to the normal Empty and Full flags. The embedded FIFO control  
unit contains the counters necessary for generation of the read and write address pointers. The  
embedded SRAM/FIFO blocks can be cascaded to create larger configurations.  
PLL and CCC  
IGLOOe devices provide designers with very flexible clock conditioning capabilities. Each member of the  
IGLOOe family contains six CCCs, each with an integrated PLL.  
The six CCC blocks are located at the four corners and the centers of the east and west sides. One CCC  
(center west side) has a PLL.  
The inputs of the six CCC blocks are accessible from the FPGA core or from one of several inputs  
located near the CCC that have dedicated connections to the CCC block.  
The CCC block has these key features:  
Wide input frequency range (fIN_CCC) = 1.5 MHz up to 250 MHz  
Output frequency range (fOUT_CCC) = 0.75 MHz up to 250 MHz  
2 programmable delay types for clock skew minimization  
Clock frequency synthesis  
Additional CCC specifications:  
Internal phase shift = 0°, 90°, 180°, and 270°. Output phase shift depends on the output divider  
configuration.  
Output duty cycle = 50% ± 1.5% or better  
Low output jitter: worst case < 2.5% × clock period peak-to-peak period jitter when single global  
network used  
Maximum acquisition time is 300 µs  
Exceptional tolerance to input period jitter—allowable input jitter is up to 1.5 ns  
Four precise phases; maximum misalignment between adjacent phases of 40 ps × 250 MHz /  
fOUT_CCC  
Global Clocking  
IGLOOe devices have extensive support for multiple clocking domains. In addition to the CCC and PLL  
support described above, there is a comprehensive global clock distribution network.  
Each VersaTile input and output port has access to nine VersaNets: six chip (main) and three quadrant  
global networks. The VersaNets can be driven by the CCC or directly accessed from the core via  
multiplexers (MUXes). The VersaNets can be used to distribute low-skew clock signals or for rapid  
distribution of high-fanout nets.  
1-6  
Revision 13  
IGLOOe Low Power Flash FPGAs  
Pro I/Os with Advanced I/O Standards  
The IGLOOe family of FPGAs features a flexible I/O structure, supporting a range of voltages (1.2 V,  
1.5 V, 1.8 V, 2.5 V, 3.0 V wide range, and 3.3 V). IGLOOe FPGAs support 19 different I/O standards,  
including single-ended, differential, and voltage-referenced. The I/Os are organized into banks, with eight  
banks per device (two per side). The configuration of these banks determines the I/O standards  
supported. Each I/O bank is subdivided into VREF minibanks, which are used by voltage-referenced  
I/Os. VREF minibanks contain 8 to 18 I/Os. All the I/Os in a given minibank share a common VREF line.  
Therefore, if any I/O in a given VREF minibank is configured as a VREF pin, the remaining I/Os in that  
minibank will be able to use that reference voltage.  
Each I/O module contains several input, output, and enable registers. These registers allow the  
implementation of the following:  
Single-Data-Rate applications (e.g., PCI 66 MHz, bidirectional SSTL 2 and 3, Class I and II)  
Double-Data-Rate applications (e.g., DDR LVDS, B-LVDS, and M-LVDS I/Os for point-to-point  
communications, and DDR 200 MHz SRAM using bidirectional HSTL Class II).  
IGLOOe banks support M-LVDS with 20 multi-drop points.  
Hot-swap (also called hot-plug, or hot-insertion) is the operation of hot-insertion or hot-removal of a card  
in a powered-up system.  
Cold-sparing (also called cold-swap) refers to the ability of a device to leave system data undisturbed  
when the system is powered up, while the component itself is powered down, or when power supplies  
are floating.  
Wide Range I/O Support  
IGLOOe devices support JEDEC-defined wide range I/O operation. IGLOOe devices support both the  
JESD8-B specification, covering 3.0 V and 3.3 V supplies, for an effective operating range of 2.7 V to  
3.6 V, and JESD8-12 with its 1.2 V nominal, supporting an effective operating range of 1.14 V to 1.575 V.  
Wider I/O range means designers can eliminate power supplies or power conditioning components from  
the board or move to less costly components with greater tolerances. Wide range eases I/O bank  
management and provides enhanced protection from system voltage spikes, while providing the flexibility  
to easily run custom voltage applications.  
Specifying I/O States During Programming  
You can modify the I/O states during programming in FlashPro. In FlashPro, this feature is supported for  
PDB files generated from Designer v8.5 or greater. See the FlashPro User’s Guide for more information.  
Note: PDB files generated from Designer v8.1 to Designer v8.4 (including all service packs) have  
limited display of Pin Numbers only.  
1. Load a PDB from the FlashPro GUI. You must have a PDB loaded to modify the I/O states during  
programming.  
2. From the FlashPro GUI, click PDB Configuration. A FlashPoint – Programming File Generator  
window appears.  
3. Click the Specify I/O States During Programming button to display the Specify I/O States  
During Programming dialog box.  
4. Sort the pins as desired by clicking any of the column headers to sort the entries by that header.  
Select the I/Os you wish to modify (Figure 1-4 on page 1-8).  
5. Set the I/O Output State. You can set Basic I/O settings if you want to use the default I/O settings  
for your pins, or use Custom I/O settings to customize the settings for each pin. Basic I/O state  
settings:  
1 – I/O is set to drive out logic High  
0 – I/O is set to drive out logic Low  
Last Known State – I/O is set to the last value that was driven out prior to entering the  
programming mode, and then held at that value during programming  
Z -Tri-State: I/O is tristated  
Revision 13  
1-7  
IGLOOe Device Family Overview  
Figure 1-4 • I/O States During Programming Window  
6. Click OK to return to the FlashPoint – Programming File Generator window.  
Note: I/O States During programming are saved to the ADB and resulting programming files after  
completing programming file generation.  
1-8  
Revision 13  
2 – IGLOOe DC and Switching Characteristics  
General Specifications  
Operating Conditions  
Stresses beyond those listed in Table 2-1 may cause permanent damage to the device.  
Exposure to absolute maximum rating conditions for extended periods may affect device reliability.  
Absolute Maximum Ratings are stress ratings only; functional operation of the device at these or any  
other conditions beyond those listed under the Recommended Operating Conditions specified in  
Table 2-2 on page 2-2 is not implied.  
Table 2-1 • Absolute Maximum Ratings  
Symbol  
VCC  
Parameter  
DC core supply voltage  
JTAG DC voltage  
Limits  
Units  
–0.3 to 1.65  
–0.3 to 3.75  
–0.3 to 3.75  
–0.3 to 1.65  
–0.3 to 3.75  
V
V
V
V
V
V
VJTAG  
VPUMP  
VCCPLL  
Programming voltage  
Analog power supply (PLL)  
VCCI and VMV3 DC I/O buffer supply voltage  
VI  
I/O input voltage  
–0.3 V to 3.6 V (when I/O hot insertion mode is enabled)  
–0.3 V to (VCCI + 1 V) or 3.6 V, whichever voltage is lower  
(when I/O hot-insertion mode is disabled)  
2
TSTG  
Storage temperature  
Junction temperature  
–65 to +150  
+125  
°C  
°C  
2
TJ  
Notes:  
1. The device should be operated within the limits specified by the datasheet. During transitions, the input signal may  
undershoot or overshoot according to the limits shown in Table 2-4 on page 2-3.  
2. For flash programming and retention maximum limits, refer to Table 2-3 on page 2-3, and for recommended operating  
limits, refer to Table 2-2 on page 2-2.  
3. VMV pins must be connected to the corresponding VCCI pins. See the "VMVx I/O Supply Voltage (quiet)" section on  
page 3-1 for further information.  
Revision 13  
2-1  
IGLOOe DC and Switching Characteristics  
Table 2-2 • Recommended Operating Conditions 1  
Symbol  
TA  
Parameter  
Commercial  
0 to +70  
Industrial  
–40 to +85  
–40 to +100  
Units  
°C  
°C  
V
Ambient Temperature  
Junction Temperature2  
TJ  
VCC 3  
0 to + 85  
1.5 V DC core supply voltage4  
1.425 to 1.575 1.425 to 1.575  
1.14 to 1.575 1.14 to 1.575  
1.2 V–1.5 V wide range DC  
core voltage5, 6  
V
VJTAG  
JTAG DC voltage  
1.4 to 3.6  
3.15 to 3.45  
0 to 3.6  
1.4 to 3.6  
3.15 to 3.45  
0 to 3.6  
V
V
V
V
V
VPUMP  
Programming voltage6  
Programming Mode  
Operation7  
VCCPLL8 Analog power supply (PLL)  
1.5 V DC core supply voltage4 1.425 to 1.575 1.425 to 1.575  
1.2 V–1.5 V DC core supply 1.14 to 1.575 1.14 to 1.575  
voltage5  
VCCI and 1.2 V DC supply voltage5  
1.14 to 1.26  
1.14 to 1.26  
V
V
VMV9  
1.2 V wide range DC supply  
1.14 to 1.575 1.14 to 1.575  
voltage5  
1.5 V DC supply voltage  
1.8 V DC supply voltage  
2.5 V DC supply voltage  
3.0 V DC supply voltage10  
3.3 V DC supply voltage  
LVDS differential I/O  
LVPECL differential I/O  
Notes:  
1.425 to 1.575 1.425 to 1.575  
V
V
V
V
V
V
V
1.7 to 1.9  
2.3 to 2.7  
2.7 to 3.6  
3.0 to 3.6  
1.7 to 1.9  
2.3 to 2.7  
2.7 to 3.6  
3.0 to 3.6  
2.375 to 2.625 2.375 to 2.625  
3.0 to 3.6 3.0 to 3.6  
1. All parameters representing voltages are measured with respect to GND unless otherwise specified.  
2. To ensure targeted reliability standards are met across ambient and junction operating temperatures, Microsemi  
recommends that the user follow best design practices using Microsemi’s timing and power simulation tools.  
3. The ranges given here are for power supplies only. The recommended input voltage ranges specific to each I/O  
standard are given in Table 2-21 on page 2-20. VCCI should be at the same voltage within a given I/O bank.  
4. For IGLOOe V5 devices  
5. For IGLOOe V2 devices only, operating at VCCI VCC  
6. All IGLOOe devices (V5 and V2) must be programmed with the VCC core voltage at 1.5 V. Applications using the V2  
devices powered by a 1.2 V supply must switch the core supply to 1.5 V for in-system programming.  
7. VPUMP can be left floating during operation (not programming mode).  
8. VCCPLL pins should be tied to VCC pins. See the "VCCPLA/B/C/D/E/F PLL Supply Voltage" section for further  
information.  
9. VMV pins must be connected to the corresponding VCCI pins. See the "VMVx I/O Supply Voltage (quiet)" section for  
further information.  
10. 3.3 V wide range is compliant to the JESD8-B specification and supports 3.0 V VCCI operation.  
2-2  
Revision 13  
IGLOOe Low Power Flash FPGAs  
Table 2-3 • Flash Programming Limits – Retention, Storage, and Operating Temperature1  
Programming Program Retention  
Maximum Storage  
Maximum Operating Junction  
Temperature TJ (°C) 2  
Product Grade  
Commercial  
Industrial  
Cycles  
(biased/unbiased) Temperature TSTG (°C) 2  
500  
20 years  
20 years  
110  
110  
100  
100  
500  
Notes:  
1. This is a stress rating only; functional operation at any condition other than those indicated is not implied.  
2. These limits apply for program/data retention only. Refer to Table 2-1 on page 2-1 and Table 2-2 for device operating  
conditions and absolute limits.  
Table 2-4 • Overshoot and Undershoot Limits 1, 3  
Average VCCI–GND Overshoot or Undershoot Duration  
as a Percentage of Clock Cycle2  
Maximum Overshoot/  
Undershoot2  
VCCI  
2.7 V or less  
10%  
5%  
1.4 V  
1.49 V  
1.1 V  
3 V  
10%  
5%  
1.19 V  
0.79 V  
0.88 V  
0.45 V  
0.54 V  
3.3 V  
3.6 V  
Notes:  
10%  
5%  
10%  
5%  
1. Based on reliability requirements at junction temperature at 85°C.  
2. The duration is allowed at one out of six clock cycles. If the overshoot/undershoot occurs at one out of two cycles, the  
maximum overshoot/undershoot has to be reduced by 0.15 V.  
3. This table does not provide PCI overshoot/undershoot limits.  
I/O Power-Up and Supply Voltage Thresholds for Power-On Reset  
(Commercial and Industrial)  
Sophisticated power-up management circuitry is designed into every IGLOOe device. These circuits  
ensure easy transition from the powered-off state to the powered-up state of the device. The many  
different supplies can power up in any sequence with minimized current spikes or surges. In addition, the  
I/O will be in a known state through the power-up sequence. The basic principle is shown in Figure 2-1  
on page 2-4 and Figure 2-2 on page 2-5.  
There are five regions to consider during power-up.  
IGLOOe I/Os are activated only if ALL of the following three conditions are met:  
1. VCC and VCCI are above the minimum specified trip points (Figure 2-1 on page 2-4 and  
Figure 2-2 on page 2-5).  
2. VCCI > VCC – 0.75 V (typical)  
3. Chip is in the operating mode.  
VCCI Trip Point:  
Ramping up: 0.6 V < trip_point_up < 1.2 V  
Ramping down: 0.5 V < trip_point_down < 1.1 V  
VCC Trip Point:  
Ramping up: 0.6 V < trip_point_up < 1.1 V  
Ramping down: 0.5 V < trip_point_down < 1 V  
VCC and VCCI ramp-up trip points are about 100 mV higher than ramp-down trip points. This specifically  
built-in hysteresis prevents undesirable power-up oscillations and current surges. Note the following:  
During programming, I/Os become tristated and weakly pulled up to VCCI.  
Revision 13  
2-3  
IGLOOe DC and Switching Characteristics  
JTAG supply, PLL power supplies, and charge pump VPUMP supply have no influence on I/O  
behavior.  
PLL Behavior at Brownout Condition  
Microsemi recommends using monotonic power supplies or voltage regulators to ensure proper powerup  
behavior. Power ramp-up should be monotonic at least until VCC and VCCPLX exceed brownout  
activation levels. The VCC activation level is specified as 1.1 V worst-case (see Figure 2-1 and Figure 2-  
2 on page 2-5 for more details).  
When PLL power supply voltage and/or VCC levels drop below the VCC brownout levels (0.75 V ±  
0.25 V), the PLL output lock signal goes low and/or the output clock is lost. Refer to the  
"Power-Up/-Down Behavior of Low Power Flash Devices" chapter of the IGLOOe FPGA Fabric User’s  
Guide for information on clock and lock recovery.  
Internal Power-Up Activation Sequence  
1. Core  
2. Input buffers  
Output buffers, after 200 ns delay from input buffer activation.  
VCC = VCCI + VT  
where VT can be from 0.58 V to 0.9 V (typically 0.75 V)  
VCC  
VCC = 1.575 V  
Region 5: I/O buffers are ON  
and power supplies are within  
specification.  
Region 4: I/O  
buffers are ON.  
I/Os are functional  
Region 1: I/O Buffers are OFF  
I/Os meet the entire datasheet  
(except differential inputs)  
but slower because VCCI  
is below specification. For the  
same reason, input buffers do not  
meet VIH / VIL levels, and output  
buffers do not meet VOH / VOL levels.  
and timer specifications for  
speed, VIH / VIL, VOH / VOL,  
etc.  
VCC = 1.425 V  
Region 2: I/O buffers are ON.  
Region 3: I/O buffers are ON.  
I/Os are functional; I/O DC  
specifications are met,  
but I/Os are slower because  
the VCC is below specification.  
I/Os are functional (except differential inputs)  
but slower because VCCI / VCC are below  
specification. For the same reason, input  
buffers do not meet VIH / VIL levels, and  
output buffers do not meet VOH / VOL levels.  
Activation trip point:  
Va = 0.85 V ± 0.25 V  
Deactivation trip point:  
Region 1: I/O buffers are OFF  
Vd = 0.75 V ± 0.25 V  
VCCI  
Activation trip point:  
a = 0.9 V ± 0.3 V  
Deactivation trip point:  
Min VCCI datasheet specification  
V
voltage at a selected I/O  
standard; i.e., 1.425 V or 1.7 V  
or 2.3 V or 3.0 V  
Vd = 0.8 V ± 0.3 V  
Figure 2-1 • V5 – I/O State as a Function of VCCI and VCC Voltage Levels  
2-4  
Revision 13  
IGLOOe Low Power Flash FPGAs  
VCC = VCCI + VT  
where VT can be from 0.58 V to 0.9 V (typically 0.75 V)  
VCC  
VCC = 1.575 V  
Region 5: I/O buffers are ON  
and power supplies are within  
specification.  
Region 4: I/O  
buffers are ON.  
I/Os are functional  
(except differential inputs)  
Region 1: I/O Buffers are OFF  
I/Os meet the entire datasheet  
and timer specifications for  
speed, VIH / VIL , VOH / VOL , etc.  
but slower because VCCI is  
below specification. For the  
same reason, input buffers do not  
meet VIH / VIL levels, and output  
buffers do not meet VOH / VOL levels.  
VCC = 1.14 V  
Region 2: I/O buffers are ON.  
Region 3: I/O buffers are ON.  
I/Os are functional; I/O DC  
specifications are met,  
but I/Os are slower because  
the VCC is below specification.  
I/Os are functional (except differential inputs)  
but slower because VCCI/VCC are below  
specification. For the same reason, input  
buffers do not meet VIH/VIL levels, and  
output buffers do not meet VOH/VOL levels.  
Activation trip point:  
V = 0.85 V ± 0.2 V  
a
Deactivation trip point:  
Region 1: I/O buffers are OFF  
V = 0.75 V ± 0.2 V  
d
VCCI  
Activation trip point:  
Min VCCI datasheet specification  
voltage at a selected I/O  
standard; i.e., 1.14 V,1.425 V, 1.7 V,  
2.3 V, or 3.0 V  
V = 0.9 V ± 0.15 V  
Deactivation trip point:  
a
V = 0.8 V ± 0.15 V  
d
Figure 2-2 • V2 Devices – I/O State as a Function of VCCI and VCC Voltage Levels  
Thermal Characteristics  
Introduction  
The temperature variable in Microsemi Designer software refers to the junction temperature, not the  
ambient temperature. This is an important distinction because dynamic and static power consumption  
cause the chip junction to be higher than the ambient temperature.  
EQ 1 can be used to calculate junction temperature.  
TJ = Junction Temperature = T + TA  
EQ 1  
where:  
TA = Ambient Temperature  
T = Temperature gradient between junction (silicon) and ambient T = ja * P  
ja = Junction-to-ambient of the package. ja numbers are located in Table 2-5.  
P = Power dissipation  
Revision 13  
2-5  
IGLOOe DC and Switching Characteristics  
Package Thermal Characteristics  
The device junction-to-case thermal resistivity is jc and the junction-to-ambient air thermal resistivity is  
ja. The thermal characteristics for ja are shown for two air flow rates. The absolute maximum junction  
temperature is 100°C. EQ 2 shows a sample calculation of the absolute maximum power dissipation  
allowed for an 896-pin FBGA package at commercial temperature and in still air.  
Max. junction temp. (C) – Max. ambient temp. (C) 100C – 70C  
Maximum Power Allowed = --------------------------------------------------------------------------------------------------------------------------------- = ---------------------------------- = 2.206 W  
ja(C/W)  
13.6C/W  
EQ 2  
Table 2-5 • Package Thermal Resistivities  
ja  
Package Type  
Pin Count  
jc Still Air  
200 ft./min.  
22.5  
500 ft./min.  
20.8  
Units  
Plastic Quad Flat Package (PQFP)  
208  
208  
8.0  
3.8  
26.1  
16.2  
C/W  
C/W  
Plastic Quad Flat Package (PQFP) with  
embedded heat spreader  
13.3  
11.9  
Fine Pitch Ball Grid Array (FBGA)  
256  
484  
676  
896  
3.8  
3.2  
3.2  
2.4  
26.9  
20.5  
16.4  
13.6  
22.8  
17.0  
13.0  
10.4  
21.5  
15.9  
12.0  
9.4  
C/W  
C/W  
C/W  
C/W  
Temperature and Voltage Derating Factors  
Table 2-6 • Temperature and Voltage Derating Factors for Timing Delays  
(normalized to TJ = 70°C,VCC = 1.425 V)  
For IGLOOe V2 or V5 devices, 1.5 V DC Core Supply Voltage  
Junction Temperature (°C)  
Array Voltage  
VCC (V)  
–40°C  
0.945  
0.876  
0.824  
0°C  
25°C  
0.978  
0.906  
0.852  
70°C  
1.000  
0.927  
0.872  
85°C  
100°C  
1.425  
0.965  
0.893  
0.840  
1.008  
0.934  
0.879  
1.013  
0.940  
0.884  
1.500  
1.575  
Table 2-7 • Temperature and Voltage Derating Factors for Timing Delays  
(normalized to TJ = 70°C, VCC = 1.14 V)  
For IGLOOe V2, 1.2 V DC Core Supply Voltage  
Junction Temperature (°C)  
Array Voltage  
VCC (V)  
–40°C  
0.968  
0.864  
0.793  
0°C  
25°C  
0.991  
0.885  
0.813  
70°C  
1.000  
0.893  
0.821  
85°C  
1.006  
0.898  
0.826  
100°C  
1.010  
0.902  
0.829  
1.14  
0.978  
0.873  
0.803  
1.20  
1.26  
2-6  
Revision 13  
IGLOOe Low Power Flash FPGAs  
Calculating Power Dissipation  
Quiescent Supply Current  
Quiescent supply current (IDD) calculation depends on multiple factors, including operating  
voltages (VCC, VCCI, and VJTAG), operating temperature, system clock frequency, and power  
modes usage. Microsemi recommends using the PowerCalculator and SmartPower software  
estimation tools to evaluate the projected static and active power based on the user design, power  
mode usage, operating voltage, and temperature.  
Table 2-8 • Power Supply State per Mode  
Power Supply Configurations  
Modes/power supplies  
Flash*Freeze  
VCC  
On  
VCCPLL  
VCCI  
On  
VJTAG  
VPUMP  
On/off/floating  
Off  
On  
Off  
Off  
On  
On  
Off  
Off  
On  
Sleep  
Off  
On  
Shutdown  
Off  
Off  
Off  
No Flash*Freeze  
Note: Off: Power supply level = 0 V  
On  
On  
On/off/floating  
Table 2-9 • Quiescent Supply Current (IDD), IGLOOe Flash*Freeze Mode*  
Core Voltage  
1.2 V  
AGLE600  
AGLE3000  
Units  
µA  
Typical (25°C)  
34  
72  
95  
1.5 V  
310  
µA  
Note: *IDD includes VCC, VPUMP, VCCI, VCCPLL, and VMV currents. Values do not include I/O static contribution,  
which is shown in Table 2-13 on page 2-9 and Table 2-14 on page 2-10 (PDC6 and PDC7).  
Table 2-10 • Quiescent Supply Current (IDD) Characteristics, IGLOOe Sleep Mode*  
Core Voltage  
AGLE600  
AGLE3000  
Units  
VCCI/VJTAG = 1.2 V (per bank)  
Typical (25°C)  
1.2 V  
1.7  
1.7  
µA  
VCCI/VJTAG = 1.5 V (per bank)  
Typical (25°C)  
1.2 V / 1.5 V  
1.2 V / 1.5 V  
1.2 V / 1.5 V  
1.2 V / 1.5 V  
1.8  
1.9  
2.2  
2.5  
1.8  
1.9  
2.2  
2.5  
µA  
µA  
µA  
µA  
VCCI/VJTAG = 1.8 V (per bank)  
Typical (25°C)  
VCCI/VJTAG = 2.5 V (per bank)  
Typical (25°C)  
VCCI/VJTAG= 3.3 V (per bank)  
Typical (25°C)  
Note: *IDD = NBANKS × ICCI. Values do not include I/O static contribution, which is shown in Table 2-13 on page 2-9  
and Table 2-14 on page 2-10 (PDC6 and PDC7).  
Table 2-11 • Quiescent Supply Current (IDD) Characteristics, IGLOOe Shutdown Mode*  
Core Voltage  
AGLE600  
AGLE3000  
Units  
Typical (25°C)  
1.2 V / 1.5 V  
0
0
µA  
Revision 13  
2-7  
IGLOOe DC and Switching Characteristics  
Table 2-12 • Quiescent Supply Current (IDD) Characteristics, No Flash*Freeze Mode1  
Core Voltage  
AGLE600  
AGLE3000  
Units  
ICCA Current2  
Typical (25°C)  
1.2 V  
1.5 V  
28  
82  
89  
µA  
µA  
320  
ICCI or IJTAG Current3  
VCCI/VJTAG = 1.2 V (per bank)  
Typical (25°C)  
1.2 V  
1.7  
1.8  
1.9  
2.2  
2.5  
1.7  
1.8  
1.9  
2.2  
2.5  
µA  
µA  
µA  
µA  
µA  
VCCI/VJTAG = 1.5 V (per bank)  
Typical (25°C)  
1.2 V / 1.5 V  
1.2 V / 1.5 V  
1.2 V / 1.5 V  
1.2 V / 1.5 V  
VCCI/VJTAG = 1.8 V (per bank)  
Typical (25°C)  
VCCI/VJTAG = 2.5 V (per bank)  
Typical (25°C)  
VCCI/VJTAG= 3.3 V (per bank)  
Typical (25°C)  
Notes:  
1. IDD = N  
× ICCI + ICCA. JTAG counts as one bank when powered.  
BANKS  
2. Includes VCC and VPUMP and VCCPLL currents.  
3. Values do not include I/O static contribution (PDC6 and PDC7).  
2-8  
Revision 13  
IGLOOe Low Power Flash FPGAs  
Power per I/O Pin  
Table 2-13 • Summary of I/O Input Buffer Power (per pin) – Default I/O Software Settings  
VCCI  
(V)  
Static Power  
PDC6 (mW)1  
Dynamic Power  
PAC9 (µW/MHz)2  
Single-Ended  
3.3 V LVTTL/LVCMOS  
3.3 V LVTTL/LVCMOS – Schmitt trigger  
3.3 V LVCMOS Wide Range 3  
3.3 V LVCMOS Wide Range – Schmitt trigger 3  
2.5 V LVCMOS  
3.3  
3.3  
3.3  
3.3  
2.5  
2.5  
1.8  
1.8  
1.5  
1.5  
1.2  
1.2  
1.2  
1.2  
3.3  
3.3  
3.3  
3.3  
16.34  
24.49  
16.34  
24.49  
4.71  
2.5 V LVCMOS  
6.13  
1.8 V LVCMOS  
1.66  
1.8 V LVCMOS – Schmitt trigger  
1.5 V LVCMOS (JESD8-11)  
1.5 V LVCMOS (JESD8-11) – Schmitt trigger  
1.2 V LVCMOS 4  
1.2 V LVCMOS – Schmitt trigger 4  
1.2 V LVCMOS Wide Range 4  
1.2 V LVCMOS Wide Range – Schmitt trigger 4  
3.3 V PCI  
1.78  
1.01  
0.97  
0.60  
0.53  
0.60  
0.53  
17.76  
19.10  
17.76  
19.10  
3.3 V PCI – Schmitt trigger  
3.3 V PCI-X  
3.3 V PCI-X – Schmitt trigger  
Voltage-Referenced  
3.3 V GTL  
3.3  
2.5  
3.3  
2.5  
1.5  
1.5  
2.5  
2.5  
3.3  
3.3  
2.90  
2.13  
2.81  
2.57  
0.17  
0.17  
1.38  
1.38  
3.21  
3.21  
7.14  
3.54  
2.91  
2.61  
0.79  
.079  
3.26  
3.26  
7.97  
7.97  
2.5 V GTL  
3.3 V GTL+  
2.5 V GTL+  
HSTL (I)  
HSTL (II)  
SSTL2 (I)  
SSTL2 (II)  
SSTL3 (I)  
SSTL3 (II)  
Differential  
LVDS  
2.5  
3.3  
2.26  
5.71  
0.89  
1.94  
LVPECL  
Notes:  
1. PDC6 is the static power (where applicable) measured on VCCI.  
2. PAC9 is the total dynamic power measured on VCCI.  
3. All LVCMOS 3.3 V software macros support LVCMOS 3.3 V wide range as specified in the JESD8b specification.  
4. Applicable for IGLOOe V2 devices only.  
Revision 13  
2-9  
IGLOOe DC and Switching Characteristics  
Table 2-14 • Summary of I/O Output Buffer Power (per pin) – Default I/O Software Settings1  
CLOAD  
(pF)  
VCCI  
(V)  
Static Power  
PDC7 (mW)2  
Dynamic Power  
PAC10 (µW/MHz)3  
Single-Ended  
3.3 V LVTTL/LVCMOS  
3.3 V LVCMOS Wide Range 4  
2.5 V LVCMOS  
5
5
5
5
5
5
3.3  
3.3  
2.5  
1.8  
1.5  
1.2  
148.00  
148.00  
83.23  
54.58  
37.05  
17.94  
17.94  
1.8 V LVCMOS  
1.5 V LVCMOS (JESD8-11)  
1.2 V LVCMOS (JESD8-11)  
1.2 V LVCMOS (JESD8-11) – Wide  
Range  
3.3 V PCI  
3.3 V PCI-X  
Voltage-Referenced  
3.3 V GTL  
2.5 V GTL  
3.3 V GTL+  
2.5 V GTL+  
HSTL (I)  
10  
10  
3.3  
3.3  
204.61  
204.61  
10  
10  
10  
10  
20  
20  
30  
30  
30  
30  
3.3  
2.5  
3.3  
2.5  
1.5  
1.5  
2.5  
2.5  
3.3  
3.3  
24.08  
13.52  
24.10  
13.54  
26.22  
27.18  
105.56  
116.60  
114.67  
131.69  
7.08  
13.88  
16.69  
25.91  
26.02  
42.21  
HSTL (II)  
SSTL2 (I)  
SSTL2 (II)  
SSTL3 (I)  
SSTL3 (II)  
Differential  
LVDS  
2.5  
3.3  
7.70  
89.62  
LVPECL  
19.42  
167.86  
Notes:  
1. Dynamic power consumption is given for standard load and software default drive strength and output slew.  
2. PDC7 is the static power (where applicable) measured on VCCI.  
3. PAC10 is the total dynamic power measured on VCCI.  
4. All LVCMOS 3.3 V software macros support LVCMOS 3.3 V wide range as specified in the JESD8b specification.  
2-10  
Revision 13  
IGLOOe Low Power Flash FPGAs  
Power Consumption of Various Internal Resources  
Table 2-15 • Different Components Contributing to the Dynamic Power Consumption in IGLOOe Devices  
For IGLOOe V2 or V5 Devices, 1.5 V DC Core Supply Voltage  
Device-Specific Dynamic  
Contributions (µW/MHz)  
Parameter  
PAC1  
Definition  
Clock contribution of a Global Rib  
AGLE600  
19.7  
AGLE3000  
12.77  
PAC2  
Clock contribution of a Global Spine  
4.16  
1.85  
PAC3  
Clock contribution of a VersaTile row  
0.88  
PAC4  
Clock contribution of a VersaTile used as a sequential module  
First contribution of a VersaTile used as a sequential module  
Second contribution of a VersaTile used as a sequential module  
Contribution of a VersaTile used as a combinatorial module  
Average contribution of a routing net  
0.11  
0.057  
0.207  
0.207  
0.7  
PAC5  
PAC6  
PAC7  
PAC8  
PAC9  
Contribution of an I/O input pin (standard-dependent)  
Contribution of an I/O output pin (standard-dependent)  
Average contribution of a RAM block during a read operation  
Average contribution of a RAM block during a write operation  
Dynamic contribution for PLL  
See Table 2-13 on page 2-9.  
PAC10  
PAC11  
PAC12  
PAC13  
See Table 2-14 on page 2-10.  
25.00  
30.00  
2.70  
Note: For a different output load, drive strength, or slew rate, Microsemi recommends using the Microsemi power  
calculator or SmartPower in Libero SoC software.  
Table 2-16 • Different Components Contributing to the Static Power Consumption in IGLOO Devices  
For IGLOOe V2 or V5 Devices, 1.5 V DC Core Supply Voltage  
Device Specific Static Power (mW)  
Parameter  
PDC1  
Definition  
AGLE600  
AGLE3000  
Array static power in Active mode  
See Table 2-12 on page 2-8.  
See Table 2-11 on page 2-7.  
See Table 2-9 on page 2-7.  
1.84  
PDC2  
Array static power in Static (Idle) mode  
Array static power in Flash*Freeze mode  
Static PLL contribution  
PDC3  
PDC4  
PDC5  
Bank quiescent power (VCCI-dependent)  
I/O input pin static power (standard-dependent)  
I/O output pin static power (standard-dependent)  
See Table 2-12 on page 2-8.  
See Table 2-13 on page 2-9.  
See Table 2-14 on page 2-10.  
PDC6  
PDC7  
Revision 13  
2-11  
IGLOOe DC and Switching Characteristics  
Table 2-17 • Different Components Contributing to the Dynamic Power Consumption in IGLOOe Devices  
For IGLOOe V2 Devices, 1.2 V DC Core Supply Voltage  
Device-Specific Dynamic  
Contributions (µW/MHz)  
Parameter  
PAC1  
Definition  
Clock contribution of a Global Rib  
AGLE600  
12.61  
AGLE3000  
8.17  
PAC2  
Clock contribution of a Global Spine  
2.66  
1.18  
PAC3  
Clock contribution of a VersaTile row  
0.56  
PAC4  
Clock contribution of a VersaTile used as a sequential module  
First contribution of a VersaTile used as a sequential module  
Second contribution of a VersaTile used as a sequential module  
Contribution of a VersaTile used as a combinatorial module  
Average contribution of a routing net  
0.071  
0.045  
0.186  
0.109  
0.449  
PAC5  
PAC6  
PAC7  
PAC8  
PAC9  
Contribution of an I/O input pin (standard-dependent)  
Contribution of an I/O output pin (standard-dependent)  
See Table 2-9 on page 2-7.  
PAC10  
See Table 2-10 on page 2-7  
and Table 2-11 on page 2-7.  
PAC11  
PAC12  
PAC13  
Average contribution of a RAM block during a read operation  
Average contribution of a RAM block during a write operation  
Dynamic PLL contribution  
25.00  
30.00  
2.10  
Note: For a different output load, drive strength, or slew rate, Microsemi recommends using the Microsemi power  
calculator or SmartPower in Libero SoC software.  
Table 2-18 • Different Components Contributing to the Static Power Consumption in IGLOO Devices  
For IGLOOe V2 Devices, 1.2 V DC Core Supply Voltage  
Device Specific Static Power (mW)  
Parameter  
PDC1  
Definition  
AGLE600  
AGLE3000  
Array static power in Active mode  
See Table 2-12 on page 2-8.  
See Table 2-11 on page 2-7.  
See Table 2-9 on page 2-7.  
0.90  
PDC2  
Array static power in Static (Idle) mode  
Array static power in Flash*Freeze mode  
Static PLL contribution  
PDC3  
PDC4  
PDC5  
Bank quiescent power (VCCI-dependent)  
I/O input pin static power (standard-dependent)  
I/O output pin static power (standard-dependent)  
See Table 2-12 on page 2-8.  
See Table 2-13 on page 2-9.  
See Table 2-14 on page 2-10.  
PDC6  
PDC7  
2-12  
Revision 13  
IGLOOe Low Power Flash FPGAs  
Power Calculation Methodology  
This section describes a simplified method to estimate power consumption of an application. For more  
accurate and detailed power estimations, use the SmartPower tool in the Libero SoC software.  
The power calculation methodology described below uses the following variables:  
The number of PLLs as well as the number and the frequency of each output clock generated  
The number of combinatorial and sequential cells used in the design  
The internal clock frequencies  
The number and the standard of I/O pins used in the design  
The number of RAM blocks used in the design  
Toggle rates of I/O pins as well as VersaTiles—guidelines are provided in Table 2-19 on  
page 2-15.  
Enable rates of output buffers—guidelines are provided for typical applications in Table 2-20 on  
page 2-15.  
Read rate and write rate to the memory—guidelines are provided for typical applications in  
Table 2-20 on page 2-15. The calculation should be repeated for each clock domain defined in the  
design.  
Methodology  
Total Power Consumption—P  
TOTAL  
PTOTAL = PSTAT + PDYN  
PSTAT is the total static power consumption.  
DYN is the total dynamic power consumption.  
Total Static Power Consumption—P  
P
STAT  
PSTAT = (PDC1 or PDC2 or PDC3) + NBANKS * PDC5 + NINPUTS* PDC6 + NOUTPUTS* PDC7  
NINPUTS is the number of I/O input buffers used in the design.  
N
OUTPUTS is the number of I/O output buffers used in the design.  
BANKS is the number of I/O banks powered in the design.  
N
Total Dynamic Power Consumption—P  
DYN  
PDYN = PCLOCK + PS-CELL + PC-CELL + PNET + PINPUTS + POUTPUTS + PMEMORY + PPLL  
Global Clock Contribution—P  
CLOCK  
PCLOCK = (PAC1 + NSPINE * PAC2 + NROW * PAC3 + NS-CELL * PAC4) * FCLK  
NSPINE is the number of global spines used in the user design—guidelines are provided in  
the "Spine Architecture" section of the Global Resources chapter in the IGLOOe FPGA Fabric  
User's Guide.  
NROW is the number of VersaTile rows used in the design—guidelines are provided in the  
"Spine Architecture" section of the Global Resources chapter in the IGLOOe FPGA Fabric  
User's Guide.  
F
CLK is the global clock signal frequency.  
S-CELL is the number of VersaTiles used as sequential modules in the design.  
PAC1, PAC2, PAC3, and PAC4 are device-dependent.  
Sequential Cells Contribution—P  
N
S-CELL  
PS-CELL = NS-CELL * (PAC5 + 1 / 2 * PAC6) * FCLK  
NS-CELL is the number of VersaTiles used as sequential modules in the design. When a  
multi-tile sequential cell is used, it should be accounted for as 1.  
1 is the toggle rate of VersaTile outputs—guidelines are provided in Table 2-19 on  
page 2-15.  
F
CLK is the global clock signal frequency.  
Revision 13  
2-13  
IGLOOe DC and Switching Characteristics  
Combinatorial Cells Contribution—P  
C-CELL  
PC-CELL = NC-CELL* 1 / 2 * PAC7 * FCLK  
NC-CELL is the number of VersaTiles used as combinatorial modules in the design.  
1 is the toggle rate of VersaTile outputs—guidelines are provided in Table 2-19 on  
page 2-15.  
F
CLK is the global clock signal frequency.  
Routing Net Contribution—P  
NET  
PNET = (NS-CELL + NC-CELL) * 1 / 2 * PAC8 * FCLK  
NS-CELL is the number of VersaTiles used as sequential modules in the design.  
NC-CELL is the number of VersaTiles used as combinatorial modules in the design.  
1 is the toggle rate of VersaTile outputs—guidelines are provided in Table 2-19 on  
page 2-15.  
F
CLK is the global clock signal frequency.  
I/O Input Buffer Contribution—P  
INPUTS  
PINPUTS = NINPUTS * 2 / 2 * PAC9 * FCLK  
NINPUTS is the number of I/O input buffers used in the design.  
2 is the I/O buffer toggle rate—guidelines are provided in Table 2-19 on page 2-15.  
F
CLK is the global clock signal frequency.  
I/O Output Buffer Contribution—P  
OUTPUTS  
POUTPUTS = NOUTPUTS * 2 / 2 * 1 * PAC10 * FCLK  
NOUTPUTS is the number of I/O output buffers used in the design.  
2 is the I/O buffer toggle rate—guidelines are provided in Table 2-19 on page 2-15.  
1 is the I/O buffer enable rate—guidelines are provided in Table 2-20 on page 2-15.  
F
CLK is the global clock signal frequency.  
RAM Contribution—P  
MEMORY  
PMEMORY = PAC11 * NBLOCKS * FREAD-CLOCK * 2 + PAC12 * NBLOCK * FWRITE-CLOCK * 3  
NBLOCKS is the number of RAM blocks used in the design.  
F
READ-CLOCK is the memory read clock frequency.  
2 is the RAM enable rate for read operations—guidelines are provided in Table 2-20 on  
page 2-15.  
F
WRITE-CLOCK is the memory write clock frequency.  
3 is the RAM enable rate for write operations—guidelines are provided in Table 2-20 on  
page 2-15.  
PLL Contribution—P  
PLL  
PPLL = PDC4 + PAC13 * FCLKOUT  
FCLKOUT is the output clock frequency.1  
1. If a PLL is used to generate more than one output clock, include each output clock in the formula by adding its corresponding  
contribution (PAC13* FCLKOUT product) to the total PLL contribution.  
2-14  
Revision 13  
IGLOOe Low Power Flash FPGAs  
Guidelines  
Toggle Rate Definition  
A toggle rate defines the frequency of a net or logic element relative to a clock. It is a percentage. If the  
toggle rate of a net is 100%, this means that this net switches at half the clock frequency. Below are  
some examples:  
The average toggle rate of a shift register is 100% as all flip-flop outputs toggle at half of the clock  
frequency.  
The average toggle rate of an 8-bit counter is 25%:  
Bit 0 (LSB) = 100%  
Bit 1  
Bit 2  
= 50%  
= 25%  
Bit 7 (MSB) = 0.78125%  
Average toggle rate = (100% + 50% + 25% + 12.5% + . . . + 0.78125%) / 8  
Enable Rate Definition  
Output enable rate is the average percentage of time during which tristate outputs are enabled. When  
nontristate output buffers are used, the enable rate should be 100%.  
Table 2-19 • Toggle Rate Guidelines Recommended for Power Calculation  
Component  
Definition  
Toggle rate of VersaTile outputs  
I/O buffer toggle rate  
Guideline  
10%  
1  
2  
10%  
Table 2-20 • Enable Rate Guidelines Recommended for Power Calculation  
Component  
Definition  
I/O output buffer enable rate  
Guideline  
100%  
1  
2  
3  
RAM enable rate for read operations  
RAM enable rate for write operations  
12.5%  
12.5%  
Revision 13  
2-15  
IGLOOe DC and Switching Characteristics  
User I/O Characteristics  
Timing Model  
I/O Module  
(Non-Registered)  
Combinational Cell  
Y
Combinational Cell  
Y
LVPECL  
tPD = 1.19 ns  
tPD = 1.04 ns  
tDP = 1.75 ns  
I/O Module  
(Non-Registered)  
Combinational Cell  
Y
LVTTL/LVCMOS 3.3 V  
Output drive strength = 12 mA  
High slew rate  
tDP = 3.13 ns  
tPD = 1.77 ns  
I/O Module  
(Non-Registered)  
Combinational Cell  
Y
I/O Module  
(Registered)  
LVTTL/LVCMOS 3.3 V  
Output drive strength = 24 mA  
High slew rate  
tDP = 2.76 ns  
t
PY = 1.45 ns  
tPD = 1.33 ns  
LVPECL  
D
Q
I/O Module  
(Non-Registered)  
Combinational Cell  
Y
LVCMOS 1.5V  
Output drive strength = 12 mA  
High slew  
t
t
ICLKQ = 0.43 ns  
tDP = 3.30 ns  
ISUD = 0.47 ns  
tPD = 0.85 ns  
Input LVTTL/LVCMOS 3.3 V  
Clock  
I/O Module  
(Registered)  
Register Cell  
Register Cell  
Combinational Cell  
tPY = 1.10 ns  
Y
D
Q
D
Q
D
Q
GTL+ 3.3V  
DP = 1.85 ns  
I/O Module  
(Non-Registered)  
tPD = 0.90 ns  
t
t
t
CLKQ = 0.90 ns  
t
CLKQ = 0.90 ns  
t
OCLKQ = 1.02 ns  
LVDS,  
BLVDS,  
M-LVDS  
SUD = 0.82 ns  
tSUD = 0.82 ns  
tOSUD = 0.52 ns  
Input LVTTL/LVCMOS 3.3 V  
Clock  
Input LVTTL/LVCMOS 3.3 V  
Clock  
tPY = 1.62 ns  
tPY = 1.10 ns  
tPY = 1.10 ns  
Figure 2-3 • Timing Model  
Operating Conditions: Std. Speed, Commercial Temperature Range (TJ = 70°C), Worst-Case  
VCC = 1.425 V, Applicable to 1.5 V DC Core Voltage, V2 and V5 devices  
2-16  
Revision 13  
IGLOOe Low Power Flash FPGAs  
tPY  
tDIN  
D
Q
PAD  
DIN  
Y
CLK  
To Array  
I/O Interface  
tPY = MAX(tPY(R), tPY(F))  
tDIN = MAX(tDIN(R), tDIN(F))  
VIH  
Vtrip  
Vtrip  
VCC  
PAD  
VIL  
50%  
50%  
Y
tPY  
tPY  
(F)  
GND  
(R)  
tPYS  
(F)  
tPYS  
(R)  
VCC  
50%  
50%  
DIN  
tDIN  
(R)  
GND  
tDIN  
(F)  
Figure 2-4 • Input Buffer Timing Model and Delays (example)  
Revision 13  
2-17  
IGLOOe DC and Switching Characteristics  
tDOUT  
D Q  
tDP  
PAD  
DOUT  
CLK  
Std  
Load  
D
From Array  
tDP = MAX(tDP(R), tDP(F))  
tDOUT = MAX(tDOUT(R), tDOUT(F))  
I/O Interface  
tDOUT  
(R)  
tDOUT  
(F)  
VCC  
50%  
50%  
VCC  
D
0 V  
50%  
50%  
DOUT  
PAD  
0 V  
VOH  
Vtrip  
VOL  
Vtrip  
tDP  
(R)  
tDP  
(F)  
Figure 2-5 • Output Buffer Model and Delays (example)  
2-18  
Revision 13  
IGLOOe Low Power Flash FPGAs  
t
EOUT  
D
Q
CLK  
t
, t , t , t , t , t  
E
ZL ZH HZ LZ ZLS ZHS  
EOUT  
D
Q
PAD  
DOUT  
CLK  
D
t
= MAX(t  
(r), t (f))  
EOUT  
I/O Interface  
EOUT  
EOUT  
VCC  
D
E
VCC  
50%  
t
50%  
t
EOUT (F)  
EOUT (R)  
VCC  
50%  
50%  
50%  
ZH  
50%  
t
LZ  
EOUT  
PAD  
t
t
t
ZL  
HZ  
VCCI  
90% VCCI  
Vtrip  
Vtrip  
VOL  
10% V  
CCI  
VCC  
D
E
VCC  
50%  
50%  
50%  
t
t
EOUT (F)  
EOUT (R)  
VCC  
50%  
EOUT  
PAD  
50%  
VOH  
t
ZHS  
t
ZLS  
Vtrip  
Vtrip  
VOL  
Figure 2-6 • Tristate Output Buffer Timing Model and Delays (example)  
Revision 13  
2-19  
IGLOOe DC and Switching Characteristics  
Overview of I/O Performance  
Summary of I/O DC Input and Output Levels – Default I/O Software  
Settings  
Table 2-21 • Summary of Maximum and Minimum DC Input and Output Levels  
Applicable to Commercial and Industrial Conditions  
Equivalent  
Software  
Default  
VIL  
VIH  
VOL  
VOH  
IOL1 IOH1  
I/O  
Drive  
Drive  
Slew Min.  
Max.  
V
Min.  
V
Max.  
V
Max.  
V
Min.  
V
Standard Strength Strength2 Rate  
V
mA mA  
3.3 V  
LVTTL /  
3.3 V  
12 mA  
12 mA  
High –0.3  
High –0.3  
High –0.3  
0.8  
2
3.6  
3.6  
3.6  
0.4  
2.4  
12 12  
LVCMOS  
3.3 V  
100 µA  
12 mA  
0.8  
0.7  
2
0.2  
VCCI – 0.2 0.1 0.1  
LVCMOS  
Wide  
Range3  
2.5 V  
LVCMOS  
12 mA  
12 mA  
12 mA  
2 mA  
12 mA  
12 mA  
12 mA  
2 mA  
1.7  
0.7  
1.7  
12 12  
1.8 V  
LVCMOS  
High –0.3 0.35 * VCCI 0.65 * VCCI 3.6  
0.45  
VCCI – 0.45 12 12  
1.5 V  
LVCMOS  
High –0.3 0.35 * VCCI 0.65 * VCCI 3.6 0.25 * VCCI 0.75 * VCCI 12 12  
High –0.3 0.35 * VCCI 0.65 * VCCI 3.6 0.25 * VCCI 0.75 * VCCI  
1.2 V  
2
2
LVCMOS  
1.2 V  
100 µA  
2 mA  
High –0.3 0.3 * VCCI  
0.7 * VCCI  
3.6  
0.1  
VCCI – 0.1 0.1 0.1  
LVCMOS  
Wide  
Range 4  
3.3 V PCI  
Per PCI Specification  
Per PCI-X Specification  
3.3VPCI-X  
3.3 V GTL 20 mA5 20 mA5 High –0.3 VREF – 0.05 VREF + 0.05 3.6  
2.5 V GTL 20 mA5 20 mA5 High –0.3 VREF – 0.05 VREF + 0.05 3.6  
0.4  
0.4  
0.6  
0.6  
0.4  
20 20  
20 20  
35 35  
33 33  
3.3 V GTL+ 35 mA  
2.5 V GTL+ 33 mA  
35 mA  
33 mA  
8 mA  
High –0.3 VREF – 0.1 VREF + 0.1 3.6  
High –0.3 VREF – 0.1 VREF + 0.1 3.6  
High –0.3 VREF – 0.1 VREF + 0.1 3.6  
HSTL (I)  
8 mA  
VCCI – 0.4  
8
8
Notes:  
1. Currents are measured at 85°C junction temperature.  
2. The minimum drive strength for any LVCMOS 1.2 V or LVCMOS 3.3 V software configuration when run in wide range is  
±100 µA. Drive strength displayed in the software is supported for normal range only. For a detailed I/V curve, refer to the  
IBIS models.  
3. All LVCMOS 3.3 V software macros support LVCMOS 3.3 V wide range as specified in the JESD8-12 specification.  
4. All LVCMOS 1.2 V software macros support LVCMOS 1.2 V wide range as specified in the JESD8-12 specification.  
5. Output drive strength is below JEDEC specification.  
6. Output Slew Rates can be extracted from IBIS Models, http://www.microsemi.com/soc/download/ibis/default.aspx.  
2-20  
Revision 13  
IGLOOe Low Power Flash FPGAs  
Table 2-21 • Summary of Maximum and Minimum DC Input and Output Levels (continued)  
Applicable to Commercial and Industrial Conditions  
Equivalent  
Software  
Default  
VIL  
VIH  
VOL  
VOH  
IOL1 IOH1  
mA mA  
I/O  
Drive  
Drive  
Slew Min.  
Max.  
Min.  
Max.  
V
Max.  
V
Min.  
V
Standard Strength Strength2 Rate  
V
V
V
HSTL (II)  
SSTL2 (I)  
SSTL2 (II)  
SSTL3 (I)  
SSTL3 (II)  
Notes:  
15 mA5 15 mA5 High –0.3 VREF – 0.1 VREF + 0.1 3.6  
0.4  
0.54  
0.35  
0.7  
VCCI – 0.4 15 15  
VCCI – 0.62 15 15  
VCCI – 0.43 18 18  
VCCI – 1.1 14 14  
VCCI – 0.9 21 21  
15 mA  
18 mA  
14 mA  
21 mA  
15 mA  
18 mA  
14 mA  
21 mA  
High –0.3 VREF – 0.2 VREF + 0.2 3.6  
High –0.3 VREF – 0.2 VREF + 0.2 3.6  
High –0.3 VREF – 0.2 VREF + 0.2 3.6  
High –0.3 VREF – 0.2 VREF + 0.2 3.6  
0.5  
1. Currents are measured at 85°C junction temperature.  
2. The minimum drive strength for any LVCMOS 1.2 V or LVCMOS 3.3 V software configuration when run in wide range is  
±100 µA. Drive strength displayed in the software is supported for normal range only. For a detailed I/V curve, refer to the  
IBIS models.  
3. All LVCMOS 3.3 V software macros support LVCMOS 3.3 V wide range as specified in the JESD8-12 specification.  
4. All LVCMOS 1.2 V software macros support LVCMOS 1.2 V wide range as specified in the JESD8-12 specification.  
5. Output drive strength is below JEDEC specification.  
6. Output Slew Rates can be extracted from IBIS Models, http://www.microsemi.com/soc/download/ibis/default.aspx.  
Revision 13  
2-21  
IGLOOe DC and Switching Characteristics  
Table 2-22 • Summary of Maximum and Minimum DC Input Levels  
Applicable to Commercial and Industrial Conditions  
Commercial1  
IIL3  
Industrial2  
IIH4  
µA  
10  
10  
10  
10  
10  
10  
10  
10  
10  
10  
10  
10  
10  
10  
10  
10  
10  
10  
10  
IIL3  
µA  
15  
15  
15  
15  
15  
15  
15  
15  
15  
15  
15  
15  
15  
15  
15  
15  
15  
15  
15  
IIH4  
µA  
15  
15  
15  
15  
15  
15  
15  
15  
15  
15  
15  
15  
15  
15  
15  
15  
15  
15  
15  
DC I/O Standards  
3.3 V LVTTL / 3.3 V LVCMOS  
3.3 V LVCMOS Wide Range  
2.5 V LVCMOS  
1.8 V LVCMOS  
1.5 V LVCMOS  
1.2 V LVCMOS5  
1.2 V LVCOMS Wide Range5  
3.3 V PCI  
µA  
10  
10  
10  
10  
10  
10  
10  
10  
10  
10  
10  
10  
10  
10  
10  
10  
10  
10  
10  
3.3 V PCI-X  
3.3 V GTL  
2.5 V GTL  
3.3 V GTL+  
2.5 V GTL+  
HSTL (I)  
HSTL (II)  
SSTL2 (I)  
SSTL2 (II)  
SSTL3 (I)  
SSTL3 (II)  
Notes:  
1. Commercial range (0°C < T < 70°C)  
A
2. Industrial range (–40°C < T < 85°C)  
A
3. IIL is the input leakage current per I/O pin over recommended operation conditions where –0.3 V < VIN < VIL.  
4. IIH is the input leakage current per I/O pin over recommended operating conditions VIH < VIN < VCCI. Input current is  
larger when operating outside recommended ranges.  
5. Applicable to V2 devices operating at VCCI VCC.  
2-22  
Revision 13  
IGLOOe Low Power Flash FPGAs  
Summary of I/O Timing Characteristics – Default I/O Software  
Settings  
Table 2-23 • Summary of AC Measuring Points  
Input Reference  
Voltage (VREF_TYP)  
Board Termination  
Voltage (VTT_REF)  
Measuring Trip  
Point (Vtrip)  
Standard  
3.3 V LVTTL / 3.3 V LVCMOS  
3.3 V LVCMOS Wide Range  
2.5 V LVCMOS  
1.4 V  
1.4 V  
1.2 V  
1.8 V LVCMOS  
0.90 V  
1.5 V LVCMOS  
0.75 V  
1.2 V LVCMOS*  
0.6 V  
1.2 V LVCMOS – Wide Range*  
3.3 V PCI  
0.6 V  
0.285 * VCCI (RR)  
0.615 * VCCI (FF))  
0.285 * VCCI (RR)  
0.615 * VCCI (FF)  
VREF  
3.3 V PCI-X  
3.3 V GTL  
2.5 V GTL  
3.3 V GTL+  
2.5 V GTL+  
HSTL (I)  
0.8 V  
0.8 V  
1.0 V  
1.0 V  
0.75 V  
0.75 V  
1.25 V  
1.25 V  
1.5 V  
1.5 V  
1.2 V  
1.2 V  
1.5 V  
1.5 V  
0.75 V  
0.75 V  
1.25 V  
1.25 V  
1.485 V  
1.485 V  
VREF  
VREF  
VREF  
VREF  
HSTL (II)  
SSTL2 (I)  
SSTL2 (II)  
SSTL3 (I)  
SSTL3 (II)  
LVDS  
VREF  
VREF  
VREF  
VREF  
VREF  
Cross point  
Cross point  
LVPECL  
Note: *Applicable to V2 devices ONLY operating in the 1.2 V core range.  
Revision 13  
2-23  
IGLOOe DC and Switching Characteristics  
Table 2-24 • I/O AC Parameter Definitions  
Parameter  
Definition  
tDP  
Data to Pad delay through the Output Buffer  
tPY  
Pad to Data delay through the Input Buffer with Schmitt trigger disabled  
Data to Output Buffer delay through the I/O interface  
tDOUT  
tEOUT  
tDIN  
tPYS  
tHZ  
Enable to Output Buffer Tristate Control delay through the I/O interface  
Input Buffer to Data delay through the I/O interface  
Pad to Data delay through the Input Buffer with Schmitt trigger enabled  
Enable to Pad delay through the Output Buffer—HIGH to Z  
Enable to Pad delay through the Output Buffer—Z to HIGH  
Enable to Pad delay through the Output Buffer—LOW to Z  
tZH  
tLZ  
tZL  
Enable to Pad delay through the Output Buffer—Z to LOW  
tZHS  
tZLS  
Enable to Pad delay through the Output Buffer with delayed enable—Z to HIGH  
Enable to Pad delay through the Output Buffer with delayed enable—Z to LOW  
2-24  
Revision 13  
IGLOOe Low Power Flash FPGAs  
Table 2-25 • Summary of I/O Timing Characteristics—Software Default Settings  
Std. Speed Grade, Commercial-Case Conditions: TJ = 70°C, Worst-Case VCC = 1.425 V,  
Worst-Case VCCI (per standard)  
I/O Standard  
3.3 V LVTTL /  
3.3 V LVCMOS  
12  
12 High  
5
5
0.97 2.12 0.18 1.08 1.34 0.66 2.17 1.69 2.71 3.08 5.76 5.28 ns  
0.97 2.96 0.18 1.42 1.84 0.66 2.98 2.28 3.86 4.36 6.58 5.87 ns  
3.3 V LVCMOS 100 µA 12 High  
Wide Range1, 2  
2.5 V LVCMOS  
1.8 V LVCMOS  
1.5 V LVCMOS  
3.3 V PCI  
12  
12  
12  
12 High  
12 High  
12 High  
5
5
5
.097 2.15 0.18 1.31 1.41 0.66 2.20 1.85 2.78 2.98 5.80 5.45 ns  
0.97 2.37 0.18 1.27 1.59 0.66 2.42 2.03 3.07 3.57 6.02 5.62 ns  
0.97 2.69 0.18 1.47 1.77 0.66 2.75 2.30 3.24 3.67 6.35 5.89 ns  
Per  
PCI  
High 10 25 3 0.97 2.38 0.18 0.96 1.42 0.66 2.43 1.80 2.72 3.08 6.03 5.39 ns  
spec  
3.3 V PCI-X  
Per  
PCI-X  
spec  
High 10 25 3 0.97 2.38 0.19 0.92 1.34 0.66 2.43 1.80 2.72 3.08 6.03 5.39 ns  
3.3 V GTL  
2.5 V GTL  
3.3 V GTL+  
2.5 V GTL+  
HSTL (I)  
204  
204  
35  
33  
8
High 10 25 0.97 1.78 0.19 2.35  
High 10 25 0.97 1.85 0.19 1.98  
High 10 25 0.97 1.80 0.19 1.32  
High 10 25 0.97 1.92 0.19 1.26  
High 20 50 0.97 2.67 0.18 1.72  
High 20 25 0.97 2.55 0.18 1.72  
High 30 50 0.97 1.86 0.19 1.12  
High 30 25 0.97 1.89 0.19 1.12  
High 30 50 0.97 2.00 0.19 1.06  
High 30 25 0.97 1.81 0.19 1.06  
0.66 1.80 1.78  
0.66 1.89 1.82  
0.66 1.84 1.77  
0.66 1.96 1.80  
0.66 2.72 2.67  
0.66 2.60 2.34  
0.66 1.90 1.68  
0.66 1.93 1.62  
0.66 2.04 1.67  
0.66 1.85 1.55  
5.39 5.38 ns  
5.49 5.42 ns  
5.44 5.36 ns  
5.56 5.40 ns  
6.32 6.26 ns  
6.20 5.93 ns  
5.50 5.28 ns  
5.53 5.22 ns  
5.64 5.27 ns  
5.45 5.14 ns  
HSTL (II)  
SSTL2 (I)  
SSTL2 (II)  
SSTL3 (I)  
SSTL3 (II)  
LVDS  
15  
15  
18  
14  
21  
24  
24  
High  
High  
0.97 1.73 0.19 1.62  
0.97 1.65 0.18 1.42  
ns  
ns  
LVPECL  
Notes:  
1. The minimum drive strength for any LVCMOS 1.2 V or LVCMOS 3.3 V software configuration when run in wide range is  
±100 µA. Drive strength displayed in the software is supported for normal range only. For a detailed I/V curve, refer to the  
IBIS models.  
2. All LVCMOS 3.3 V software macros support LVCMOS 3.3 V wide range as specified in the JESD8-B specification.  
3. Resistance is used to measure I/O propagation delays as defined in PCI Specifications. See Figure 2-12 on page 2-49 for  
connectivity. This resistor is not required during normal operation.  
4. Output drive strength is below JEDEC specification.  
5. For specific junction temperature and voltage supply levels, refer to Table 2-6 on page 2-6 for derating values.  
Revision 13  
2-25  
IGLOOe DC and Switching Characteristics  
Table 2-26 • Summary of I/O Timing Characteristics—Software Default Settings  
Std. Speed Grade, Commercial-Case Conditions: TJ = 70°C, Worst-Case VCC = 1.14 V,  
Worst-Case VCCI (per standard)  
I/O Standard  
3.3 V LVTTL /  
3.3 V LVCMOS  
12  
12 High  
5
1.55 2.47 0.26 1.31 1.58 1.10 2.51 2.04 3.28 3.97 8.29 7.82 ns  
1.55 3.40 0.26 1.66 2.14 1.10 3.40 2.68 4.55 5.49 9.19 8.46 ns  
3.3 V LVCMOS 100 µA 12 High 35  
Wide Range1,2  
2.5 V LVCMOS  
1.8 V LVCMOS  
1.5 V LVCMOS  
1.2 V LVCMOS  
12  
12  
12  
2
12 High  
12 High  
12 High  
5
5
5
5
5
1.55 2.51 0.26 1.55 1.77 1.10 2.54 2.22 3.36 3.85 8.33 8.00 ns  
1.55 2.75 0.26 1.53 1.96 1.10 2.78 2.40 3.68 4.56 8.57 8.19 ns  
1.55 3.10 0.26 1.72 2.16 1.10 3.15 2.70 3.86 4.68 8.93 8.49 ns  
1.55 4.06 0.26 2.09 2.95 1.10 3.92 3.46 4.01 3.79 9.71 9.24 ns  
1.55 4.06 0.26 2.09 2.95 1.10 3.92 3.46 4.01 3.79 9.71 9.24 ns  
2
2
High  
High  
1.2 V LVCMOS 100 µA  
Wide Range1,3  
3.3 V PCI  
PerPCI  
spec  
High 10 254 1.55 2.76 0.26 1.19 1.63 1.10 2.79 2.16 3.29 3.97 8.58 7.94 ns  
High 10 254 1.55 2.76 0.25 1.22 1.58 1.10 2.79 2.16 3.29 3.97 8.58 7.94 ns  
3.3 V PCI-X  
Per  
PCI-X  
spec  
3.3 V GTL  
2.5 V GTL  
3.3 V GTL+  
2.5 V GTL+  
HSTL (I)  
205  
205  
35  
33  
8
High 10 25 1.55 2.08 0.25 2.76  
High 10 25 1.55 2.17 0.25 2.35  
High 10 25 1.55 2.12 0.25 1.62  
High 10 25 1.55 2.25 0.25 1.55  
High 20 50 1.55 3.09 0.25 1.95  
High 20 25 1.55 2.94 0.25 1.95  
High 30 50 1.55 2.18 0.25 1.40  
High 30 25 1.55 2.21 0.25 1.40  
High 30 50 1.55 2.33 0.25 1.33  
High 30 25 1.55 2.13 0.25 1.33  
1.10 2.09 2.08  
1.10 2.20 2.13  
1.10 2.14 2.07  
1.10 2.27 2.10  
1.10 3.11 3.09  
1.10 2.98 2.74  
1.10 2.21 2.03  
1.10 2.24 1.97  
1.10 2.36 2.02  
1.10 2.16 1.89  
7.88 7.87 ns  
7.99 7.91 ns  
7.93 7.85 ns  
8.06 7.89 ns  
8.90 8.88 ns  
8.77 8.53 ns  
7.99 7.82 ns  
8.03 7.76 ns  
8.15 7.81 ns  
7.94 7.67 ns  
HSTL (II)  
SSTL2 (I)  
SSTL2 (II)  
SSTL3 (I)  
SSTL3 (II)  
Notes:  
15  
15  
18  
14  
21  
1. The minimum drive strength for any LVCMOS 1.2 V or LVCMOS 3.3 V software configuration when run in wide range is  
±100 µA. Drive strength displayed in the software is supported for normal range only. For a detailed I/V curve, refer to the  
IBIS models.  
2. All LVCMOS 3.3 V software macros support LVCMOS 3.3 V wide range as specified in the JESD8-B specification.  
3. All LVCMOS 1.2 V software macros support LVCMOS 1.2 V wide range as specified in the JESD8-12 specification.  
4. Resistance is used to measure I/O propagation delays as defined in PCI specifications. See Figure 2-12 on page 2-49 for  
connectivity. This resistor is not required during normal operation.  
5. Output drive strength is below JEDEC specification.  
6. For specific junction temperature and voltage supply levels, refer to Table 2-7 on page 2-6 for derating values.  
2-26  
Revision 13  
IGLOOe Low Power Flash FPGAs  
Table 2-26 • Summary of I/O Timing Characteristics—Software Default Settings (continued)  
Std. Speed Grade, Commercial-Case Conditions: TJ = 70°C, Worst-Case VCC = 1.14 V,  
Worst-Case VCCI (per standard)  
I/O Standard  
LVDS  
24  
24  
High  
High  
1.55 2.26 0.25 1.95  
1.55 2.17 0.25 1.70  
ns  
ns  
LVPECL  
Notes:  
1. The minimum drive strength for any LVCMOS 1.2 V or LVCMOS 3.3 V software configuration when run in wide range is  
±100 µA. Drive strength displayed in the software is supported for normal range only. For a detailed I/V curve, refer to the  
IBIS models.  
2. All LVCMOS 3.3 V software macros support LVCMOS 3.3 V wide range as specified in the JESD8-B specification.  
3. All LVCMOS 1.2 V software macros support LVCMOS 1.2 V wide range as specified in the JESD8-12 specification.  
4. Resistance is used to measure I/O propagation delays as defined in PCI specifications. See Figure 2-12 on page 2-49 for  
connectivity. This resistor is not required during normal operation.  
5. Output drive strength is below JEDEC specification.  
6. For specific junction temperature and voltage supply levels, refer to Table 2-7 on page 2-6 for derating values.  
Revision 13  
2-27  
IGLOOe DC and Switching Characteristics  
Detailed I/O DC Characteristics  
Table 2-27 • Input Capacitance  
Symbol  
CIN  
Definition  
Conditions  
Min.  
Max.  
Units  
pF  
Input capacitance  
VIN = 0, f = 1.0 MHz  
VIN = 0, f = 1.0 MHz  
8
8
CINCLK  
Input capacitance on the clock pin  
pF  
Table 2-28 • I/O Output Buffer Maximum Resistances1  
Standard  
Drive Strength  
4 mA  
R
PULL-DOWN ()2  
RPULL-UP ()3  
3.3 V LVTTL / 3.3 V LVCMOS  
100  
50  
25  
17  
11  
300  
150  
75  
8 mA  
12 mA  
16 mA  
50  
24 mA  
33  
3.3 V LVCMOS Wide Range  
2.5 V LVCMOS  
100 µA  
Same as regular  
3.3 V LVCMOS  
Same as regular  
3.3 V LVCMOS  
4 mA  
8 mA  
100  
50  
200  
100  
50  
12 mA  
16 mA  
24 mA  
2 mA  
25  
20  
40  
11  
22  
1.8 V LVCMOS  
200  
100  
50  
225  
112  
56  
4 mA  
6 mA  
8 mA  
50  
56  
12 mA  
16 mA  
2 mA  
20  
22  
20  
22  
1.5 V LVCMOS  
200  
100  
67  
224  
112  
75  
4 mA  
6 mA  
8 mA  
33  
37  
12 mA  
2 mA  
33  
37  
1.2 V LVCMOS4  
158  
164  
1.2 V LVCMOS Wide Range4  
100 µA  
Same as regular  
1.2 V LVCMOS  
Same as regular  
1.2 V LVCMOS  
Notes:  
1. These maximum values are provided for informational reasons only. Minimum output buffer resistance values depend  
on VCCI, drive strength selection, temperature, and process. For board design considerations and detailed output buffer  
resistances, use the corresponding IBIS models located on the Microsemi SoC Products Group website at  
http://www.microsemi.com/soc/techdocs/models/ibis.html.  
2.  
3.  
R
R
= (VOLspec) / IOLspec  
(PULL-DOWN-MAX)  
= (VCCImax – VOHspec) / IOHspec  
(PULL-UP-MAX)  
4. Applicable to IGLOOe V2 devices operating in the 1.2 V core range ONLY.  
5. Output drive strength is below JEDEC specification.  
2-28  
Revision 13  
IGLOOe Low Power Flash FPGAs  
Table 2-28 • I/O Output Buffer Maximum Resistances1 (continued)  
Standard  
Drive Strength  
R
PULL-DOWN ()2  
RPULL-UP ()3  
3.3 V PCI/PCI-X  
Per PCI/PCI-X  
specification  
25  
75  
3.3 V GTL  
2.5 V GTL  
3.3 V GTL+  
2.5 V GTL+  
HSTL (I)  
20 mA5  
20 mA5  
35 mA  
33 mA  
8 mA  
11  
14  
12  
15  
50  
25  
27  
13  
44  
18  
50  
25  
31  
15  
69  
32  
HSTL (II)  
SSTL2 (I)  
SSTL2 (II)  
SSTL3 (I)  
SSTL3 (II)  
Notes:  
15 mA  
15 mA  
18 mA  
14 mA  
21 mA  
1. These maximum values are provided for informational reasons only. Minimum output buffer resistance values depend  
on VCCI, drive strength selection, temperature, and process. For board design considerations and detailed output buffer  
resistances, use the corresponding IBIS models located on the Microsemi SoC Products Group website at  
http://www.microsemi.com/soc/techdocs/models/ibis.html.  
2.  
3.  
R
R
= (VOLspec) / IOLspec  
(PULL-DOWN-MAX)  
= (VCCImax – VOHspec) / IOHspec  
(PULL-UP-MAX)  
4. Applicable to IGLOOe V2 devices operating in the 1.2 V core range ONLY.  
5. Output drive strength is below JEDEC specification.  
Table 2-29 • I/O Weak Pull-Up/Pull-Down Resistances  
Minimum and Maximum Weak Pull-Up/Pull-Down Resistance Values  
1
2
R((WEAK PULL-UP)  
R(WEAK PULL-DOWN)  
()  
()  
VCCI  
Minimum  
Maximum  
45 k  
Minimum  
10 k  
Maximum  
45 k  
3.3 V  
10 k  
10 k  
11 k  
18 k  
19 k  
25 k  
19 k  
3.3 V (wide range I/Os)  
45 k  
10 k  
45 k  
2.5 V  
55 k  
12 k  
74 k  
1.8 V  
70 k  
17 k  
110 k  
140 k  
150 k  
150 k  
1.5 V  
90 k  
19 k  
1.2 V  
110 k  
110 k  
25 k  
1.2 V (wide range I/Os)  
19 k  
Notes:  
1.  
2.  
R
R
= (VCCImax – VOHspec) / I  
(WEAK PULL-UP-MAX)  
(WEAK PULL-UP-MIN)  
= (VOLspec) / I  
(WEAK PULL-DOWN-MAX)  
(WEAK PULL-DOWN-MIN)  
Revision 13  
2-29  
IGLOOe DC and Switching Characteristics  
Table 2-30 I/O Short Currents IOSH/IOSL  
Drive Strength  
4 mA  
IOSH (mA)*  
IOSL (mA)*  
3.3 V LVTTL / 3.3 V LVCMOS  
25  
51  
27  
54  
8 mA  
12 mA  
103  
132  
268  
109  
127  
181  
16 mA  
24 mA  
3.3 V LVCMOS Wide Range  
2.5 V LVCMOS  
100 µA  
Same as regular  
3.3 V LVCMOS  
Same as regular  
3.3 V LVCMOS  
4 mA  
8 mA  
16  
32  
65  
83  
169  
9
18  
37  
74  
87  
124  
11  
12 mA  
16 mA  
24 mA  
2 mA  
1.8 V LVCMOS  
4 mA  
17  
35  
45  
91  
91  
13  
25  
32  
66  
66  
20  
20  
22  
44  
51  
74  
74  
16  
33  
39  
55  
55  
26  
26  
6 mA  
8 mA  
12 mA  
16 mA  
2 mA  
1.5 V LVCMOS  
4 mA  
6 mA  
8 mA  
12 mA  
2 mA  
1.2 V LVCMOS  
1.2 V LVCMOS Wide Range  
3.3 V PCI/PCIX  
100 µA  
Per PCI/PCI-X  
Specification  
Per PCI Curves  
3.3 V GTL  
2.5 V GTL  
3.3 V GTL+  
2.5 V GTL+  
HSTL (I)  
25 mA  
25 mA  
35 mA  
33 mA  
8 mA  
268  
169  
268  
169  
32  
181  
124  
181  
124  
39  
HSTL (II)  
15 mA  
15 mA  
18 mA  
14 mA  
21 mA  
66  
55  
SSTL2 (I)  
83  
87  
SSTL2 (II)  
SSTL3 (I)  
169  
51  
124  
54  
SSTL3 (II)  
Note: TJ = 100°C  
103  
109  
2-30  
Revision 13  
IGLOOe Low Power Flash FPGAs  
The length of time an I/O can withstand IOSH/IOSL events depends on the junction temperature. The  
reliability data below is based on a 3.3 V, 36 mA I/O setting, which is the worst case for this type of  
analysis.  
For example, at 100°C, the short current condition would have to be sustained for more than six months  
to cause a reliability concern. The I/O design does not contain any short circuit protection, but such  
protection would only be needed in extremely prolonged stress conditions.  
Table 2-31 • Duration of Short Circuit Event before Failure  
Temperature  
–40°C  
0°C  
Time before Failure  
> 20 years  
> 20 years  
> 20 years  
5 years  
25°C  
70°C  
85°C  
2 years  
100°C  
6 months  
Table 2-32 • Schmitt Trigger Input Hysteresis  
Hysteresis Voltage Value (Typ.) for Schmitt Mode Input Buffers  
Input Buffer Configuration  
Hysteresis Value (typ.)  
3.3 V LVTTL/LVCMOS/PCI/PCI-X (Schmitt trigger mode)  
2.5 V LVCMOS (Schmitt trigger mode)  
1.8 V LVCMOS (Schmitt trigger mode)  
1.5 V LVCMOS (Schmitt trigger mode)  
1.2 V LVCMOS (Schmitt trigger mode)  
240 mV  
140 mV  
80 mV  
60 mV  
40 mV  
Table 2-33 • I/O Input Rise Time, Fall Time, and Related I/O Reliability*  
Input Rise/Fall Time  
Input Buffer  
(min.)  
Input Rise/Fall Time (max.)  
Reliability  
LVTTL/LVCMOS (Schmitt trigger  
disabled)  
No requirement  
10 ns*  
20 years  
(100°C)  
LVTTL/LVCMOS (Schmitt trigger  
enabled)  
No requirement  
No requirement, but input noise  
voltage cannot exceed Schmitt  
hysteresis.  
20 years  
(100°C)  
HSTL/SSTL/GTL  
No requirement  
No requirement  
10 ns*  
10 years  
(100°C)  
LVDS/B-LVDS/M-LVDS/LVPECL  
10 ns*  
10 years  
(100°C)  
Note: *The maximum input rise/fall time is related to the noise induced into the input buffer trace. If the noise is low,  
then the rise time and fall time of input buffers can be increased beyond the maximum value. The longer the  
rise/fall times, the more susceptible the input signal is to the board noise. Microsemi recommends signal integrity  
evaluation/characterization of the system to ensure that there is no excessive noise coupling into input signals.  
Revision 13  
2-31  
IGLOOe DC and Switching Characteristics  
Single-Ended I/O Characteristics  
3.3 V LVTTL / 3.3 V LVCMOS  
Low-Voltage Transistor–Transistor Logic is a general purpose standard (EIA/JESD) for 3.3 V  
applications. It uses an LVTTL input buffer and push-pull output buffer. The 3.3 V LVCMOS standard is  
supported as part of the 3.3 V LVTTL support.  
Table 2-34 • Minimum and Maximum DC Input and Output Levels  
3.3 V LVTTL /  
3.3 V LVCMOS  
VIL  
VIH  
VOL  
VOH IOL IOH  
Min.  
IOSH  
IOSL  
IIL1 IIH2  
Min.  
V
Max.  
V
Min.  
V
Max.  
V
Max.  
V
Max.  
mA3  
Max.  
mA3  
Drive Strength  
4 mA  
V
mA mA  
µA4 µA4  
10 10  
10 10  
10 10  
10 10  
10 10  
–0.3  
–0.3  
–0.3  
–0.3  
–0.3  
0.8  
0.8  
0.8  
0.8  
0.8  
2
2
2
2
2
3.6  
3.6  
3.6  
3.6  
3.6  
0.4  
0.4  
0.4  
0.4  
0.4  
2.4  
2.4  
2.4  
2.4  
2.4  
4
8
4
8
25  
51  
27  
54  
8 mA  
12 mA  
12 12  
16 16  
24 24  
103  
132  
268  
109  
127  
181  
16 mA  
24 mA  
Notes:  
1. IIL is the input leakage current per I/O pin over recommended operation conditions where –0.3 V < VIN < VIL.  
2. IIH is the input leakage current per I/O pin over recommended operating conditions VIH < VIN < VCCI. Input current is  
larger when operating outside recommended ranges.  
3. Currents are measured at high temperature (100°C junction temperature) and maximum voltage.  
4. Currents are measured at 85°C junction temperature.  
5. Software default selection highlighted in gray.  
R to VCCI for tLZ / tZL / tZLS  
R = 1 k  
Test Point  
Datapath  
R to GND for tHZ / tZH / tZHS  
Test Point  
35 pF  
Enable Path  
5 pF for tZH / tZHS / tZL / tZLS  
5 pF for tHZ / tLZ  
Figure 2-7 • AC Loading  
Table 2-35 • AC Waveforms, Measuring Points, and Capacitive Loads  
Input Low (V)  
Input High (V)  
Measuring Point* (V)  
VREF (typ.) (V)  
CLOAD (pF)  
0
3.3  
1.4  
5
Note: *Measuring point = Vtrip. See Table 2-23 on page 2-23 for a complete table of trip points.  
2-32  
Revision 13  
IGLOOe Low Power Flash FPGAs  
Timing Characteristics  
1.5 V DC Core Voltage  
Table 2-36 • 3.3 V LVTTL / 3.3 V LVCMOS Low Slew – Applies to 1.5 V DC Core Voltage  
Commercial-Case Conditions: TJ = 70°C, Worst-Case VCC = 1.425 V, Worst-Case VCCI = 3.0 V  
Speed  
Grade  
Unit  
s
Drive Strength  
4 mA  
tDOUT tDP tDIN tPY tPYS tEOUT tZL tZH tLZ tHZ tZLS tZHS  
0.97 4.90 0.18 1.08 1.34 0.66 5.00 3.99 2.27 2.16 8.60 7.59  
0.97 4.05 0.18 1.08 1.34 0.66 4.13 3.45 2.53 2.65 7.73 7.05  
0.97 3.44 0.18 1.08 1.34 0.66 3.51 3.05 2.71 2.95 7.11 6.64  
0.97 3.27 0.18 1.08 1.34 0.66 3.34 2.96 2.74 3.04 6.93 6.55  
0.97 3.18 0.18 1.08 1.34 0.66 3.24 2.97 2.79 3.36 6.84 6.56  
Std.  
Std.  
Std.  
Std.  
Std.  
ns  
ns  
ns  
ns  
ns  
8 mA  
12 mA  
16 mA  
24 mA  
Note: For specific junction temperature and voltage supply levels, refer to Table 2-6 on page 2-6 for derating values.  
Table 2-37 • 3.3 V LVTTL / 3.3 V LVCMOS High Slew – Applies to 1.5 V DC Core Voltage  
Commercial-Case Conditions: TJ = 70°C, Worst-Case VCC = 1.425 V, Worst-Case VCCI = 3.0 V  
Drive Strength Speed Grade tDOUT tDP tDIN tPY tPYS tEOUT tZL tZH tLZ tHZ tZLS tZHS Units  
4 mA  
Std.  
Std.  
Std.  
Std.  
Std.  
0.97 2.85 0.18 1.08 1.34 0.66 2.92 2.27 2.27 2.27 6.51 5.87 ns  
0.97 2.39 0.18 1.08 1.34 0.66 2.44 1.88 2.53 2.76 6.03 5.47 ns  
0.97 2.12 0.18 1.08 1.34 0.66 2.17 1.69 2.71 3.08 5.76 5.28 ns  
0.97 2.08 0.18 1.08 1.34 0.66 2.12 1.65 2.75 3.17 5.72 5.25 ns  
0.97 2.10 0.18 1.08 1.34 0.66 2.14 1.60 2.80 3.49 5.74 5.20 ns  
8 mA  
12 mA  
16 mA  
24 mA  
Notes:  
1. Software default selection highlighted in gray.  
2. For specific junction temperature and voltage supply levels, refer to Table 2-6 on page 2-6 for derating values.  
Revision 13  
2-33  
IGLOOe DC and Switching Characteristics  
1.2 V DC Core Voltage  
Table 2-38 • 3.3 V LVTTL / 3.3 V LVCMOS Low Slew – Applies to 1.2 V DC Core Voltage  
Commercial-Case Conditions: TJ = 70°C, Worst-Case VCC = 1.14 V, Worst-Case VCCI = 3.0 V  
Drive Strength Speed Grade tDOUT tDP tDIN tPY tPYS tEOUT tZL tZH tLZ tHZ tZLS tZHS Units  
4 mA  
Std.  
Std.  
Std.  
Std.  
Std.  
1.55 5.54 0.26 1.31 1.58 1.10 5.63 4.53 2.79 2.87 11.42 10.32 ns  
8 mA  
1.55 4.60 0.26 1.31 1.58 1.10 4.67 3.94 3.09 3.45 10.45 9.73  
1.55 3.93 0.26 1.31 1.58 1.10 3.99 3.51 3.28 3.82 9.77 9.29  
1.55 3.74 0.26 1.31 1.58 1.10 3.79 3.41 3.32 3.92 9.58 9.20  
1.55 3.64 0.26 1.31 1.58 1.10 3.69 3.42 3.38 4.30 9.48 9.21  
ns  
ns  
ns  
ns  
12 mA  
16 mA  
24 mA  
Note: For specific junction temperature and voltage supply levels, refer to Table 2-7 on page 2-6 for derating values.  
Table 2-39 • 3.3 V LVTTL / 3.3 V LVCMOS High Slew – Applies to 1.2 V DC Core Voltage  
Commercial-Case Conditions: TJ = 70°C, Worst-Case VCC = 1.14 V, Worst-Case VCCI = 3.0 V  
Drive Strength Speed Grade tDOUT tDP tDIN tPY tPYS tEOUT tZL tZH tLZ tHZ tZLS tZHS Units  
4 mA  
Std.  
Std.  
Std.  
Std.  
Std.  
1.55 3.26 0.26 1.31 1.58 1.10 3.33 2.67 2.79 3.01 9.12 8.46  
1.55 2.77 0.26 1.31 1.58 1.10 2.80 2.24 3.09 3.59 8.59 8.03  
1.55 2.47 0.26 1.31 1.58 1.10 2.51 2.04 3.28 3.97 8.29 7.82  
1.55 2.42 0.26 1.31 1.58 1.10 2.46 2.00 3.33 4.08 8.24 7.79  
1.55 2.45 0.26 1.31 1.58 1.10 2.48 1.95 3.38 4.46 8.26 7.73  
ns  
ns  
ns  
ns  
ns  
8 mA  
12 mA  
16 mA  
24 mA  
Notes:  
1. Software default selection highlighted in gray.  
2. For specific junction temperature and voltage supply levels, refer to Table 2-7 on page 2-6 for derating values.  
2-34  
Revision 13  
IGLOOe Low Power Flash FPGAs  
3.3 V LVCMOS Wide Range  
Table 2-40 • Minimum and Maximum DC Input and Output Levels  
3.3 V LVCMOS Wide Range  
VIL  
VIH  
VOL  
VOH  
IOL IOH IOSH IOSL IIL1 IIH2  
Equivalent  
Software Default  
Drive  
Strength  
Drive Strength Min. Max. Min. Max  
Max.  
(V)  
Min.  
(V)  
Max.  
Max.  
Option3  
(V)  
(V)  
(V)  
(V)  
3.6  
3.6  
3.6  
3.6  
3.6  
3.6  
3.6  
µA µA (mA)4 (mA)4 µA5 µA5  
100 µA  
100 µA  
100 µA  
100 µA  
100 µA  
100 µA  
100 µA  
Notes:  
2 mA  
–0.3 0.8  
–0.3 0.8  
–0.3 0.8  
–0.3 0.8  
–0.3 0.8  
–0.3 0.8  
–0.3 0.8  
2
0.2  
0.2  
0.2  
0.2  
0.2  
0.2  
0.2  
VDD – 0.2 100 100  
VDD – 0.2 100 100  
VDD – 0.2 100 100  
VDD – 0.2 100 100  
VDD – 0.2 100 100  
VDD – 0.2 100 100  
VDD – 0.2 100 100  
25  
25  
27  
27  
10 10  
10 10  
10 10  
10 10  
10 10  
10 10  
10 10  
4 mA  
2
6 mA  
2
51  
54  
8 mA  
2
51  
54  
12 mA  
16 mA  
24 mA  
2
103  
132  
268  
109  
127  
181  
2
2
1. IIL is the input leakage current per I/O pin over recommended operation conditions where –0.3 V < VIN < VIL.  
2. IIH is the input leakage current per I/O pin over recommended operating conditions VIH < VIN < VCCI . Input current is  
larger when operating outside recommended ranges.  
3. The minimum drive strength for any LVCMOS 3.3 V software configuration when run in wide range is ±100 µA. Drive  
strength displayed in the software is supported for normal range only. For a detailed I/V curve, refer to the IBIS models.  
4. Currents are measured at 85°C junction temperature.  
5. All LVCMOS 3.3 V software macros supports LVCMOS 3.3 V wide range as specified in the JDEC8a specification.  
6. Software default selection highlighted in gray.  
Table 2-41 • AC Waveforms, Measuring Points, and Capacitive Loads  
Input Low (V)  
Input High (V)  
Measuring Point* (V)  
VREF (typ.) (V)  
CLOAD (pF)  
0
3.3  
1.4  
5
Note: *Measuring point = Vtrip. See Table 2-23 on page 2-23 for a complete table of trip points.  
Revision 13  
2-35  
IGLOOe DC and Switching Characteristics  
Timing Characteristics  
1.5 V DC Core Voltage  
Table 2-42 • 3.3 V LVCMOS Wide Range Low Slew – Applies to 1.5 V DC Core Voltage  
Commercial-Case Conditions: TJ = 70°C, Worst-Case VCC = 1.425 V, Worst-Case VCCI = 2.7 V  
Equivalent  
Software  
Default  
Drive  
Drive  
Strength  
Strength Speed  
Option1  
4 mA  
Grade tDOUT tDP tDIN tPY tPYS tEOUT tZL tZH tLZ tHZ tZLS tZHS Units  
100 µA  
100 µA  
100 µA  
100 µA  
100 µA  
Notes:  
Std.  
Std.  
Std.  
Std.  
Std.  
0.97 7.26 0.18 1.42 1.84 0.66 7.28 5.78 3.18 2.93 10.88 9.38  
0.97 5.94 0.18 1.42 1.84 0.66 5.96 4.96 3.59 3.69 9.56 8.56  
0.97 5.00 0.18 1.42 1.84 0.66 5.02 4.34 3.86 4.16 8.62 7.94  
0.97 4.73 0.18 1.42 1.84 0.66 4.75 4.21 3.92 4.29 8.35 7.81  
0.97 4.59 0.18 1.42 1.84 0.66 4.61 4.23 3.99 4.78 8.21 7.82  
ns  
ns  
ns  
ns  
ns  
8 mA  
12 mA  
16 mA  
24 mA  
1. The minimum drive strength for any LVCMOS 3.3 V software configuration when run in wide range is ±100 µA. Drive  
strength displayed in the software is supported for normal range only. For a detailed I/V curve, refer to the IBIS models.  
2. For specific junction temperature and voltage supply levels, refer to Table 2-6 on page 2-6 for derating values.  
Table 2-43 • 3.3 V LVCMOS Wide Range High Slew – Applies to 1.5 V DC Core Voltage  
Commercial-Case Conditions: TJ = 70°C, Worst-Case VCC = 1.425 V, Worst-Case VCCI = 2.7 V  
Equivalent  
Software  
Default  
Drive  
Drive  
Strength  
Strength Speed  
Option1 Grade tDOUT tDP tDIN tPY tPYS tEOUT tZL tZH tLZ tHZ tZLS tZHS Units  
100 µA  
100 µA  
100 µA  
100 µA  
100 µA  
Notes:  
4 mA  
8 mA  
Std.  
Std.  
Std.  
Std.  
Std.  
0.97 4.10 0.18 1.42 1.84 0.66 4.12 3.17 3.18 3.11 7.71 6.77  
0.97 3.37 0.18 1.42 1.84 0.66 3.39 2.57 3.59 3.87 6.99 6.16  
0.97 2.96 0.18 1.42 1.84 0.66 2.98 2.28 3.86 4.36 6.58 5.87  
0.97 2.90 0.18 1.42 1.84 0.66 2.92 2.22 3.93 4.49 6.51 5.82  
0.97 2.92 0.18 1.42 1.84 0.66 2.94 2.15 4.00 4.99 6.54 5.75  
ns  
ns  
ns  
ns  
ns  
12 mA  
16 mA  
24 mA  
1. The minimum drive strength for any or LVCMOS 3.3 V software configuration when run in wide range is ±100 µA. Drive  
strength displayed in the software is supported for normal range only. For a detailed I/V curve, refer to the IBIS models.  
2. For specific junction temperature and voltage supply levels, refer to Table 2-6 on page 2-6 for derating values.  
3. Software default selection highlighted in gray.  
2-36  
Revision 13  
IGLOOe Low Power Flash FPGAs  
1.2 V DC Core Voltage  
Table 2-44 • 3.3 V LVCMOS Wide Range Low Slew – Applies to 1.2 V DC Core Voltage  
Commercial-Case Conditions: TJ = 70°C, Worst-Case VCC = 1.14 V, Worst-Case VCCI = 2.7 V  
Equivalent  
Software  
Default  
Drive  
Drive  
Strength  
Speed  
Strength Option1  
Grade tDOUT tDP tDIN tPY tPYS tEOUT tZL tZH tLZ tHZ tZLS tZHS Units  
100 µA  
100 µA  
100 µA  
100 µA  
100 µA  
Notes:  
4 mA  
8 mA  
Std.  
Std.  
Std.  
Std.  
Std.  
1.55 8.14 0.26 1.66 2.14 1.10 8.14 6.46 3.80 3.79 13.93 12.25 ns  
1.55 6.68 0.26 1.66 2.14 1.10 6.68 5.57 4.25 4.69 12.47 11.36 ns  
1.55 5.65 0.26 1.66 2.14 1.10 5.65 4.91 4.55 5.25 11.44 10.69 ns  
1.55 5.36 0.26 1.66 2.14 1.10 5.36 4.76 4.61 5.41 11.14 10.55 ns  
1.55 5.20 0.26 1.66 2.14 1.10 5.20 4.78 4.69 6.00 10.99 10.56 ns  
12 mA  
16 mA  
24 mA  
1. The minimum drive strength for any LVCMOS 3.3 V software configuration when run in wide range is ±100 µA. Drive  
strength displayed in the software is supported for normal range only. For a detailed I/V curve, refer to the IBIS models.  
2. For specific junction temperature and voltage supply levels, refer to Table 2-7 on page 2-6 for derating values.  
Table 2-45 • 3.3 V LVCMOS Wide Range High Slew – Applies to 1.2 V DC Core Voltage  
Commercial-Case Conditions: TJ = 70°C, Worst-Case VCC = 1.14 V, Worst-Case VCCI = 2.7 V  
Equivalent  
Software  
Default  
Drive  
Drive  
Strength  
Speed  
Strength Option1  
Grade tDOUT tDP tDIN tPY tPYS tEOUT tZL tZH tLZ tHZ tZLS tZHS Units  
100 µA  
100 µA  
100 µA  
100 µA  
100 µA  
Notes:  
4 mA  
8 mA  
Std.  
Std.  
Std.  
Std.  
Std.  
1.55 4.65 0.26 1.66 2.14 110 4.65 3.64 3.80 4.00 10.44 9.43  
1.55 3.85 0.26 1.66 2.14 1.10 3.85 2.99 4.25 4.91 9.64 8.77  
1.55 3.40 0.26 1.66 2.14 1.10 3.40 2.68 4.55 5.49 9.19 8.46  
1.55 3.33 0.26 1.66 2.14 1.10 3.33 2.62 4.62 5.65 9.11 8.41  
1.55 3.36 0.26 1.66 2.14 1.10 3.36 2.54 4.71 6.24 9.15 8.32  
ns  
ns  
ns  
ns  
ns  
12 mA  
16 mA  
24 mA  
1. The minimum drive strength for any LVCMOS 3.3 V software configuration when run in wide range is ±100 µA. Drive  
strength displayed in the software is supported for normal range only. For a detailed I/V curve, refer to the IBIS models.  
2. For specific junction temperature and voltage supply levels, refer to Table 2-7 on page 2-6 for derating values.  
3. Software default selection highlighted in gray.  
Revision 13  
2-37  
IGLOOe DC and Switching Characteristics  
2.5 V LVCMOS  
Low-Voltage CMOS for 2.5 V is an extension of the LVCMOS standard (JESD8-5) used for general-  
purpose 2.5 V applications.  
Table 2-46 • Minimum and Maximum DC Input and Output Levels  
2.5 V LVCMOS  
VIL  
VIH  
VOL  
VOH IOL IOH  
IOSH  
IOSL  
IIL1 IIH2  
Drive  
Strength  
Min.  
V
Max.  
V
Min.  
V
Max.  
V
Max.  
V
Min.  
V
Max.  
mA3  
Max.  
mA3  
mA mA  
µA4 µA4  
10 10  
10 10  
10 10  
10 10  
10 10  
4 mA  
–0.3  
–0.3  
–0.3  
–0.3  
–0.3  
0.7  
0.7  
0.7  
0.7  
0.7  
1.7  
1.7  
1.7  
1.7  
1.7  
3.6  
3.6  
3.6  
3.6  
3.6  
0.7  
0.7  
0.7  
0.7  
0.7  
1.7  
1.7  
1.7  
1.7  
1.7  
4
8
4
8
16  
32  
18  
37  
8 mA  
12 mA  
16 mA  
24 mA  
Notes:  
12 12  
16 16  
24 24  
65  
74  
83  
87  
169  
124  
1. IIL is the input leakage current per I/O pin over recommended operation conditions where –0.3 V < VIN < VIL.  
2. IIH is the input leakage current per I/O pin over recommended operating conditions VIH < VIN < VCCI. Input current is  
larger when operating outside recommended ranges.  
3. Currents are measured at high temperature (100°C junction temperature) and maximum voltage.  
4. Currents are measured at 85°C junction temperature.  
5. Software default selection highlighted in gray.  
R to VCCI for tLZ / tZL / tZLS  
R = 1 k  
Test Point  
Datapath  
R to GND for tHZ / tZH / tZHS  
Test Point  
35 pF  
Enable Path  
5 pF for tZH / tZHS / tZL / tZLS  
5 pF for tHZ / tLZ  
Figure 2-8 • AC Loading  
Table 2-47 • AC Waveforms, Measuring Points, and Capacitive Loads  
Input Low (V)  
Input High (V)  
Measuring Point* (V)  
VREF (typ.) (V)  
CLOAD (pF)  
0
2.5  
1.2  
5
Note: *Measuring point = Vtrip. See Table 2-23 on page 2-23 for a complete table of trip points.  
2-38  
Revision 13  
IGLOOe Low Power Flash FPGAs  
Timing Characteristics  
1.5 V DC Core Voltage  
Table 2-48 • 2.5 V LVCMOS Low Slew – Applies to 1.5 V DC Core Voltage  
Commercial-Case Conditions: TJ = 70°C, Worst-Case VCC = 1.425 V, Worst-Case VCCI = 2.3 V  
Speed  
Grade  
Unit  
s
Drive Strength  
4 mA  
tDOUT tDP tDIN tPY tPYS tEOUT tZL tZH tLZ tHZ tZLS tZHS  
0.97 5.55 0.18 1.31 1.41 0.66 5.66 4.75 2.28 1.96 9.26 8.34  
0.97 4.58 0.18 1.31 1.41 0.66 4.67 4.07 2.58 2.53 8.27 7.66  
0.97 3.89 0.18 1.31 1.41 0.66 3.97 3.58 2.78 2.91 7.56 7.17  
0.97 3.68 0.18 1.31 1.41 0.66 3.75 3.47 2.82 3.01 7.35 7.06  
0.97 3.59 0.18 1.31 1.41 0.66 3.66 3.48 2.88 3.37 7.26 7.08  
Std.  
Std.  
Std.  
Std.  
Std.  
ns  
ns  
ns  
ns  
ns  
8 mA  
12 mA  
16 mA  
24 mA  
Note: For specific junction temperature and voltage supply levels, refer to Table 2-6 on page 2-6 for derating values.  
Table 2-49 • 2.5 V LVCMOS High Slew – Applies to 1.5 V DC Core Voltage  
Commercial-Case Conditions: TJ = 70°C, Worst-Case VCC = 1.425 V, Worst-Case VCCI = 2.3 V  
Speed  
Grade  
Unit  
s
Drive Strength  
4 mA  
tDOUT tDP tDIN tPY tPYS tEOUT tZL tZH tLZ tHZ tZLS tZHS  
0.97 2.94 0.18 1.31 1.41 0.66 3.00 2.68 2.28 2.03 6.60 6.27  
0.97 2.45 0.18 1.31 1.41 0.66 2.50 2.12 2.58 2.62 6.10 5.72  
0.97 2.15 0.18 1.31 1.41 0.66 2.20 1.85 2.78 2.98 5.80 5.45  
0.97 2.10 0.18 1.31 1.41 0.66 2.15 1.80 2.82 3.08 5.75 5.40  
0.97 2.11 0.18 1.31 1.41 0.66 2.16 1.74 2.88 3.47 5.75 5.33  
Std.  
Std.  
Std.  
Std.  
Std.  
ns  
ns  
ns  
ns  
ns  
8 mA  
12 mA  
16 mA  
24 mA  
Notes:  
1. Software default selection highlighted in gray.  
2. For specific junction temperature and voltage supply levels, refer to Table 2-6 on page 2-6 for derating values.  
Revision 13  
2-39  
IGLOOe DC and Switching Characteristics  
1.2 V DC Core Voltage  
Table 2-50 • 2.5 V LVCMOS Low Slew – Applies to 1.2 V DC Core Voltage  
Commercial-Case Conditions: TJ = 70°C, Worst-Case VCC = 1.14 V, Worst-Case VCCI = 2.3 V  
Speed  
Drive Strength Grade tDOUT tDP tDIN tPY tPYS tEOUT tZL  
tZH  
1.55 6.25 0.26 1.55 1.77 1.10 6.36 5.34 2.81 2.63 12.14 11.13  
1.55 5.18 0.26 1.55 1.77 1.10 5.26 4.61 3.13 3.32 11.05 10.39 ns  
tLZ tHZ tZLS tZHS Units  
4 mA  
Std.  
Std.  
Std.  
Std.  
Std.  
ns  
8 mA  
12 mA  
16 mA  
24 mA  
1.55 4.42 0.26 1.55 1.77 1.10 4.49 4.08 3.36 3.76 10.28 9.86  
1.55 4.19 0.26 1.55 1.77 1.10 4.25 3.96 3.40 3.89 10.04 9.75  
1.55 4.09 0.26 1.55 1.76 1.10 4.15 3.97 3.47 4.32 9.94 9.76  
ns  
ns  
ns  
Note: For specific junction temperature and voltage supply levels, refer to Table 2-7 on page 2-6 for derating values.  
Table 2-51 • 2.5 V LVCMOS High Slew – Applies to 1.2 V DC Core Voltage  
Commercial-Case Conditions: TJ = 70°C, Worst-Case VCC = 1.14 V, Worst-Case VCCI = 2.3 V  
Speed  
Drive Strength Grade tDOUT tDP tDIN tPY tPYS tEOUT tZL tZH  
tLZ  
tHZ  
tZLS  
tZHS Units  
4 mA  
Std.  
Std.  
Std.  
Std.  
Std.  
1.55 3.38 0.26 1.55 1.77 1.10 3.42 3.11 2.81 2.72 9.21  
1.55 2.83 0.26 1.55 1.77 1.10 2.87 2.51 3.13 3.42 8.66  
1.55 2.51 0.26 1.55 1.77 1.10 2.54 2.22 3.36 3.85 8.33  
1.55 2.45 0.26 1.55 1.77 1.10 2.48 2.16 3.40 3.97 8.27  
1.55 2.46 0.26 1.55 1.77 1.10 2.49 2.09 3.47 4.44 8.28  
8.89  
8.30  
8.00  
7.95  
7.88  
ns  
ns  
ns  
ns  
ns  
8 mA  
12 mA  
16 mA  
24 mA  
Notes:  
1. Software default selection highlighted in gray.  
2. For specific junction temperature and voltage supply levels, refer to Table 2-7 on page 2-6 for derating values.  
2-40  
Revision 13  
IGLOOe Low Power Flash FPGAs  
1.8 V LVCMOS  
Low-Voltage CMOS for 1.8 V is an extension of the LVCMOS standard (JESD8-5) used for general-  
purpose 1.8 V applications. It uses a 1.8 V input buffer and a push-pull output buffer.  
Table 2-52 • Minimum and Maximum DC Input and Output Levels  
1.8 V  
LVCMOS  
VIL  
Max.  
VIH  
Min.  
VOL  
VOH  
IOL IOH IOSH  
IOSL  
IIL1 IIH2  
Drive  
Strength  
Min.  
V
Max. Max.  
Min.  
V
Max.  
Max.  
mA3  
V
V
V
V
mA mA mA3  
µA4 µA4  
10 10  
10 10  
10 10  
10 10  
10 10  
10 10  
2 mA  
–0.3 0.35 * VCCI 0.65 * VCCI 3.6  
–0.3 0.35 * VCCI 0.65 * VCCI 3.6  
–0.3 0.35 * VCCI 0.65 * VCCI 3.6  
–0.3 0.35 * VCCI 0.65 * VCCI 3.6  
–0.3 0.35 * VCCI 0.65 * VCCI 3.6  
–0.3 0.35 * VCCI 0.65 * VCCI 3.6  
0.45 VCCI – 0.45  
0.45 VCCI – 0.45  
0.45 VCCI – 0.45  
0.45 VCCI – 0.45  
2
4
6
8
2
4
6
8
9
11  
22  
44  
51  
74  
74  
4 mA  
17  
35  
45  
91  
91  
6 mA  
8 mA  
12 mA  
16 mA  
Notes:  
0.45 VCCI – 0.45 12 12  
0.45 VCCI – 0.45 16 16  
1. IIL is the input leakage current per I/O pin over recommended operation conditions where –0.3 V < VIN < VIL.  
2. IIH is the input leakage current per I/O pin over recommended operating conditions VIH < VIN < VCCI. Input current is  
larger when operating outside recommended ranges.  
3. Currents are measured at high temperature (100°C junction temperature) and maximum voltage.  
4. Currents are measured at 85°C junction temperature.  
5. Software default selection highlighted in gray.  
R to VCCI for tLZ / tZL / tZLS  
R = 1 k  
Test Point  
Datapath  
R to GND for tHZ / tZH / tZHS  
Test Point  
35 pF  
Enable Path  
5 pF for tZH / tZHS / tZL / tZLS  
5 pF for tHZ / tLZ  
Figure 2-9 • AC Loading  
Table 2-53 • AC Waveforms, Measuring Points, and Capacitive Loads  
Input Low (V)  
Input High (V)  
Measuring Point* (V)  
VREF (typ.) (V)  
CLOAD (pF)  
0
1.8  
0.9  
5
Note: *Measuring point = Vtrip. See Table 2-23 on page 2-23 for a complete table of trip points.  
Revision 13  
2-41  
IGLOOe DC and Switching Characteristics  
Timing Characteristics  
1.5 V DC Core Voltage  
Table 2-54 • 1.8 V LVCMOS Low Slew – Applies to 1.5 V DC Core Voltage  
Commercial-Case Conditions: TJ = 70°C, Worst-Case VCC = 1.425 V, Worst-Case VCCI = 1.7 V  
Speed  
Drive Strength Grade tDOUT tDP tDIN tPY tPYS tEOUT tZL  
tZH  
tLZ tHZ tZLS tZHS Units  
2 mA  
4 mA  
6 mA  
8 mA  
12 mA  
16 mA  
Std.  
Std.  
Std.  
Std.  
Std.  
Std.  
0.97 7.33 0.18 1.27 1.59 0.66 7.47 6.18 2.34 1.18 11.07 9.77  
0.97 6.07 0.18 1.27 1.59 0.66 6.20 5.25 2.69 2.42 9.79 8.84  
0.97 5.18 0.18 1.27 1.59 0.66 5.29 4.61 2.93 2.88 8.88 8.21  
0.97 4.88 0.18 1.27 1.59 0.66 4.98 4.48 2.99 3.01 8.58 8.08  
0.97 4.80 0.18 1.27 1.59 0.66 4.89 4.49 3.07 3.47 8.49 8.09  
0.97 4.80 0.18 1.27 1.59 0.66 4.89 4.49 3.07 3.47 8.49 8.09  
ns  
ns  
ns  
ns  
ns  
ns  
Note: For specific junction temperature and voltage supply levels, refer to Table 2-6 on page 2-6 for derating values.  
Table 2-55 • 1.8 V LVCMOS High Slew – Applies to 1.5 V DC Core Voltage  
Commercial-Case Conditions: TJ = 70°C, Worst-Case VCC = 1.425 V, Worst-Case VCCI = 1.7 V  
Speed  
Drive Strength Grade tDOUT tDP tDIN tPY tPYS tEOUT tZL tZH  
tLZ  
tHZ  
tZLS  
tZHS Units  
2 mA  
Std.  
Std.  
Std.  
Std.  
Std.  
Std.  
0.97 3.43 0.18 1.27 1.59 0.66 3.51 3.39 2.33 1.19 7.10  
0.97 2.83 0.18 1.27 1.59 0.66 2.89 2.59 2.69 2.49 6.48  
0.97 2.45 0.18 1.27 1.59 0.66 2.51 2.19 2.93 2.95 6.10  
0.97 2.38 0.18 1.27 1.59 0.66 2.43 2.12 2.98 3.08 6.03  
0.97 2.37 0.18 1.27 1.59 0.66 2.42 2.03 3.07 3.57 6.02  
0.97 2.37 0.18 1.27 1.59 0.66 2.42 2.03 3.07 3.57 6.02  
6.98  
6.18  
5.79  
5.71  
5.62  
5.62  
ns  
ns  
ns  
ns  
ns  
ns  
4 mA  
6 mA  
8 mA  
12 mA  
16 mA  
Notes:  
1. Software default selection highlighted in gray.  
2. For specific junction temperature and voltage supply levels, refer to Table 2-6 on page 2-6 for derating values.  
2-42  
Revision 13  
IGLOOe Low Power Flash FPGAs  
1.2 V DC Core Voltage  
Table 2-56 • 1.8 V LVCMOS Low Slew – Applies to 1.2 V DC Core Voltage  
Commercial-Case Conditions: TJ = 70°C, Worst-Case VCC = 1.14 V, Worst-Case VCCI = 1.7 V  
Speed  
Drive Strength Grade tDOUT tDP tDIN tPY tPYS tEOUT tZL  
tZH  
1.55 8.21 0.26 1.53 1.96 1.10 8.35 6.88 2.87 1.70 14.14 12.67 ns  
1.55 6.83 0.26 1.53 1.96 1.10 6.94 5.88 3.27 3.18 12.73 11.67 ns  
tLZ tHZ tZLS tZHS Units  
2 mA  
4 mA  
6 mA  
8 mA  
12 mA  
16 mA  
Std.  
Std.  
Std.  
Std.  
Std.  
Std.  
1.55 5.85 0.26 1.53 1.96 1.10 5.94 5.19 3.53 3.37 11.73 10.98 ns  
1.55 5.52 0.26 1.53 1.96 1.10 5.61 5.06 3.59 3.88 11.39 10.84 ns  
1.55 5.42 0.26 1.53 1.96 1.10 5.51 5.06 3.68 4.44 11.30 10.85 ns  
1.55 5.42 0.26 1.53 1.96 1.10 5.51 5.06 3.68 4.44 11.30 10.85 ns  
Note: For specific junction temperature and voltage supply levels, refer to Table 2-7 on page 2-6 for derating values.  
Table 2-57 • 1.8 V LVCMOS High Slew – Applies to 1.2 V DC Core Voltage  
Commercial-Case Conditions: TJ = 70°C, Worst-Case VCC = 1.14 V, Worst-Case VCCI = 1.7 V  
Speed  
Drive Strength Grade tDOUT tDP  
tDIN tPY tPYS tEOUT tZL  
tZH  
tLZ tHZ tZLS tZHS Units  
2 mA  
Std.  
Std.  
Std.  
Std.  
Std.  
Std.  
1.55 3.82 0.26 1.53 1.96 1.10 3.98 3.87 2.86 1.72 9.76 9.66  
1.55 3.25 0.26 1.53 1.96 1.10 3.30 3.01 3.26 3.26 9.08 8.79  
1.55 2.84 0.26 1.53 1.96 1.10 2.88 2.58 3.53 3.81 8.66 8.37  
1.55 2.76 0.26 1.53 1.96 1.10 2.80 2.50 3.58 3.97 8.58 8.29  
1.55 2.75 0.26 1.53 1.96 1.10 2.78 2.40 3.68 4.56 8.57 8.19  
1.55 2.75 0.26 1.53 1.96 1.10 2.78 2.40 3.68 4.56 8.57 8.19  
ns  
ns  
ns  
ns  
ns  
ns  
4 mA  
6 mA  
8 mA  
12 mA  
16 mA  
Notes:  
1. Software default selection highlighted in gray.  
2. For specific junction temperature and voltage supply levels, refer to Table 2-7 on page 2-6 for derating values.  
Revision 13  
2-43  
IGLOOe DC and Switching Characteristics  
1.5 V LVCMOS (JESD8-11)  
Low-Voltage CMOS for 1.5 V is an extension of the LVCMOS standard (JESD8-5) used for general-  
purpose 1.5 V applications. It uses a 1.5 V input buffer and a push-pull output buffer.  
Table 2-58 • Minimum and Maximum DC Input and Output Levels  
1.5 V  
LVCMOS  
VIL  
Max.  
VIH  
Min.  
VOL  
VOH  
IOL IOH IOSH IOSL IIL1 IIH2  
Max. Max.  
Drive  
Strength  
Min.  
V
Max.  
V
Max.  
V
Min.  
V
V
V
mA mA mA3  
mA3 µA4 µA4  
2 mA  
4 mA  
6 mA  
8 mA  
12 mA  
Notes:  
–0.3 0.35 * VCCI 0.65 * VCCI 3.6  
–0.3 0.35 * VCCI 0.65 * VCCI 3.6  
–0.3 0.35 * VCCI 0.65 * VCCI 3.6  
–0.3 0.35 * VCCI 0.65 * VCCI 3.6  
–0.3 0.35 * VCCI 0.65 * VCCI 3.6  
0.25 * VCCI 0.75 * VCCI  
0.25 * VCCI 0.75 * VCCI  
0.25 * VCCI 0.75 * VCCI  
0.25 * VCCI 0.75 * VCCI  
2
4
6
8
2
4
6
8
13  
25  
32  
66  
66  
16  
33  
39  
55  
55  
10 10  
10 10  
10 10  
10 10  
10 10  
0.25 * VCCI 0.75 * VCCI 12 12  
1. IIL is the input leakage current per I/O pin over recommended operation conditions where –0.3 V < VIN < VIL.  
2. IIH is the input leakage current per I/O pin over recommended operating conditions VIH < VIN < VCCI. Input current is  
larger when operating outside recommended ranges.  
3. Currents are measured at high temperature (100°C junction temperature) and maximum voltage.  
4. Currents are measured at 85°C junction temperature.  
5. Software default selection highlighted in gray.  
R to VCCI for tLZ / tZL / tZLS  
R = 1 k  
Test Point  
Datapath  
R to GND for tHZ / tZH / tZHS  
Test Point  
35 pF  
Enable Path  
5 pF for tZH / tZHS / tZL / tZLS  
5 pF for tHZ / tLZ  
Figure 2-10 • AC Loading  
Table 2-59 • AC Waveforms, Measuring Points, and Capacitive Loads  
Input Low (V)  
Input High (V)  
Measuring Point* (V)  
VREF (typ.) (V)  
CLOAD (pF)  
0
1.5  
0.75  
5
Note: *Measuring point = Vtrip. See Table 2-23 on page 2-23 for a complete table of trip points.  
2-44  
Revision 13  
IGLOOe Low Power Flash FPGAs  
Timing Characteristics  
1.5 V DC Core Voltage  
Table 2-60 • 1.5 V LVCMOS Low Slew – Applies to 1.5 V DC Core Voltage  
Commercial-Case Conditions: TJ = 70°C, Worst-Case VCC = 1.425 V, Worst-Case VCCI = 1.4 V  
Speed  
Drive Strength Grade tDOUT tDP tDIN tPY tPYS tEOUT tZL  
tZH  
tLZ tHZ tZLS tZHS Units  
2 mA  
4 mA  
6 mA  
8 mA  
12 mA  
Std.  
Std.  
Std.  
Std.  
Std.  
0.97 7.61 0.18 1.47 1.77 0.66 7.76 6.33 2.81 2.34 11.36 9.92  
0.97 6.54 0.18 1.47 1.77 0.66 6.67 5.56 3.09 2.88 10.26 9.16  
0.97 6.15 0.18 1.47 1.77 0.66 6.27 5.42 3.15 3.02 9.87 9.02  
0.97 6.07 0.18 1.47 1.77 0.66 6.20 5.42 2.64 3.56 9.79 9.02  
0.97 6.07 0.18 1.47 1.77 0.66 6.20 5.42 2.64 3.56 9.79 9.02  
ns  
ns  
ns  
ns  
ns  
Note: For specific junction temperature and voltage supply levels, refer to Table 2-6 on page 2-6 for derating values.  
Table 2-61 • 1.5 V LVCMOS High Slew – Applies to 1.5 V DC Core Voltage  
Commercial-Case Conditions: TJ = 70°C, Worst-Case VCC = 1.425 V, Worst-Case VCCI = 1.4 V  
Speed  
Drive Strength Grade tDOUT tDP tDIN tPY tPYS tEOUT tZL  
tZH  
tLZ  
tHZ tZLS tZHS Units  
2 mA  
4 mA  
6 mA  
8 mA  
12 mA  
Notes:  
Std.  
Std.  
Std.  
Std.  
Std.  
0.97 3.25 0.18 1.47 1.77 0.66 3.32 3.00 2.80 2.43 6.92 6.59  
0.97 2.81 0.18 1.47 1.77 0.66 2.87 2.51 3.08 2.97 6.46 6.10  
0.97 2.72 0.18 1.47 1.77 0.66 2.78 2.41 3.14 3.12 6.37 6.01  
0.97 2.69 0.18 1.47 1.77 0.66 2.75 2.30 3.24 3.67 6.35 5.89  
0.97 2.69 0.18 1.47 1.77 0.66 2.75 2.30 3.24 3.67 6.35 5.89  
ns  
ns  
ns  
ns  
ns  
1. Software default selection highlighted in gray.  
2. For specific junction temperature and voltage supply levels, refer to Table 2-6 on page 2-6 for derating values.  
Revision 13  
2-45  
IGLOOe DC and Switching Characteristics  
1.2 V DC Core Voltage  
Table 2-62 • 1.5 V LVCMOS Low Slew – Applies to 1.2 V DC Core Voltage  
Commercial-Case Conditions: TJ = 70°C, Worst-Case VCC = 1.14 V, Worst-Case VCCI = 1.4 V  
Speed  
Drive Strength Grade tDOUT tDP tDIN tPY tPYS tEOUT tZL  
tZH  
tLZ tHZ tZLS tZHS Units  
2 mA  
4 mA  
6 mA  
8 mA  
12 mA  
Std.  
Std.  
Std.  
Std.  
Std.  
1.55 8.53 0.26 1.72 2.16 1.10 8.67 7.05 3.39 3.09 14.46 12.83 ns  
1.55 7.34 0.26 1.72 2.16 1.10 7.46 6.22 3.70 3.73 13.25 12.01 ns  
1.55 6.91 0.26 1.72 2.16 1.10 7.03 6.07 3.77 3.90 12.82 11.85  
1.55 6.83 0.26 1.72 2.16 1.10 6.94 6.07 2.91 4.54 12.73 11.86  
1.55 6.83 0.26 1.72 2.16 1.10 6.94 6.07 2.91 4.54 12.73 11.86  
ns  
ns  
ns  
Note: For specific junction temperature and voltage supply levels, refer to Table 2-7 on page 2-6 for derating values.  
Table 2-63 • 1.5 V LVCMOS High Slew – Applies to 1.2 V DC Core Voltage  
Commercial-Case Conditions: TJ = 70°C, Worst-Case VCC = 1.14 V, Worst-Case VCCI = 1.4 V  
Speed  
Drive Strength Grade tDOUT tDP tDIN tPY tPYS tEOUT tZL tZH  
tLZ  
tHZ  
tZLS  
tZHS Units  
2 mA  
4 mA  
6 mA  
8 mA  
12 mA  
Notes:  
Std.  
Std.  
Std.  
Std.  
Std.  
1.55 3.72 0.26 1.72 2.16 1.10 3.78 3.45 3.38 3.19 9.56  
1.55 3.23 0.26 1.72 2.16 1.10 3.27 2.92 3.69 3.83 9.06  
1.55 3.13 0.26 1.72 2.16 1.10 3.18 2.82 3.76 4.01 8.96  
1.55 3.10 0.26 1.72 2.16 1.10 3.15 2.70 3.86 4.68 8.93  
1.55 3.10 0.26 1.72 2.16 1.10 3.15 2.70 3.86 4.68 8.93  
9.24  
8.71  
8.61  
8.49  
8.49  
ns  
ns  
ns  
ns  
ns  
1. Software default selection highlighted in gray.  
2. For specific junction temperature and voltage supply levels, refer to Table 2-7 on page 2-6 for derating values.  
2-46  
Revision 13  
IGLOOe Low Power Flash FPGAs  
1.2 V LVCMOS (JESD8-12A)  
Low-Voltage CMOS for 1.2 V complies with the LVCMOS standard JESD8-12A for general purpose 1.2 V  
applications. It uses a 1.2 V input buffer and a push-pull output buffer.  
Table 2-64 • Minimum and Maximum DC Input and Output Levels  
Applicable to Advanced I/O Banks  
1.2 V  
LVCMOS1  
VIL  
Max.  
VIH  
Min.  
VOL  
VOH  
IOL IOH IOSH  
Max.  
IOSL IIL2 IIH3  
Drive  
Strength  
Min.  
V
Max.  
V
Max.  
V
Min.  
V
Max.  
V
V
mA mA  
mA4  
mA4 µA5 µA5  
2 mA  
–0.3 0.35 * VCCI 0.65 * VCCI 3.6 0.25 * VCCI 0.75 * VCCI  
2
2
20  
26  
10 10  
Notes:  
1. Applicable to V2 devices ONLY.  
2. IIL is the input leakage current per I/O pin over recommended operation conditions where –0.3 V < VIN < VIL.  
3. IIH is the input leakage current per I/O pin over recommended operating conditions VIH < VIN < VCCI. Input current is  
larger when operating outside recommended ranges.  
4. Currents are measured at high temperature (100°C junction temperature) and maximum voltage.  
5. Currents are measured at 85°C junction temperature.  
6. Software default selection highlighted in gray.  
R to VCCI for tLZ / tZL / tZLS  
R = 1 k  
R to GND for tHZ / tZH / tZHS  
Test Point  
Datapath  
Test Point  
5 pF  
Enable Path  
5 pF for tZH / tZHS / tZL / tZLS  
5 pF for tHZ / tLZ  
Figure 2-11 • AC Loading  
Table 2-65 • AC Waveforms, Measuring Points, and Capacitive Loads  
Input Low (V)  
Input High (V)  
Measuring Point* (V)  
VREF (typ.) (V)  
CLOAD (pF)  
0
1.2  
0.6  
5
Note: *Measuring point = Vtrip. See Table 2-23 on page 2-23 for a complete table of trip points.  
Revision 13  
2-47  
IGLOOe DC and Switching Characteristics  
Timing Characteristics  
1.2 V DC Core Voltage  
Table 2-66 • 1.2 LVCMOS Low Slew – Applies to 1.2 V DC Core Voltage  
Commercial-Case Conditions: TJ = 70°C, Worst-Case VCC = 1.14 V, Worst-Case VCCI = 1.14 V  
Speed  
Drive Strength Grade tDOUT tDP tDIN tPY tPYS tEOUT tZL  
tZH  
tLZ  
tHZ  
tZLS  
tZHS Units  
ns  
2 mA  
Std. 1.55  
9.92 0.26 2.09 2.95 1.10 9.53 7.48 4.02 3.67 15.31 13.26  
Notes:  
1. Software default selection highlighted in gray.  
2. For specific junction temperature and voltage supply levels, refer to Table 2-7 on page 2-6 for derating values.  
Table 2-67 • 1.2 LVCMOS High Slew – Applies to 1.2 V DC Core Voltage  
Commercial-Case Conditions: TJ = 70°C, Worst-Case VCC = 1.14 V, Worst-Case VCCI = 1.14 V  
Speed  
Drive Strength Grade tDOUT tDP tDIN tPY tPYS tEOUT tZL  
tZH  
tLZ  
tHZ  
tZLS  
tZHS Units  
9.24 ns  
2 mA  
Std. 1.55  
4.06 0.26 2.09 2.95 1.10 3.92 3.46 4.01 3.79 9.71  
Notes:  
1. Software default selection highlighted in gray.  
2. For specific junction temperature and voltage supply levels, refer to Table 2-7 on page 2-6 for derating values.  
1.2 V LVCMOS Wide Range  
Table 2-68 • Minimum and Maximum DC Input and Output Levels  
1.2 V LVCMOS  
Wide Range1  
VIL  
VIH  
VOL  
VOH  
IOL IOH IOSH IOSL IIL2 IIH3  
Equivalent  
Software  
Default  
Drive  
Drive  
Strength Min.  
Max.  
(V)  
Min.  
(V)  
Max  
(V)  
Max.  
(V)  
Min.  
(V)  
Max. Max.  
Strength Option4 (V)  
µA µA (mA)5 (mA)5 µA6 µA6  
100 µA  
2 mA  
–0.3 0.35 * VCCI 0.65 * VCCI 3.6 0.25 * VCCI 0.75 * VCCI 100 100 20  
26  
10 10  
Notes:  
1. Applicable to V2 devices ONLY.  
2. IIL is the input leakage current per I/O pin over recommended operation conditions where –0.3 V < VIN < VIL.  
3. IIH is the input leakage current per I/O pin over recommended operating conditions VIH < VIN < VCCI . Input current is  
larger when operating outside recommended ranges.  
4. The minimum drive strength for any LVCMOS 1.2 V software configuration when run in wide range is ±100 µA. Drive  
strength displayed in the software is supported for normal range only. For a detailed I/V curve, refer to the IBIS models.  
5. Currents are measured at high temperature (100°C junction temperature) and maximum voltage.  
6. Currents are measured at 85°C junction temperature.  
7. Software default selection highlighted in gray.  
Timing Characteristics  
Refer to LVCMOS 1.2 V (normal range) "Timing Characteristics" on page 2-48 for worst-case timing.  
2-48  
Revision 13  
IGLOOe Low Power Flash FPGAs  
3.3 V PCI, 3.3 V PCI-X  
Peripheral Component Interface for 3.3 V standard specifies support for 33 MHz and 66 MHz PCI Bus  
applications.  
Table 2-69 • Minimum and Maximum DC Input and Output Levels  
3.3 V PCI/PCI-X  
VIL  
VIH  
VOL  
VOH IOL IOH  
IOSH  
IOSL  
IIL1 IIH2  
Min.  
V
Max. Min., Max. Max.  
Min.  
V
Max.  
mA3  
Max.  
mA3  
Drive Strength  
V
V
V
V
mA mA  
µA4 µA4  
Per PCI  
Per PCI curves  
10 10  
specification  
Notes:  
1. IIL is the input leakage current per I/O pin over recommended operation conditions where –0.3 V < VIN < VIL.  
2. IIH is the input leakage current per I/O pin over recommended operating conditions VIH < VIN < VCCI. Input current is  
larger when operating outside recommended ranges.  
3. Currents are measured at high temperature (100°C junction temperature) and maximum voltage.  
4. Currents are measured at 85°C junction temperature.  
AC loadings are defined per the PCI/PCI-X specifications for the datapath; Microsemi loadings for enable  
path characterization are described in Figure 2-12.  
R to VCCI for tLZ / tZL / tZLS  
R to GND for tHZ / tZH / tZHS  
R to VCCI for tDP (F)  
R to GND for tDP (R)  
R = 25  
Test Point  
Datapath  
R = 1 k  
Test Point  
Enable Path  
10 pF for tZH / tZHS / tZL / tZLS  
10 pF for tHZ / tLZ  
Figure 2-12 • AC Loading  
AC loadings are defined per PCI/PCI-X specifications for the datapath; Microsemi loading for tristate is  
described in Table 2-70.  
Table 2-70 • AC Waveforms, Measuring Points, and Capacitive Loads  
Input Low (V)  
Input High (V)  
Measuring Point* (V)  
VREF (typ.) (V)  
C
LOAD (pF)  
0
3.3  
0.285 * VCCI for tDP(R)  
0.615 * VCCI for tDP(F)  
10  
Note: *Measuring point = Vtrip. See Table 2-23 on page 2-23 for a complete table of trip points.  
Revision 13  
2-49  
IGLOOe DC and Switching Characteristics  
Timing Characteristics  
1.5 V DC Core Voltage  
Table 2-71 • 3.3 V PCI/PCI-X – Applies to 1.5 V DC Core Voltage  
Commercial-Case Conditions: TJ = 70°C, Worst-Case VCC = 1.425 V, Worst-Case VCCI = 3.0 V  
Speed Grade  
tDOUT  
tDP  
tDIN  
tPY  
tPYS tEOUT  
0.66  
tZL  
tZH  
tLZ  
tHZ  
tZLS tZHS Units  
ns  
Std.  
0.97  
2.38 0.18 0.96 1.42  
2.43 1.80 2.72 3.08 6.03 5.39  
Note: For specific junction temperature and voltage supply levels, refer to Table 2-6 on page 2-6 for derating values.  
1.2 V DC Core Voltage  
Table 2-72 • 3.3 V PCI/PCI-X – Applies to 1.2 V DC Core Voltage  
Commercial-Case Conditions: TJ = 70°C, Worst-Case VCC = 1.14 V, Worst-Case VCCI = 3.0 V  
Speed Grade  
tDOUT  
tDP  
tDIN  
tPY  
tPYS tEOUT  
1.10  
tZL  
tZH  
tLZ  
tHZ  
tZLS tZHS Units  
ns  
Std.  
1.55  
2.76 0.26 1.19 1.63  
2.79 2.16 3.29 3.97 8.58 7.94  
Note: For specific junction temperature and voltage supply levels, refer to Table 2-7 on page 2-6 for derating values.  
2-50  
Revision 13  
IGLOOe Low Power Flash FPGAs  
Voltage-Referenced I/O Characteristics  
3.3 V GTL  
Gunning Transceiver Logic is a high-speed bus standard (JESD8-3). It provides a differential amplifier  
input buffer and an open-drain output buffer. The VCCI pin should be connected to 3.3 V.  
Table 2-73 • Minimum and Maximum DC Input and Output Levels  
3.3 V GTL  
VIL  
Max.  
VIH  
VOL  
VOH IOL IOH IOSL  
IOSH IIL1 IIH2  
Max.  
Drive  
Strength  
Min.  
V
Min.  
V
Max.  
V
Max  
V
Min.  
V
Max.  
mA3  
V
mA mA  
mA3  
µA4 µA4  
20 mA5  
–0.3 VREF – 0.05 VREF + 0.05  
3.6  
0.4  
20 20  
268  
181  
10 10  
Notes:  
1. IIL is the input leakage current per I/O pin over recommended operating conditions where –0.3 V < VIN < VIL.  
2. IIH is the input leakage current per I/O pin over recommended operating conditions VIH < VIN < VCCI. Input current is  
larger when operating outside recommended ranges.  
3. Currents are measured at high temperature (100°C junction temperature) and maximum voltage.  
4. Currents are measured at 85°C junction temperature.  
5. Output drive strength is below JEDEC specification.  
VTT  
GTL  
25  
Test Point  
10 pF  
Figure 2-13 • AC Loading  
Table 2-74 • AC Waveforms, Measuring Points, and Capacitive Loads  
Input Low (V)  
Input High (V) Measuring Point* (V) VREF (typ.) (V)  
VREF + 0.05 0.8 0.8  
VTT (typ.) (V)  
C
LOAD (pF)  
VREF – 0.05  
1.2  
10  
Note: *Measuring point = Vtrip. See Table 2-23 on page 2-23 for a complete table of trip points.  
Revision 13  
2-51  
IGLOOe DC and Switching Characteristics  
Timing Characteristics  
1.5 V DC Core Voltage  
Table 2-75 • 3.3 V GTL – Applies to 1.5 V DC Core Voltage  
Commercial-Case Conditions: TJ = 70°C, Worst-Case VCC = 1.425 V,  
Worst-Case VCCI = 3.0 V VREF = 0.8 V  
Speed Grade  
tDOUT  
tDP  
tDIN  
tPY  
tEOUT  
tZL  
tZH  
tLZ tHZ  
tZLS  
tZHS  
Units  
Std.  
0.98  
1.83  
0.19  
2.41  
0.67  
1.84  
1.83  
5.47  
5.46  
ns  
Note: For specific junction temperature and voltage supply levels, refer to Table 2-6 on page 2-6 for derating values.  
1.2 V DC Core Voltage  
Table 2-76 • 3.3 V GTL – Applies to 1.2 V DC Core Voltage  
Commercial-Case Conditions: TJ = 70°C, Worst-Case VCC = 1.14 V,  
Worst-Case VCCI = 3.0 V VREF = 0.8 V  
Speed Grade  
tDOUT  
tDP  
tDIN  
tPY  
tEOUT  
tZL  
tZH  
tLZ tHZ  
tZLS  
tZHS  
Units  
Std.  
1.55  
2.09  
0.26  
2.75  
1.10  
2.10  
2.09  
7.91  
7.89  
ns  
Note: For specific junction temperature and voltage supply levels, refer to Table 2-7 on page 2-6 for derating values.  
2-52  
Revision 13  
IGLOOe Low Power Flash FPGAs  
2.5 V GTL  
Gunning Transceiver Logic is a high-speed bus standard (JESD8-3). It provides a differential amplifier  
input buffer and an open-drain output buffer. The VCCI pin should be connected to 2.5 V.  
Table 2-77 • Minimum and Maximum DC Input and Output Levels  
2.5 GTL  
VIL  
VIH  
VOL VOH IOL IOH  
IOSH  
IOSL  
IIL1 IIH2  
Drive  
Strength  
Min.  
V
Max.  
V
Min.  
V
Max. Max.  
Min.  
V
Max.  
mA3  
Max.  
mA3  
V
V
mA mA  
µA4 µA4  
20 mA5  
–0.3 VREF – 0.05 VREF + 0.05 3.6  
0.4  
20 20  
169  
124  
10 10  
Notes:  
1. IIL is the input leakage current per I/O pin over recommended operating conditions where –0.3 V < VIN < VIL.  
2. IIH is the input leakage current per I/O pin over recommended operating conditions VIH < VIN < VCCI. Input current is  
larger when operating outside recommended ranges.  
3. Currents are measured at high temperature (100°C junction temperature) and maximum voltage.  
4. Currents are measured at 85°C junction temperature.  
5. Output drive strength is below JEDEC specification.  
VTT  
GTL  
25  
Test Point  
10 pF  
Figure 2-14 • AC Loading  
Table 2-78 • AC Waveforms, Measuring Points, and Capacitive Loads  
Input Low (V)  
Input High (V)  
Measuring Point* (V) VREF (typ.) (V) VTT (typ.) (V)  
0.8 0.8 1.2  
C
LOAD (pF)  
VREF – 0.05  
VREF + 0.05  
10  
Note: *Measuring point = Vtrip. See Table 2-23 on page 2-23 for a complete table of trip points.  
Timing Characteristics  
1.5 V DC Core Voltage  
Table 2-79 • 2.5 V GTL – Applies to 1.5 V DC Core Voltage  
Commercial-Case Conditions: TJ = 70°C, Worst-Case VCC = 1.425 V,  
Worst-Case VCCI = 3.0 V VREF = 0.8 V  
Speed Grade  
tDOUT  
tDP  
tDIN  
tPY  
tEOUT  
tZL  
tZH  
tLZ tHZ  
tZLS  
tZHS  
Units  
Std.  
0.98  
1.90  
0.19  
2.04  
0.67  
1.94  
1.87  
5.57  
5.50  
ns  
Note: For specific junction temperature and voltage supply levels, refer to Table 2-6 on page 2-6 for derating values.  
1.2 V DC Core Voltage  
Table 2-80 • 2.5 V GTL – Applies to 1.2 V DC Core Voltage  
Commercial-Case Conditions: TJ = 70°C, Worst-Case VCC = 1.14 V,  
Worst-Case VCCI = 3.0 V VREF = 0.8 V  
Speed Grade  
tDOUT  
tDP  
tDIN  
tPY  
tEOUT  
tZL  
tZH  
tLZ tHZ  
tZLS  
tZHS  
Units  
Std.  
1.55  
2.16  
0.26  
2.35  
1.10  
2.20  
2.13  
8.01  
7.94  
ns  
Note: For specific junction temperature and voltage supply levels, refer to Table 2-7 on page 2-6 for derating values.  
Revision 13  
2-53  
IGLOOe DC and Switching Characteristics  
3.3 V GTL+  
Gunning Transceiver Logic Plus is a high-speed bus standard (JESD8-3). It provides a differential  
amplifier input buffer and an open-drain output buffer. The VCCI pin should be connected to 3.3 V  
Table 2-81 • Minimum and Maximum DC Input and Output Levels  
3.3 V GTL+  
VIL  
VIH  
VOL  
VOH IOL IOH IOSH  
IOSL IIL1 IIH2  
Max.  
Drive  
Strength  
Min.  
V
Max.  
Min.  
V
Max.  
V
Max.  
V
Min.  
V
Max.  
mA3  
V
mA mA  
mA3  
µA4 µA4  
35 mA  
–0.3 VREF – 0.1 VREF + 0.1  
3.6  
0.6  
35 35  
268  
181  
10 10  
Notes:  
1. IIL is the input leakage current per I/O pin over recommended operating conditions where –0.3 V < VIN < VIL.  
2. IIH is the input leakage current per I/O pin over recommended operating conditions VIH < VIN < VCCI. Input current is  
larger when operating outside recommended ranges.  
3. Currents are measured at high temperature (100°C junction temperature) and maximum voltage.  
4. Currents are measured at 85°C junction temperature.  
VTT  
GTL+  
25  
Test Point  
10 pF  
Figure 2-15 • AC Loading  
Table 2-82 • AC Waveforms, Measuring Points, and Capacitive Loads  
Input Low (V)  
Input High (V)  
Measuring Point* (V) VREF (typ.) (V) VTT (typ.) (V)  
1.0 1.0 1.5  
CLOAD (pF)  
VREF – 0.1  
VREF + 0.1  
10  
Note: *Measuring point = Vtrip. See Table 2-23 on page 2-23 for a complete table of trip points.  
Timing Characteristics  
1.5 V DC Core Voltage  
Table 2-83 • 3.3 V GTL+ – Applies to 1.5 V DC Core Voltage  
Commercial-Case Conditions: TJ = 70°C, Worst-Case VCC = 1.425 V,  
Worst-Case VCCI = 3.0 V VREF = 1.0 V  
Speed Grade  
tDOUT  
tDP  
tDIN  
tPY  
tEOUT  
tZL  
tZH  
tLZ tHZ  
tZLS  
tZHS  
Units  
Std.  
0.98  
1.85  
0.19  
1.35  
0.67  
1.88  
1.81  
5.51  
5.44  
ns  
Note: For specific junction temperature and voltage supply levels, refer to Table 2-6 on page 2-6 for derating values.  
1.2 V DC Core Voltage  
Table 2-84 • 3.3 V GTL+ – Applies to 1.2 V DC Core Voltage  
Commercial-Case Conditions: TJ = 70°C, Worst-Case VCC = 1.14 V,  
Worst-Case VCCI = 3.0 V VREF = 1.0 V  
Speed Grade  
tDOUT  
tDP  
tDIN  
tPY  
tEOUT  
tZL  
tZH  
tLZ tHZ  
tZLS  
tZHS  
Units  
Std.  
1.55  
2.11  
0.26  
1.61  
1.10  
2.15  
2.07  
7.95  
7.88  
ns  
Note: For specific junction temperature and voltage supply levels, refer to Table 2-7 on page 2-6 for derating values.  
2-54  
Revision 13  
IGLOOe Low Power Flash FPGAs  
2.5 V GTL+  
Gunning Transceiver Logic Plus is a high-speed bus standard (JESD8-3). It provides a differential  
amplifier input buffer and an open-drain output buffer. The VCCI pin should be connected to 2.5 V.  
Table 2-85 • Minimum and Maximum DC Input and Output Levels  
2.5 V GTL+  
VIL  
VIH  
VOL  
VOH IOL IOH  
IOSH  
IOSL IIL1 IIH2  
Drive  
Strength  
Min.  
V
Max.  
V
Min.  
V
Max.  
V
Max.  
V
Min.  
V
Max.  
mA3  
Max.  
mA mA  
mA3 µA4 µA4  
33 mA  
–0.3  
VREF – 0.1 VREF + 0.1  
3.6  
0.6  
33 33  
169  
124  
10 10  
Notes:  
1. IIL is the input leakage current per I/O pin over recommended operating conditions where –0.3 V < VIN < VIL.  
2. IIH is the input leakage current per I/O pin over recommended operating conditions VIH < VIN < VCCI. Input current is  
larger when operating outside recommended ranges.  
3. Currents are measured at high temperature (100°C junction temperature) and maximum voltage.  
4. Currents are measured at 85°C junction temperature.  
VTT  
GTL+  
25  
Test Point  
10 pF  
Figure 2-16 • AC Loading  
Table 2-86 • AC Waveforms, Measuring Points, and Capacitive Loads  
Input Low (V)  
Input High (V)  
Measuring Point* (V)  
VREF (typ.) (V)  
VTT (typ.) (V)  
CLOAD (pF)  
VREF – 0.1  
VREF + 0.1  
1.0  
1.0  
1.5  
10  
Note: *Measuring point = Vtrip. See Table 2-23 on page 2-23 for a complete table of trip points.  
Timing Characteristics  
1.5 V DC Core Voltage  
Table 2-87 • 2.5 V GTL+ – Applies to 1.5 V DC Core Voltage  
Commercial-Case Conditions: TJ = 70°C, Worst-Case VCC = 1.425 V,  
Worst-Case VCCI = 2.3 V VREF = 1.0 V  
Speed Grade  
tDOUT  
tDP  
tDIN  
tPY  
tEOUT  
tZL  
tZH  
tLZ tHZ  
tZLS  
tZHS  
5.47  
Units  
Std.  
0.98  
1.97  
0.19  
1.29  
0.67  
2.00  
1.84  
5.63  
ns  
Note: For specific junction temperature and voltage supply levels, refer to Table 2-6 on page 2-6 for derating values.  
1.2 V DC Core Voltage  
Table 2-88 • 2.5 V GTL+ – Applies to 1.2 V DC Core Voltage  
Commercial-Case Conditions: TJ = 70°C, Worst-Case VCC = 1.14 V,  
Worst-Case VCCI = 2.3 V VREF = 1.0 V  
Speed Grade  
tDOUT  
tDP  
tDIN  
tPY  
tEOUT  
tZL  
tZH  
tLZ tHZ  
tZLS  
tZHS  
Units  
Std.  
1.55  
2.23  
0.26  
1.55  
1.10  
2.28  
2.11  
8.08  
7.91  
ns  
Note: For specific junction temperature and voltage supply levels, refer to Table 2-7 on page 2-6 for derating values.  
Revision 13  
2-55  
IGLOOe DC and Switching Characteristics  
HSTL Class I  
High-Speed Transceiver Logic is a general-purpose high-speed 1.5 V bus standard (EIA/JESD8-6).  
IGLOOe devices support Class I. This provides a differential amplifier input buffer and a push-pull output  
buffer.  
Table 2-89 • Minimum and Maximum DC Input and Output Levels  
HSTLClass  
I
VIL  
Max.  
VIH  
VOL  
VOH  
IOL IOH IOSH  
Max.  
IOSL  
IIL1 IIH2  
Drive  
Strength  
Min.  
V
Min.  
V
Max.  
V
Max.  
V
Min.  
V
Max.  
mA3  
V
mA mA  
mA3  
µA4 µA4  
8 mA  
–0.3 VREF – 0.1 VREF + 0.1 3.6  
0.4  
VCCI – 0.4  
8
8
32  
39  
10 10  
Notes:  
1. IIL is the input leakage current per I/O pin over recommended operating conditions where –0.3 V < VIN < VIL.  
2. IIH is the input leakage current per I/O pin over recommended operating conditions VIH < VIN < VCCI. Input current is  
larger when operating outside recommended ranges.  
3. Currents are measured at high temperature (100°C junction temperature) and maximum voltage.  
4. Currents are measured at 85°C junction temperature.  
VTT  
HSTL  
Class I  
50  
Test Point  
20 pF  
Figure 2-17 • AC Loading  
Table 2-90 • AC Waveforms, Measuring Points, and Capacitive Loads  
Input Low (V)  
Input High (V)  
Measuring Point* (V)  
VREF (typ.) (V)  
VTT (typ.) (V)  
CLOAD (pF)  
VREF – 0.1  
VREF + 0.1  
0.75  
0.75  
0.75  
20  
Note: *Measuring point = Vtrip. See Table 2-23 on page 2-23 for a complete table of trip points.  
Timing Characteristics  
1.5 V DC Core Voltage  
Table 2-91 • HSTL Class I – Applies to 1.5 V DC Core Voltage  
Commercial-Case Conditions: TJ = 70°C, Worst-Case VCC = 1.425 V,  
Worst-Case VCCI = 1.4 V VREF = 0.75 V  
Speed Grade  
tDOUT  
tDP  
tDIN  
tPY  
tEOUT  
tZL  
tZH  
tLZ tHZ  
tZLS  
tZHS  
Units  
Std.  
0.98  
2.74  
0.19  
1.77  
0.67  
2.79  
2.73  
6.42  
6.36  
ns  
Note: For specific junction temperature and voltage supply levels, refer to Table 2-6 on page 2-6 for derating values.  
1.2 V DC Core Voltage  
Table 2-92 • HSTL Class I – Applies to 1.2 V DC Core Voltage  
Commercial-Case Conditions: TJ = 70°C, Worst-Case VCC = 1.14 V,  
Worst-Case VCCI = 1.4 V VREF = 0.75 V  
Speed Grade  
tDOUT  
tDP  
tDIN  
tPY  
tEOUT  
tZL  
tZH  
tLZ tHZ  
tZLS  
tZHS  
Units  
Std.  
1.55  
3.10  
0.26  
1.94  
1.10  
3.12  
3.10  
8.93  
8.91  
ns  
Note: For specific junction temperature and voltage supply levels, refer to Table 2-7 on page 2-6 for derating values.  
2-56  
Revision 13  
IGLOOe Low Power Flash FPGAs  
HSTL Class II  
High-Speed Transceiver Logic is a general-purpose high-speed 1.5 V bus standard (EIA/JESD8-6).  
IGLOOe devices support Class II. This provides a differential amplifier input buffer and a push-pull output  
buffer.  
Table 2-93 • Minimum and Maximum DC Input and Output Levels  
HSTL  
Class II  
VIL  
Max.  
VIH  
VOL  
VOH  
IOL IOH IOSH  
Max.  
mA mA  
IOSL  
IIL1 IIH2  
Drive  
Strength  
Min.  
V
Min.  
V
Max. Max.  
Min.  
V
Max.  
mA3  
V
V
V
mA3  
µA4 µA4  
15 mA5  
–0.3 VREF – 0.1 VREF + 0.1  
3.6  
0.4  
VCCI – 0.4 15 15  
66  
55  
10 10  
Notes:  
1. IIL is the input leakage current per I/O pin over recommended operating conditions where –0.3 V < VIN < VIL.  
2. IIH is the input leakage current per I/O pin over recommended operating conditions VIH < VIN < VCCI. Input current is  
larger when operating outside recommended ranges.  
3. Currents are measured at high temperature (100°C junction temperature) and maximum voltage.  
4. Currents are measured at 85°C junction temperature.  
5. Output drive strength is below JEDEC specification.  
VTT  
HSTL  
Class II  
25  
Test Point  
20 pF  
Figure 2-18 • AC Loading  
Table 2-94 • AC Waveforms, Measuring Points, and Capacitive Loads  
Input Low (V)  
Input High (V)  
Measuring Point* (V)  
VREF (typ.) (V)  
VTT (typ.) (V)  
CLOAD (pF)  
VREF – 0.1  
VREF + 0.1  
0.75  
0.75  
0.75  
20  
Note: *Measuring point = Vtrip. See Table 2-23 on page 2-23 for a complete table of trip points.  
Timing Characteristics  
1.5 V DC Core Voltage  
Table 2-95 • HSTL Class II – Applies to 1.5 V DC Core Voltage  
Commercial-Case Conditions: TJ = 70°C, Worst-Case VCC = 1.425 V,  
Worst-Case VCCI = 1.4 V VREF = 0.75 V  
Speed Grade  
tDOUT  
tDP  
tDIN  
tPY  
tEOUT  
tZL  
tZH  
tLZ tHZ  
tZLS  
tZHS  
6.03  
Units  
Std.  
0.98  
2.62  
0.19  
1.77  
0.67  
2.66  
2.40  
6.29  
ns  
Note: For specific junction temperature and voltage supply levels, refer to Table 2-6 on page 2-6 for derating values.  
1.2 V DC Core Voltage  
Table 2-96 • HSTL Class II – Applies to 1.2 V DC Core Voltage  
Commercial-Case Conditions: TJ = 70°C, Worst-Case VCC = 1.14 V,  
Worst-Case VCCI = 1.4 V VREF = 0.75 V  
Speed Grade  
tDOUT  
tDP  
tDIN  
tPY  
tEOUT  
tZL  
tZH  
tLZ tHZ  
tZLS  
tZHS  
Units  
Std.  
1.55  
2.93  
0.26  
1.94  
1.10  
2.98  
2.75  
8.79  
8.55  
ns  
Note: For specific junction temperature and voltage supply levels, refer to Table 2-7 on page 2-6 for derating values.  
Revision 13  
2-57  
IGLOOe DC and Switching Characteristics  
SSTL2 Class I  
Stub-Speed Terminated Logic for 2.5 V memory bus standard (JESD8-9). IGLOOe devices support Class  
I. This provides a differential amplifier input buffer and a push-pull output buffer.  
Table 2-97 • Minimum and Maximum DC Input and Output Levels  
SSTL2  
Class I  
VIL  
Max.  
VIH  
VOL  
VOH  
IOL IOH IOSH  
Max.  
IOSL IIL1 IIH2  
Drive  
Strength  
Min.  
V
Min.  
V
Max.  
V
Max.  
V
Min.  
V
Max.  
V
mA mA  
mA3  
mA3 µA4 µA4  
15 mA  
–0.3 VREF – 0.2 VREF + 0.2  
3.6  
0.54 VCCI – 0.62 15 15  
83  
87  
10 10  
Notes:  
1. IIL is the input leakage current per I/O pin over recommended operating conditions where –0.3 V < VIN < VIL.  
2. IIH is the input leakage current per I/O pin over recommended operating conditions VIH < VIN < VCCI. Input current is  
larger when operating outside recommended ranges.  
3. Currents are measured at high temperature (100°C junction temperature) and maximum voltage.  
4. Currents are measured at 85°C junction temperature.  
V
TT  
SSTL2  
Class I  
50  
Test Point  
25  
30 pF  
Figure 2-19 • AC Loading  
Table 2-98 • AC Waveforms, Measuring Points, and Capacitive Loads  
Input Low (V)  
Input High (V)  
Measuring Point* (V)  
VREF (typ.) (V)  
VTT (typ.) (V)  
CLOAD (pF)  
VREF – 0.2  
VREF + 0.2  
1.25  
1.25  
1.25  
30  
Note: *Measuring point = Vtrip. See Table 2-23 on page 2-23 for a complete table of trip points.  
Timing Characteristics  
1.5 V DC Core Voltage  
Table 2-99 • SSTL 2 Class I – Applies to 1.5 V DC Core Voltage  
Commercial-Case Conditions: TJ = 70°C, Worst-Case VCC = 1.425 V,  
Worst-Case VCCI = 2.3 V VREF = 1.25 V  
Speed Grade  
tDOUT  
tDP  
tDIN  
tPY  
tEOUT  
tZL  
tZH  
tLZ tHZ  
tZLS  
tZHS  
Units  
ns  
Std.  
0.98  
1.91  
0.19  
1.15  
0.67  
1.94  
1.72  
5.57  
5.35  
Note: For specific junction temperature and voltage supply levels, refer to Table 2-6 on page 2-6 for derating values.  
1.2 V DC Core Voltage  
Table 2-100 • SSTL 2 Class I – Applies to 1.2 V DC Core Voltage  
Commercial-Case Conditions: TJ = 70°C, Worst-Case VCC = 1.14 V,  
Worst-Case VCCI = 2.3 V VREF = 1.25 V  
Speed Grade  
tDOUT  
tDP  
tDIN  
tPY  
tEOUT  
tZL  
tZH  
tLZ tHZ  
tZLS  
tZHS  
Units  
Std.  
1.55  
2.17  
0.26  
1.39  
1.10  
2.21  
2.04  
8.02  
7.84  
ns  
Note: For specific junction temperature and voltage supply levels, refer to Table 2-7 on page 2-6 for derating values.  
2-58  
Revision 13  
IGLOOe Low Power Flash FPGAs  
SSTL2 Class II  
Stub-Speed Terminated Logic for 2.5 V memory bus standard (JESD8-9). IGLOOe devices support Class  
II. This provides a differential amplifier input buffer and a push-pull output buffer.  
Table 2-101 • Minimum and Maximum DC Input and Output Levels  
SSTL2  
Class II  
VIL  
Max.  
VIH  
VOL  
VOH  
IOL IOH IOSH  
Max.  
IOSL IIL1 IIH2  
Max.  
Drive  
Strength  
Min.  
V
Min.  
V
Max. Max.  
Min.  
V
V
V
V
mA mA  
mA3  
mA3  
µA4 µA4  
18 mA  
–0.3 VREF – 0.2 VREF + 0.2 3.6  
0.35 VCCI – 0.43 18 18  
169  
124  
10 10  
Notes:  
1. IIL is the input leakage current per I/O pin over recommended operating conditions where –0.3 V < VIN < VIL.  
2. IIH is the input leakage current per I/O pin over recommended operating conditions VIH < VIN < VCCI. Input current is  
larger when operating outside recommended ranges.  
3. Currents are measured at high temperature (100°C junction temperature) and maximum voltage.  
4. Currents are measured at 85°C junction temperature.  
V
TT  
SSTL2  
Class II  
25  
Test Point  
25  
30 pF  
Figure 2-20 • AC Loading  
Table 2-102 • AC Waveforms, Measuring Points, and Capacitive Loads  
Input Low (V)  
Input HIGH (V)  
Measuring Point* (V)  
VREF (typ.) (V)  
VTT (typ.) (V)  
CLOAD (pF)  
VREF – 0.2  
VREF + 0.2  
1.25  
1.25  
1.25  
30  
Note: *Measuring point = Vtrip. See Table 2-23 on page 2-23 for a complete table of trip points.  
Timing Characteristics  
1.5 V DC Core Voltage  
Table 2-103 • SSTL 2 Class II – Applies to 1.5 V DC Core Voltage  
Commercial-Case Conditions: TJ = 70°C, Worst-Case VCC = 1.425 V,  
Worst-Case VCCI = 2.3 V VREF = 1.25 V  
Speed Grade  
tDOUT  
tDP  
tDIN  
tPY  
tEOUT  
tZL  
tZH  
tLZ tHZ  
tZLS  
tZHS  
5.29  
Units  
Std.  
0.98  
1.94  
0.19  
1.15  
0.67  
1.97  
1.66  
5.60  
ns  
Note: For specific junction temperature and voltage supply levels, refer to Table 2-6 on page 2-6 for derating values.  
1.2 V DC Core Voltage  
Table 2-104 • SSTL 2 Class II – Applies to 1.2 V DC Core Voltage  
Commercial-Case Conditions: TJ = 70°C, Worst-Case VCC = 1.14 V,  
Worst-Case VCCI = 2.3 V VREF = 1.25 V  
Speed Grade  
tDOUT  
tDP  
tDIN  
tPY  
tEOUT  
tZL  
tZH  
tLZ tHZ  
tZLS  
tZHS  
Units  
Std.  
1.55  
2.20  
0.26  
1.39  
1.10  
2.24  
1.97  
8.05  
7.78  
ns  
Note: For specific junction temperature and voltage supply levels, refer to Table 2-7 on page 2-6 for derating values.  
Revision 13  
2-59  
IGLOOe DC and Switching Characteristics  
SSTL3 Class I  
Stub-Speed Terminated Logic for 3.3 V memory bus standard (JESD8-8). IGLOOe devices support Class  
I. This provides a differential amplifier input buffer and a push-pull output buffer.  
Table 2-105 • Minimum and Maximum DC Input and Output Levels  
SSTL3 Class I  
VIL  
VIH  
VOL  
VOH  
IOL IOH IOSH  
IOSL IIL1 IIH2  
Drive  
Strength  
Min.  
V
Max.  
V
Min.  
V
Max.  
V
Max.  
V
Min.  
V
Max.  
Max.  
mA mA mA3  
mA3 µA4 µA4  
14 mA  
–0.3 VREF – 0.2 VREF + 0.2  
3.6  
0.7 VCCI – 1.1 14 14  
51  
54  
10 10  
Notes:  
1. IIL is the input leakage current per I/O pin over recommended operating conditions where –0.3 V < VIN < VIL.  
2. IIH is the input leakage current per I/O pin over recommended operating conditions VIH < VIN < VCCI. Input current is  
larger when operating outside recommended ranges.  
3. Currents are measured at high temperature (100°C junction temperature) and maximum voltage.  
4. Currents are measured at 85°C junction temperature.  
V
TT  
SSTL3  
Class I  
50  
Test Point  
25  
30 pF  
Figure 2-21 • AC Loading  
Table 2-106 • AC Waveforms, Measuring Points, and Capacitive Loads  
Input Low (V)  
Input High (V)  
Measuring Point* (V)  
VREF (typ.) (V)  
VTT (typ.) (V)  
CLOAD (pF)  
VREF – 0.2  
VREF + 0.2  
1.5  
1.5  
1.485  
30  
Note: *Measuring point = Vtrip. See Table 2-23 on page 2-23 for a complete table of trip points.  
Timing Characteristics  
1.5 V DC Core Voltage  
Table 2-107 • SSTL 3 Class I – Applies to 1.5 V DC Core Voltage  
Commercial-Case Conditions: TJ = 70°C, Worst-Case VCC = 1.425 V,  
Worst-Case VCCI = 3.0 V VREF = 1.5 V  
Speed Grade  
tDOUT  
tDP  
tDIN  
tPY  
tEOUT  
tZL  
tZH  
tLZ tHZ  
tZLS  
tZHS  
Units  
Std.  
0.98  
2.05  
0.19  
1.09  
0.67  
2.09  
1.71  
5.72  
5.34  
ns  
Note: For specific junction temperature and voltage supply levels, refer to Table 2-6 on page 2-6 for derating values.  
1.2 V DC Core Voltage  
Table 2-108 • SSTL 3 Class I – Applies to 1.2 V DC Core Voltage  
Commercial-Case Conditions: TJ = 70°C, Worst-Case VCC = 1.14 V,  
Worst-Case VCCI = 3.0 V VREF = 1.5 V  
Speed Grade  
tDOUT  
tDP  
tDIN  
tPY  
tEOUT  
tZL  
tZH  
tLZ tHZ  
tZLS  
tZHS  
Units  
Std.  
1.55  
2.32  
0.26  
1.32  
1.10  
2.37  
2.02  
8.17  
7.83  
ns  
Note: For specific junction temperature and voltage supply levels, refer to Table 2-7 on page 2-6 for derating values.  
2-60  
Revision 13  
IGLOOe Low Power Flash FPGAs  
SSTL3 Class II  
Stub-Speed Terminated Logic for 3.3 V memory bus standard (JESD8-8). IGLOOe devices support Class  
II. This provides a differential amplifier input buffer and a push-pull output buffer.  
Table 2-109 • Minimum and Maximum DC Input and Output Levels  
SSTL3 Class II  
VIL  
VIH  
VOL  
VOH  
IOL IOH IOSH  
IOSL IIL1 IIH2  
Min.  
V
Max.  
V
Min.  
V
Max.  
V
Max.  
V
Min.  
V
Max.  
Max.  
Drive Strength  
21 mA  
mA mA mA3  
mA3 µA4 µA4  
–0.3 VREF – 0.2 VREF + 0.2  
3.6  
0.5  
VCCI – 0.9 21 21  
103  
109  
10 10  
Notes:  
1. IIL is the input leakage current per I/O pin over recommended operating conditions where –0.3 V < VIN < VIL.  
2. IIH is the input leakage current per I/O pin over recommended operating conditions VIH < VIN < VCCI. Input current is  
larger when operating outside recommended ranges.  
3. Currents are measured at high temperature (100°C junction temperature) and maximum voltage.  
4. Currents are measured at 85°C junction temperature.  
V
TT  
SSTL3  
Class II  
25  
Test Point  
25  
30 pF  
Figure 2-22 • AC Loading  
Table 2-110 • AC Waveforms, Measuring Points, and Capacitive Loads  
Input Low (V)  
Input High (V)  
Measuring Point* (V)  
VREF (typ.) (V)  
VTT (typ.) (V)  
CLOAD (pF)  
VREF – 0.2  
VREF + 0.2  
1.5  
1.5  
1.485  
30  
Note: Measuring point = Vtrip. See Table 2-23 on page 2-23 for a complete table of trip points.  
Timing Characteristics  
1.5 V DC Core Voltage  
Table 2-111 • SSTL 3 Class II – Applies to 1.5 V DC Core Voltage  
Commercial-Case Conditions: TJ = 70°C, Worst-Case VCC = 1.425 V,  
Worst-Case VCCI = 3.0 V VREF = 1.5 V  
Speed Grade  
tDOUT  
tDP  
tDIN  
tPY  
tEOUT  
tZL  
tZH  
tLZ tHZ  
tZLS  
tZHS  
Units  
ns  
Std.  
0.98  
1.86  
0.19  
1.09  
0.67  
1.89  
1.58  
5.52  
5.21  
Note: For specific junction temperature and voltage supply levels, refer to Table 2-6 on page 2-6 for derating values.  
1.2 V DC Core Voltage  
Table 2-112 • SSTL 3 Class II – Applies to 1.2 V DC Core Voltage  
Commercial-Case Conditions: TJ = 70°C, Worst-Case VCC = 1.14 V,  
Worst-Case VCCI = 3.0 V VREF = 1.5 V  
Speed Grade  
tDOUT  
tDP  
tDIN  
tPY  
tEOUT  
tZL  
tZH  
tLZ tHZ  
tZLS  
tZHS  
Units  
Std.  
1.55  
2.12  
0.26  
1.32  
1.10  
2.16  
1.89  
7.97  
7.70  
ns  
Note: For specific junction temperature and voltage supply levels, refer to Table 2-7 on page 2-6 for derating values.  
Revision 13  
2-61  
IGLOOe DC and Switching Characteristics  
Differential I/O Characteristics  
Physical Implementation  
Configuration of the I/O modules as a differential pair is handled by the Microsemi Designer software  
when the user instantiates a differential I/O macro in the design.  
Differential I/Os can also be used in conjunction with the embedded Input Register (InReg), Output  
Register (OutReg), Enable Register (EnReg), and DDR. However, there is no support for bidirectional  
I/Os or tristates with the LVPECL standards.  
LVDS  
Low-Voltage Differential Signaling (ANSI/TIA/EIA-644) is a high-speed, differential I/O standard. It  
requires that one data bit be carried through two signal lines, so two pins are needed. It also requires  
external resistor termination.  
The full implementation of the LVDS transmitter and receiver is shown in an example in Figure 2-23. The  
building blocks of the LVDS transmitter-receiver are one transmitter macro, one receiver macro, three  
board resistors at the transmitter end, and one resistor at the receiver end. The values for the three driver  
resistors are different from those used in the LVPECL implementation because the output standard  
specifications are different.  
Along with LVDS I/O, IGLOOe also supports Bus LVDS structure and Multipoint LVDS (M-LVDS)  
configuration (up to 40 nodes).  
Bourns Part Number: CAT16-LV4F12  
FPGA  
FPGA  
OUTBUF_LVDS  
P
P
N
165  
165   
Z0 = 50   
140   
Z0 = 50   
INBUF_LVDS  
+
100   
N
Figure 2-23 • LVDS Circuit Diagram and Board-Level Implementation  
2-62  
Revision 13  
IGLOOe Low Power Flash FPGAs  
Table 2-113 • Minimum and Maximum DC Input and Output Levels  
DC Parameter  
VCCI  
Description  
Min.  
2.375  
0.9  
Typ.  
2.5  
Max.  
2.625  
1.25  
1.6  
Units  
V
Supply Voltage  
VOL  
Output Low Voltage  
1.075  
1.425  
0.91  
0.91  
V
VOH  
IOL1  
IOH1  
Output High Voltage  
1.25  
0.65  
0.65  
0
V
Output Lower Current  
Output High Current  
1.16  
1.16  
2.925  
10  
mA  
mA  
V
VI  
IIH2,3  
IIL2,4  
Input Voltage  
Input High Leakage Current  
Input Low Leakage Current  
Differential Output Voltage  
Output Common Mode Voltage  
Input Common Mode Voltage  
Input Differential Voltage  
µA  
µA  
mV  
V
10  
VODIFF  
VOCM  
VICM  
VIDIFF  
Notes:  
250  
1.125  
0.05  
100  
350  
1.25  
1.25  
350  
450  
1.375  
2.35  
V
mV  
1. IOL/IOH is defined by VODIFF/(resistor network).  
2. Currents are measured at 85°C junction temperature.  
3. IIH is the input leakage current per I/O pin over recommended operating conditions VIH < VIN < VCCI. Input current is  
larger when operating outside recommended ranges.  
4. IIL is the input leakage current per I/O pin over recommended operating conditions where –0.3 V < VIN < VIL.  
Table 2-114 • AC Waveforms, Measuring Points, and Capacitive Loads  
Input Low (V)  
Input High (V)  
Measuring Point* (V)  
VREF (typ.) (V)  
1.075  
1.325  
Cross point  
Note: *Measuring point = Vtrip. See Table 2-23 on page 2-23 for a complete table of trip points.  
Timing Characteristics  
1.5 V DC Core Voltage  
Table 2-115 • LVDS – Applies to 1.5 V DC Core Voltage  
Commercial-Case Conditions: TJ = 70°C, Worst-Case VCC = 1.425 V, Worst-Case VCCI = 2.3 V  
Speed Grade  
tDOUT  
tDP  
tDIN  
tPY  
Units  
Std.  
0.98  
1.77  
0.19  
1.62  
ns  
Note: For specific junction temperature and voltage supply levels, refer to Table 2-6 on page 2-6 for derating values.  
1.2 V DC Core Voltage  
Table 2-116 • LVDS – Applies to 1.2 V DC Core Voltage  
Commercial-Case Conditions: TJ = 70°C, Worst-Case VCC = 1.14 V, Worst-Case VCCI = 2.3 V  
Speed Grade  
tDOUT  
tDP  
tDIN  
tPY  
Units  
Std.  
1.55  
2.19  
0.26  
1.88  
ns  
Note: For specific junction temperature and voltage supply levels, refer to Table 2-7 on page 2-6 for derating values.  
Revision 13  
2-63  
IGLOOe DC and Switching Characteristics  
B-LVDS/M-LVDS  
Bus LVDS (B-LVDS) and Multipoint LVDS (M-LVDS) specifications extend the existing LVDS standard to  
high-performance multipoint bus applications. Multidrop and multipoint bus configurations may contain  
any combination of drivers, receivers, and transceivers. Microsemi LVDS drivers provide the higher drive  
current required by B-LVDS and M-LVDS to accommodate the loading. The drivers require series  
terminations for better signal quality and to control voltage swing. Termination is also required at both  
ends of the bus since the driver can be located anywhere on the bus. These configurations can be  
implemented using the TRIBUF_LVDS and BIBUF_LVDS macros along with appropriate terminations.  
Multipoint designs using Microsemi LVDS macros can achieve up to 200 MHz with a maximum of 20  
loads. A sample application is given in Figure 2-24. The input and output buffer delays are available in  
the LVDS section in Table 2-115 on page 2-63 and Table 2-116 on page 2-63.  
Example: For a bus consisting of 20 equidistant loads, the following terminations provide the required  
differential voltage, in worst-case Industrial operating conditions, at the farthest receiver: RS = 60 and  
RT = 70 , given Z0 = 50 (2") and Zstub = 50 (~1.5").  
Receiver  
Transceiver  
Driver  
D
Receiver  
Transceiver  
EN  
EN  
EN  
EN  
EN  
BIBUF_LVDS  
R
T
R
T
+
-
+
-
+
-
+
-
+
-
RS RS  
RS RS  
RS RS  
Zstub  
RS RS  
RS RS  
Zstub  
Z0  
Zstub  
Zstub  
Z0  
Zstub  
Zstub  
Z0  
Zstub  
Z0  
Zstub  
...  
Z0  
Z0  
Z0  
Z0  
RT  
RT  
Z0  
Z0  
Z0  
Z0  
Figure 2-24 • B-LVDS/M-LVDS Multipoint Application Using LVDS I/O Buffers  
LVPECL  
Low-Voltage Positive Emitter-Coupled Logic (LVPECL) is another differential I/O standard. It requires  
that one data bit be carried through two signal lines. Like LVDS, two pins are needed. It also requires  
external resistor termination.  
The full implementation of the LVDS transmitter and receiver is shown in an example in Figure 2-25. The  
building blocks of the LVPECL transmitter-receiver are one transmitter macro, one receiver macro, three  
board resistors at the transmitter end, and one resistor at the receiver end. The values for the three driver  
resistors are different from those used in the LVDS implementation because the output standard  
specifications are different.  
Bourns Part Number: CAT16-PC4F12  
FPGA  
FPGA  
P
P
N
OUTBUF_LVPECL  
100  
100   
Z = 50   
0
INBUF_LVPECL  
+
187 W  
Z = 50   
100   
0
N
Figure 2-25 • LVPECL Circuit Diagram and Board-Level Implementation  
2-64  
Revision 13  
IGLOOe Low Power Flash FPGAs  
Table 2-117 • Minimum and Maximum DC Input and Output Levels  
DC Parameter  
VCCI  
Description  
Supply Voltage  
Min.  
Max.  
Min.  
Max.  
Min.  
Max. Units  
3.0  
3.3  
3.6  
V
VOL  
Output Low Voltage  
0.96  
1.8  
0
1.27  
2.11  
3.6  
1.06  
1.92  
0
1.43  
2.28  
3.6  
1.30  
2.13  
0
1.57  
2.41  
3.6  
V
V
VOH  
Output High Voltage  
VIL, VIH  
VODIFF  
VOCM  
VICM  
Input Low, Input High Voltages  
Differential Output Voltage  
Output Common Mode Voltage  
Input Common Mode Voltage  
Input Differential Voltage  
V
0.625 0.97 0.625 0.97 0.625 0.97  
1.762 1.98 1.762 1.98 1.762 1.98  
V
V
1.01  
300  
2.57  
1.01  
300  
2.57  
1.01  
300  
2.57  
V
VIDIFF  
mV  
Table 2-118 • AC Waveforms, Measuring Points, and Capacitive Loads  
Input LOW (V)  
Input HIGH (V)  
Measuring Point* (V)  
VREF (typ.) (V)  
1.64  
1.94  
Cross point  
Note: *Measuring point = Vtrip. See Table 2-23 on page 2-23 for a complete table of trip points.  
Timing Characteristics  
1.5 V DC Core Voltage  
Table 2-119 • LVPECL – Applies to 1.5 V DC Core Voltage  
Commercial-Case Conditions: TJ = 70°C, Worst-Case VCC = 1.425 V, Worst-Case VCCI = 3.0 V  
Speed Grade  
tDOUT  
tDP  
tDIN  
tPY  
Units  
Std.  
0.98  
1.75  
0.19  
1.45  
ns  
Note: For specific junction temperature and voltage supply levels, refer to Table 2-6 on page 2-6 for derating values.  
1.2 V DC Core Voltage  
Table 2-120 • LVPECL – Applies to 1.2 V DC Core Voltage  
Commercial-Case Conditions: TJ = 70°C, Worst-Case VCC = 1.14 V, Worst-Case VCCI = 3.0 V  
Speed Grade  
tDOUT  
tDP  
tDIN  
tPY  
Units  
Std.  
1.55  
2.16  
0.26  
1.70  
ns  
Note: For specific junction temperature and voltage supply levels, refer to Table 2-7 on page 2-6 for derating values.  
Revision 13  
2-65  
IGLOOe DC and Switching Characteristics  
I/O Register Specifications  
Fully Registered I/O Buffers with Synchronous Enable and  
Asynchronous Preset  
Preset  
L
D
DOUT  
Data_out  
PRE  
F
PRE  
Y
E
Core  
Array  
Data  
Enable  
CLK  
D
Q
D
Q
C
DFN1E1P1  
DFN1E1P1  
G
E
E
EOUT  
B
A
H
I
PRE  
J
D
Q
DFN1E1P1  
K
Data Input I/O Register with:  
Active High Enable  
E
Active High Preset  
Positive-Edge Triggered  
Data Output Register and  
Enable Output Register with:  
Active High Enable  
Active High Preset  
CLKBUF  
INBUF  
INBUF  
Postive-Edge Triggered  
Figure 2-26 • Timing Model of Registered I/O Buffers with Synchronous Enable and Asynchronous Preset  
2-66  
Revision 13  
IGLOOe Low Power Flash FPGAs  
Table 2-121 • Parameter Definition and Measuring Nodes  
Measuring Nodes  
(from, to)*  
Parameter Name  
tOCLKQ  
tOSUD  
Parameter Definition  
Clock-to-Q of the Output Data Register  
H, DOUT  
F, H  
Data Setup Time for the Output Data Register  
Data Hold Time for the Output Data Register  
Enable Setup Time for the Output Data Register  
Enable Hold Time for the Output Data Register  
Asynchronous Preset-to-Q of the Output Data Register  
tOHD  
F, H  
tOSUE  
G, H  
tOHE  
G, H  
tOPRE2Q  
tOREMPRE  
tORECPRE  
tOECLKQ  
tOESUD  
tOEHD  
L, DOUT  
Asynchronous Preset Removal Time for the Output Data Register  
Asynchronous Preset Recovery Time for the Output Data Register  
Clock-to-Q of the Output Enable Register  
L, H  
L, H  
H, EOUT  
J, H  
Data Setup Time for the Output Enable Register  
Data Hold Time for the Output Enable Register  
J, H  
tOESUE  
tOEHE  
Enable Setup Time for the Output Enable Register  
Enable Hold Time for the Output Enable Register  
Asynchronous Preset-to-Q of the Output Enable Register  
Asynchronous Preset Removal Time for the Output Enable Register  
Asynchronous Preset Recovery Time for the Output Enable Register  
Clock-to-Q of the Input Data Register  
K, H  
K, H  
I, EOUT  
I, H  
tOEPRE2Q  
tOEREMPRE  
tOERECPRE  
tICLKQ  
I, H  
A, E  
tISUD  
Data Setup Time for the Input Data Register  
C, A  
C, A  
B, A  
tIHD  
Data Hold Time for the Input Data Register  
tISUE  
Enable Setup Time for the Input Data Register  
tIHE  
Enable Hold Time for the Input Data Register  
B, A  
tIPRE2Q  
tIREMPRE  
tIRECPRE  
Asynchronous Preset-to-Q of the Input Data Register  
Asynchronous Preset Removal Time for the Input Data Register  
Asynchronous Preset Recovery Time for the Input Data Register  
D, E  
D, A  
D, A  
Note: See Figure 2-26 on page 2-66 for more information.  
Revision 13  
2-67  
IGLOOe DC and Switching Characteristics  
Fully Registered I/O Buffers with Synchronous Enable and  
Asynchronous Clear  
DOUT  
FF  
Data_out  
Y
Core  
D
Q
D
Q
Data  
Array  
CC  
EE  
DFN1E1C1  
DFN1E1C1  
GG  
EOUT  
E
E
Enable  
CLK  
CLR  
BB  
AA  
DD  
CLR  
LL  
HH  
JJ  
D
Q
CLR  
DFN1E1C1  
KK  
E
Data Input I/O Register with  
Active High Enable  
CLR  
Active High Clear  
Positive-Edge Triggered  
Data Output Register and  
Enable Output Register with  
Active High Enable  
Active High Clear  
Positive-Edge Triggered  
INBUF  
INBUF  
CLKBUF  
Figure 2-27 • Timing Model of the Registered I/O Buffers with Synchronous Enable and Asynchronous Clear  
2-68  
Revision 13  
IGLOOe Low Power Flash FPGAs  
Table 2-122 • Parameter Definition and Measuring Nodes  
Measuring Nodes  
(from, to)*  
Parameter Name  
tOCLKQ  
tOSUD  
Parameter Definition  
Clock-to-Q of the Output Data Register  
HH, DOUT  
FF, HH  
Data Setup Time for the Output Data Register  
Data Hold Time for the Output Data Register  
Enable Setup Time for the Output Data Register  
Enable Hold Time for the Output Data Register  
Asynchronous Clear-to-Q of the Output Data Register  
tOHD  
FF, HH  
tOSUE  
GG, HH  
GG, HH  
LL, DOUT  
tOHE  
tOCLR2Q  
tOREMCLR  
tORECCLR  
tOECLKQ  
tOESUD  
tOEHD  
Asynchronous Clear Removal Time for the Output Data Register  
Asynchronous Clear Recovery Time for the Output Data Register  
Clock-to-Q of the Output Enable Register  
LL, HH  
LL, HH  
HH, EOUT  
JJ, HH  
Data Setup Time for the Output Enable Register  
Data Hold Time for the Output Enable Register  
JJ, HH  
tOESUE  
tOEHE  
Enable Setup Time for the Output Enable Register  
Enable Hold Time for the Output Enable Register  
Asynchronous Clear-to-Q of the Output Enable Register  
Asynchronous Clear Removal Time for the Output Enable Register  
Asynchronous Clear Recovery Time for the Output Enable Register  
Clock-to-Q of the Input Data Register  
KK, HH  
KK, HH  
II, EOUT  
II, HH  
tOECLR2Q  
tOEREMCLR  
tOERECCLR  
tICLKQ  
II, HH  
AA, EE  
CC, AA  
CC, AA  
BB, AA  
BB, AA  
DD, EE  
DD, AA  
DD, AA  
tISUD  
Data Setup Time for the Input Data Register  
tIHD  
Data Hold Time for the Input Data Register  
tISUE  
Enable Setup Time for the Input Data Register  
tIHE  
Enable Hold Time for the Input Data Register  
tICLR2Q  
tIREMCLR  
tIRECCLR  
Asynchronous Clear-to-Q of the Input Data Register  
Asynchronous Clear Removal Time for the Input Data Register  
Asynchronous Clear Recovery Time for the Input Data Register  
Note: *See Figure 2-27 on page 2-68 for more information.  
Revision 13  
2-69  
IGLOOe DC and Switching Characteristics  
Input Register  
tICKMPWH tICKMPWL  
50%  
50%  
50%  
50%  
50%  
50%  
50%  
CLK  
tIHD  
tISUD  
50%  
50%  
1
0
Data  
tIREMPRE  
tIRECPRE  
tIWPRE  
Enable  
Preset  
50%  
tIHE  
tISUE  
50%  
50%  
50%  
tIWCLR  
tIRECCLR  
50%  
tIREMCLR  
50%  
50%  
Clear  
tIPRE2Q  
50%  
50%  
tICLKQ  
50%  
Out_1  
tICLR2Q  
Figure 2-28 • Input Register Timing Diagram  
Timing Characteristics  
1.5 V DC Core Voltage  
Table 2-123 • Input Data Register Propagation Delays  
Commercial-Case Conditions: TJ = 70°C, Worst-Case VCC = 1.425 V  
Parameter  
tICLKQ  
Description  
Std. Units  
Clock-to-Q of the Input Data Register  
0.42  
0.47  
0.00  
0.67  
0.00  
0.79  
0.79  
0.00  
0.24  
0.00  
0.24  
0.19  
0.19  
0.31  
0.28  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
tISUD  
Data Setup Time for the Input Data Register  
tIHD  
Data Hold Time for the Input Data Register  
tISUE  
Enable Setup Time for the Input Data Register  
tIHE  
Enable Hold Time for the Input Data Register  
tICLR2Q  
tIPRE2Q  
tIREMCLR  
tIRECCLR  
tIREMPRE  
tIRECPRE  
tIWCLR  
Asynchronous Clear-to-Q of the Input Data Register  
Asynchronous Preset-to-Q of the Input Data Register  
Asynchronous Clear Removal Time for the Input Data Register  
Asynchronous Clear Recovery Time for the Input Data Register  
Asynchronous Preset Removal Time for the Input Data Register  
Asynchronous Preset Recovery Time for the Input Data Register  
Asynchronous Clear Minimum Pulse Width for the Input Data Register  
Asynchronous Preset Minimum Pulse Width for the Input Data Register  
Clock Minimum Pulse Width HIGH for the Input Data Register  
Clock Minimum Pulse Width LOW for the Input Data Register  
tIWPRE  
tICKMPWH  
tICKMPWL  
Note: For specific junction temperature and voltage supply levels, refer to Table 2-6 on page 2-6 for derating values.  
2-70  
Revision 13  
IGLOOe Low Power Flash FPGAs  
1.2 V DC Core Voltage  
Table 2-124 • Input Data Register Propagation Delays  
Commercial-Case Conditions: TJ = 70°C, Worst-Case VCC = 1.14 V  
Parameter  
tICLKQ  
Description  
Std. Units  
Clock-to-Q of the Input Data Register  
0.68  
0.97  
0.00  
1.02  
0.00  
1.19  
1.19  
0.00  
0.24  
0.00  
0.24  
0.19  
0.19  
0.31  
0.28  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
tISUD  
Data Setup Time for the Input Data Register  
tIHD  
Data Hold Time for the Input Data Register  
tISUE  
Enable Setup Time for the Input Data Register  
tIHE  
Enable Hold Time for the Input Data Register  
tICLR2Q  
tIPRE2Q  
tIREMCLR  
tIRECCLR  
tIREMPRE  
tIRECPRE  
tIWCLR  
Asynchronous Clear-to-Q of the Input Data Register  
Asynchronous Preset-to-Q of the Input Data Register  
Asynchronous Clear Removal Time for the Input Data Register  
Asynchronous Clear Recovery Time for the Input Data Register  
Asynchronous Preset Removal Time for the Input Data Register  
Asynchronous Preset Recovery Time for the Input Data Register  
Asynchronous Clear Minimum Pulse Width for the Input Data Register  
Asynchronous Preset Minimum Pulse Width for the Input Data Register  
Clock Minimum Pulse Width HIGH for the Input Data Register  
Clock Minimum Pulse Width LOW for the Input Data Register  
tIWPRE  
tICKMPWH  
tICKMPWL  
Note: For specific junction temperature and voltage supply levels, refer to Table 2-7 on page 2-6 for derating values.  
Revision 13  
2-71  
IGLOOe DC and Switching Characteristics  
Output Register  
tOCKMPWH tOCKMPWL  
50%  
50%  
50%  
50%  
50%  
50%  
50%  
CLK  
tOSUD tOHD  
50%  
50%  
1
0
Data_out  
tOREMPRE  
Enable  
Preset  
50%  
tOWPRE tORECPRE  
50%  
tOHE  
50%  
50%  
tOSUE  
tOREMCLR  
50%  
tORECCLR  
50%  
tOWCLR  
50%  
Clear  
tOPRE2Q  
50%  
tOCLKQ  
50%  
50%  
DOUT  
tOCLR2Q  
Figure 2-29 • Output Register Timing Diagram  
Timing Characteristics  
1.5 V DC Core Voltage  
Table 2-125 • Output Data Register Propagation Delays  
Commercial-Case Conditions: TJ = 70°C, Worst-Case VCC = 1.425 V  
Parameter  
tOCLKQ  
Description  
Std. Units  
Clock-to-Q of the Output Data Register  
1.00  
0.51  
0.00  
0.70  
0.00  
1.34  
1.34  
0.00  
0.24  
0.00  
0.24  
0.19  
0.19  
0.31  
0.28  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
tOSUD  
Data Setup Time for the Output Data Register  
tOHD  
Data Hold Time for the Output Data Register  
tOSUE  
Enable Setup Time for the Output Data Register  
tOHE  
Enable Hold Time for the Output Data Register  
tOCLR2Q  
tOPRE2Q  
tOREMCLR  
tORECCLR  
tOREMPRE  
tORECPRE  
tOWCLR  
tOWPRE  
tOCKMPWH  
tOCKMPWL  
Asynchronous Clear-to-Q of the Output Data Register  
Asynchronous Preset-to-Q of the Output Data Register  
Asynchronous Clear Removal Time for the Output Data Register  
Asynchronous Clear Recovery Time for the Output Data Register  
Asynchronous Preset Removal Time for the Output Data Register  
Asynchronous Preset Recovery Time for the Output Data Register  
Asynchronous Clear Minimum Pulse Width for the Output Data Register  
Asynchronous Preset Minimum Pulse Width for the Output Data Register  
Clock Minimum Pulse Width HIGH for the Output Data Register  
Clock Minimum Pulse Width LOW for the Output Data Register  
Note: For specific junction temperature and voltage supply levels, refer to Table 2-6 on page 2-6 for derating values.  
2-72  
Revision 13  
IGLOOe Low Power Flash FPGAs  
1.2 V DC Core Voltage  
Table 2-126 • Output Data Register Propagation Delays  
Commercial-Case Conditions: TJ = 70°C, Worst-Case VCC = 1.14 V  
Parameter  
tOCLKQ  
Description  
Std. Units  
Clock-to-Q of the Output Data Register  
1.52  
1.15  
0.00  
1.11  
0.00  
1.96  
1.96  
0.00  
0.24  
0.00  
0.24  
0.19  
0.19  
0.31  
0.28  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
tOSUD  
Data Setup Time for the Output Data Register  
tOHD  
Data Hold Time for the Output Data Register  
tOSUE  
Enable Setup Time for the Output Data Register  
tOHE  
Enable Hold Time for the Output Data Register  
tOCLR2Q  
tOPRE2Q  
tOREMCLR  
tORECCLR  
tOREMPRE  
tORECPRE  
tOWCLR  
tOWPRE  
tOCKMPWH  
tOCKMPWL  
Asynchronous Clear-to-Q of the Output Data Register  
Asynchronous Preset-to-Q of the Output Data Register  
Asynchronous Clear Removal Time for the Output Data Register  
Asynchronous Clear Recovery Time for the Output Data Register  
Asynchronous Preset Removal Time for the Output Data Register  
Asynchronous Preset Recovery Time for the Output Data Register  
Asynchronous Clear Minimum Pulse Width for the Output Data Register  
Asynchronous Preset Minimum Pulse Width for the Output Data Register  
Clock Minimum Pulse Width HIGH for the Output Data Register  
Clock Minimum Pulse Width LOW for the Output Data Register  
Note: For specific junction temperature and voltage supply levels, refer to Table 2-7 on page 2-6 for derating values.  
Revision 13  
2-73  
IGLOOe DC and Switching Characteristics  
Output Enable Register  
tOECKMPWH tOECKMPWL  
50%  
50%  
50%  
50%  
50%  
50%  
50%  
CLK  
tOESUD OEHD  
t
50% 50%  
1
0
D_Enable  
50%  
Enable  
Preset  
tOEWPRE  
50%  
tOEREMPRE  
50%  
tOERECPRE  
50%  
tOESUEOEHE  
t
tOEREMCLR  
50%  
tOEWCLR tOERECCLR  
50%  
50%  
Clear  
tOECLR2Q  
50%  
tOEPRE2Q  
50%  
50%  
tOECLKQ  
EOUT  
Figure 2-30 • Output Enable Register Timing Diagram  
Timing Characteristics  
1.5 V DC Core Voltage  
Table 2-127 • Output Enable Register Propagation Delays  
Commercial-Case Conditions: TJ = 70°C, Worst-Case VCC = 1.425 V  
Parameter  
tOECLKQ  
tOESUD  
Description  
Std. Units  
Clock-to-Q of the Output Enable Register  
0.75  
0.51  
0.00  
0.73  
0.00  
1.13  
1.13  
0.00  
0.24  
0.00  
0.24  
0.19  
0.19  
0.31  
0.28  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
Data Setup Time for the Output Enable Register  
tOEHD  
Data Hold Time for the Output Enable Register  
tOESUE  
Enable Setup Time for the Output Enable Register  
tOEHE  
Enable Hold Time for the Output Enable Register  
tOECLR2Q  
tOEPRE2Q  
tOEREMCLR  
tOERECCLR  
tOEREMPRE  
tOERECPRE  
tOEWCLR  
tOEWPRE  
Asynchronous Clear-to-Q of the Output Enable Register  
Asynchronous Preset-to-Q of the Output Enable Register  
Asynchronous Clear Removal Time for the Output Enable Register  
Asynchronous Clear Recovery Time for the Output Enable Register  
Asynchronous Preset Removal Time for the Output Enable Register  
Asynchronous Preset Recovery Time for the Output Enable Register  
Asynchronous Clear Minimum Pulse Width for the Output Enable Register  
Asynchronous Preset Minimum Pulse Width for the Output Enable Register  
tOECKMPWH Clock Minimum Pulse Width HIGH for the Output Enable Register  
tOECKMPWL Clock Minimum Pulse Width LOW for the Output Enable Register  
Note: For specific junction temperature and voltage supply levels, refer to Table 2-6 on page 2-6 for derating values.  
2-74  
Revision 13  
IGLOOe Low Power Flash FPGAs  
1.2 V DC Core Voltage  
Table 2-128 • Output Enable Register Propagation Delays  
Commercial-Case Conditions: TJ = 70°C, Worst-Case VCC = 1.14 V  
Parameter  
tOECLKQ  
tOESUD  
Description  
Std. Units  
Clock-to-Q of the Output Enable Register  
1.10  
1.15  
0.00  
1.22  
0.00  
1.65  
1.65  
0.00  
0.24  
0.00  
0.24  
0.19  
0.19  
0.31  
0.28  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
Data Setup Time for the Output Enable Register  
tOEHD  
Data Hold Time for the Output Enable Register  
tOESUE  
Enable Setup Time for the Output Enable Register  
tOEHE  
Enable Hold Time for the Output Enable Register  
tOECLR2Q  
tOEPRE2Q  
tOEREMCLR  
tOERECCLR  
tOEREMPRE  
tOERECPRE  
tOEWCLR  
tOEWPRE  
Asynchronous Clear-to-Q of the Output Enable Register  
Asynchronous Preset-to-Q of the Output Enable Register  
Asynchronous Clear Removal Time for the Output Enable Register  
Asynchronous Clear Recovery Time for the Output Enable Register  
Asynchronous Preset Removal Time for the Output Enable Register  
Asynchronous Preset Recovery Time for the Output Enable Register  
Asynchronous Clear Minimum Pulse Width for the Output Enable Register  
Asynchronous Preset Minimum Pulse Width for the Output Enable Register  
tOECKMPWH Clock Minimum Pulse Width HIGH for the Output Enable Register  
tOECKMPWL Clock Minimum Pulse Width LOW for the Output Enable Register  
Note: For specific junction temperature and voltage supply levels, refer to Table 2-7 on page 2-6 for derating values.  
Revision 13  
2-75  
IGLOOe DC and Switching Characteristics  
DDR Module Specifications  
Input DDR Module  
Input DDR  
INBUF  
A
D
Out_QF  
(to core)  
Data  
FF1  
B
E
Out_QR  
(to core)  
CLK  
CLKBUF  
FF2  
C
CLR  
INBUF  
DDR_IN  
Figure 2-31 • Input DDR Timing Model  
Table 2-129 • Parameter Definitions  
Parameter Name  
Parameter Definition  
Clock-to-Out Out_QR  
Clock-to-Out Out_QF  
Measuring Nodes (from, to)  
tDDRICLKQ1  
tDDRICLKQ2  
tDDRISUD  
B, D  
B, E  
A, B  
A, B  
C, D  
C, E  
C, B  
C, B  
Data Setup Time of DDR input  
Data Hold Time of DDR input  
Clear-to-Out Out_QR  
Clear-to-Out Out_QF  
Clear Removal  
tDDRIHD  
tDDRICLR2Q1  
tDDRICLR2Q2  
tDDRIREMCLR  
tDDRIRECCLR  
Clear Recovery  
2-76  
Revision 13  
IGLOOe Low Power Flash FPGAs  
CLK  
tDDRISUD  
6
tDDRIHD  
Data  
CLR  
1
2
3
4
5
7
8
9
tDDRIRECCLR  
tDDRIREMCLR  
tDDRICLKQ1  
tDDRICLR2Q1  
Out_QF  
Out_QR  
6
7
2
4
tDDRICLKQ2  
tDDRICLR2Q2  
3
5
Figure 2-32 • Input DDR Timing Diagram  
Timing Characteristics  
1.5 V DC Core Voltage  
Table 2-130 • Input DDR Propagation Delays  
Commercial-Case Conditions: TJ = 70°C, Worst-Case VCC = 1.425 V  
Parameter  
tDDRICLKQ1  
tDDRICLKQ2  
tDDRISUD1  
Description  
Std.  
0.48  
0.65  
0.50  
0.40  
0.00  
0.00  
0.82  
0.98  
0.00  
0.23  
0.19  
0.31  
0.28  
250.00  
Units  
ns  
Clock-to-Out Out_QR for Input DDR  
Clock-to-Out Out_QF for Input DDR  
ns  
Data Setup for Input DDR (negedge)  
ns  
tDDRISUD2  
Data Setup for Input DDR (posedge)  
ns  
tDDRIHD1  
Data Hold for Input DDR (negedge)  
ns  
tDDRIHD2  
Data Hold for Input DDR (posedge)  
ns  
tDDRICLR2Q1  
tDDRICLR2Q2  
tDDRIREMCLR  
tDDRIRECCLR  
tDDRIWCLR  
tDDRICKMPWH  
tDDRICKMPWL  
FDDRIMAX  
Asynchronous Clear to Out Out_QR for Input DDR  
Asynchronous Clear-to-Out Out_QF for Input DDR  
Asynchronous Clear Removal Time for Input DDR  
Asynchronous Clear Recovery Time for Input DDR  
Asynchronous Clear Minimum Pulse Width for Input DDR  
Clock Minimum Pulse Width HIGH for Input DDR  
Clock Minimum Pulse Width LOW for Input DDR  
Maximum Frequency for Input DDR  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
MHz  
Note: For specific junction temperature and voltage supply levels, refer to Table 2-6 on page 2-6 for derating values.  
Revision 13  
2-77  
IGLOOe DC and Switching Characteristics  
1.2 V DC Core Voltage  
Table 2-131 • Input DDR Propagation Delays  
Commercial-Case Conditions: TJ = 70°C, Worst-Case VCC = 1.14 V  
Description  
Clock-to-Out Out_QR for Input DDR  
Parameter  
tDDRICLKQ1  
tDDRICLKQ2  
tDDRISUD1  
Std.  
0.76  
0.94  
0.93  
0.84  
0.00  
0.00  
1.23  
1.42  
0.00  
0.24  
0.19  
0.31  
0.28  
160.00  
Units  
ns  
Clock-to-Out Out_QF for Input DDR  
ns  
Data Setup for Input DDR (negedge)  
ns  
tDDRISUD2  
Data Setup for Input DDR (posedge)  
ns  
tDDRIHD1  
Data Hold for Input DDR (negedge)  
ns  
tDDRIHD2  
Data Hold for Input DDR (posedge)  
ns  
tDDRICLR2Q1  
tDDRICLR2Q2  
tDDRIREMCLR  
tDDRIRECCLR  
tDDRIWCLR  
tDDRICKMPWH  
tDDRICKMPWL  
FDDRIMAX  
Asynchronous Clear to Out Out_QR for Input DDR  
Asynchronous Clear-to-Out Out_QF for Input DDR  
Asynchronous Clear Removal Time for Input DDR  
Asynchronous Clear Recovery Time for Input DDR  
Asynchronous Clear Minimum Pulse Width for Input DDR  
Clock Minimum Pulse Width HIGH for Input DDR  
Clock Minimum Pulse Width LOW for Input DDR  
Maximum Frequency for Input DDR  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
MHz  
Note: For specific junction temperature and voltage supply levels, refer to Table 2-7 on page 2-6 for derating values.  
2-78  
Revision 13  
IGLOOe Low Power Flash FPGAs  
Output DDR Module  
Output DDR  
A
B
Data_F  
(from core)  
X
X
FF1  
Out  
0
1
CLK  
E
X
CLKBUF  
C
X
OUTBUF  
D
Data_R  
(from core)  
X
FF2  
B
X
CLR  
INBUF  
C
X
DDR_OUT  
Figure 2-33 • Output DDR Timing Model  
Table 2-132 • Parameter Definitions  
Parameter Name  
Parameter Definition  
Measuring Nodes (from, to)  
tDDROCLKQ  
tDDROCLR2Q  
tDDROREMCLR  
tDDRORECCLR  
tDDROSUD1  
tDDROSUD2  
tDDROHD1  
Clock-to-Out  
B, E  
C, E  
C, B  
C, B  
A, B  
D, B  
A, B  
D, B  
Asynchronous Clear-to-Out  
Clear Removal  
Clear Recovery  
Data Setup Data_F  
Data Setup Data_R  
Data Hold Data_F  
Data Hold Data_R  
tDDROHD2  
Revision 13  
2-79  
IGLOOe DC and Switching Characteristics  
CLK  
t
t
DDROHD2  
DDROSUD2  
4
9
5
Data_F  
1
2
3
t
t
DDROHD1  
DDROREMCLR  
Data_R 6  
CLR  
7
8
10  
11  
t
DDRORECCLR  
t
DDROREMCLR  
t
t
DDROCLKQ  
DDROCLR2Q  
Out  
7
2
8
3
9
4
10  
Figure 2-34 • Output DDR Timing Diagram  
2-80  
Revision 13  
IGLOOe Low Power Flash FPGAs  
Timing Characteristics  
1.5 V DC Core Voltage  
Table 2-133 • Output DDR Propagation Delays  
Commercial-Case Conditions: TJ = 70°C, Worst-Case VCC = 1.425 V  
Description  
Clock-to-Out of DDR for Output DDR  
Parameter  
tDDROCLKQ  
tDDROSUD1  
tDDROSUD2  
tDDROHD1  
Std.  
1.07  
0.67  
0.67  
0.00  
0.00  
1.38  
0.00  
0.23  
0.19  
0.31  
0.28  
Units  
ns  
Data_F Data Setup for Output DDR  
ns  
Data_R Data Setup for Output DDR  
ns  
Data_F Data Hold for Output DDR  
ns  
tDDROHD2  
Data_R Data Hold for Output DDR  
ns  
tDDROCLR2Q  
tDDROREMCLR  
tDDRORECCLR  
tDDROWCLR1  
tDDROCKMPWH  
tDDROCKMPWL  
FDDOMAX  
Asynchronous Clear-to-Out for Output DDR  
Asynchronous Clear Removal Time for Output DDR  
Asynchronous Clear Recovery Time for Output DDR  
Asynchronous Clear Minimum Pulse Width for Output DDR  
Clock Minimum Pulse Width HIGH for the Output DDR  
Clock Minimum Pulse Width LOW for the Output DDR  
Maximum Frequency for the Output DDR  
ns  
ns  
ns  
ns  
ns  
ns  
250.00 MHz  
Note: For specific junction temperature and voltage supply levels, refer to Table 2-6 on page 2-6 for derating values.  
1.2 V DC Core Voltage  
Table 2-134 • Output DDR Propagation Delays  
Commercial-Case Conditions: TJ = 70°C, Worst-Case VCC = 1.14 V  
Parameter  
tDDROCLKQ  
tDDROSUD1  
tDDROSUD2  
tDDROHD1  
Description  
Clock-to-Out of DDR for Output DDR  
Std.  
1.60  
1.09  
1.16  
0.00  
0.00  
1.99  
0.00  
0.24  
0.19  
0.31  
0.28  
160.00  
Units  
ns  
Data_F Data Setup for Output DDR  
ns  
Data_R Data Setup for Output DDR  
ns  
Data_F Data Hold for Output DDR  
ns  
tDDROHD2  
Data_R Data Hold for Output DDR  
ns  
tDDROCLR2Q  
tDDROREMCLR  
tDDRORECCLR  
tDDROWCLR1  
tDDROCKMPWH  
tDDROCKMPWL  
FDDOMAX  
Asynchronous Clear-to-Out for Output DDR  
Asynchronous Clear Removal Time for Output DDR  
Asynchronous Clear Recovery Time for Output DDR  
Asynchronous Clear Minimum Pulse Width for Output DDR  
Clock Minimum Pulse Width HIGH for the Output DDR  
Clock Minimum Pulse Width LOW for the Output DDR  
Maximum Frequency for the Output DDR  
ns  
ns  
ns  
ns  
ns  
ns  
MHz  
Note: For specific junction temperature and voltage supply levels, refer to Table 2-7 on page 2-6 for derating values.  
Revision 13  
2-81  
IGLOOe DC and Switching Characteristics  
VersaTile Characteristics  
VersaTile Specifications as a Combinatorial Module  
The IGLOOe library offers all combinations of LUT-3 combinatorial functions. In this section, timing  
characteristics are presented for a sample of the library. For more details, refer to the IGLOO, Fusion,  
and ProASIC3 Macro Library Guide.  
A
Y
Y
INV  
A
A
B
NOR2  
OR2  
Y
B
A
B
A
B
Y
AND2  
Y
NAND2  
A
B
C
A
B
Y
XOR3  
XOR2  
Y
A
B
C
A
MAJ3  
0
Y
A
B
C
MUX2  
Y
B
S
NAND3  
1
Figure 2-35 • Sample of Combinatorial Cells  
2-82  
Revision 13  
IGLOOe Low Power Flash FPGAs  
tPD  
Fanout = 4  
A
B
Net  
Y
NAND2 or Any  
Combinatorial  
Logic  
Length = 1 VersaTile  
tPD = MAX(tPD(RR), tPD(RF),  
tPD(FF), tPD(FR)) where edges are  
applicable for a particular  
combinatorial cell  
A
Net  
Y
NAND2 or Any  
Combinatorial  
Logic  
Length = 1 VersaTile  
B
A
Net  
Y
Y
NAND2 or Any  
Combinatorial  
Logic  
Length = 1 VersaTile  
B
A
Net  
NAND2 or Any  
Combinatorial  
Logic  
Length = 1 VersaTile  
B
VCC  
50%  
50%  
VCC  
A, B, C  
GND  
50%  
50%  
OUT  
OUT  
GND  
tPD  
tPD  
(FF)  
(RR)  
VCC  
tPD  
(FR)  
50%  
50%  
tPD  
GND  
(RF)  
Figure 2-36 • Timing Model and Waveforms  
Revision 13  
2-83  
IGLOOe DC and Switching Characteristics  
Timing Characteristics  
1.5 V DC Core Voltage  
Table 2-135 • Combinatorial Cell Propagation Delays  
Commercial-Case Conditions: TJ = 70°C, Worst-Case VCC = 1.425 V  
Combinatorial Cell  
INV  
Equation  
Y = !A  
Parameter  
tPD  
Std.  
0.80  
0.84  
0.90  
1.19  
1.10  
1.37  
1.33  
1.79  
1.48  
1.21  
Units  
ns  
AND2  
Y = A · B  
tPD  
ns  
NAND2  
OR2  
Y = !(A · B)  
Y = A + B  
tPD  
ns  
tPD  
ns  
NOR2  
Y = !(A + B)  
Y = A B  
Y = MAJ(A, B, C)  
Y = A B C  
Y = A !S + B S  
Y = A · B · C  
tPD  
ns  
XOR2  
tPD  
ns  
MAJ3  
tPD  
ns  
XOR3  
tPD  
ns  
MUX2  
tPD  
ns  
AND3  
tPD  
ns  
Note: For specific junction temperature and voltage supply levels, refer to Table 2-6 on page 2-6 for derating values.  
1.2 V DC Core Voltage  
Table 2-136 • Combinatorial Cell Propagation Delays  
Commercial-Case Conditions: TJ = 70°C, Worst-Case VCC = 1.425 V  
Combinatorial Cell  
INV  
Equation  
Y = !A  
Parameter  
tPD  
Std.  
1.35  
1.42  
1.58  
2.10  
1.94  
2.33  
2.34  
3.05  
2.64  
2.10  
Units  
ns  
AND2  
Y = A · B  
tPD  
ns  
NAND2  
OR2  
Y = !(A · B)  
Y = A + B  
tPD  
ns  
tPD  
ns  
NOR2  
Y = !(A + B)  
Y = A B  
Y = MAJ(A, B, C)  
Y = A B C  
Y = A !S + B S  
Y = A · B · C  
tPD  
ns  
XOR2  
tPD  
ns  
MAJ3  
tPD  
ns  
XOR3  
tPD  
ns  
MUX2  
tPD  
ns  
AND3  
tPD  
ns  
Note: For specific junction temperature and voltage supply levels, refer to Table 2-7 on page 2-6 for derating values.  
2-84  
Revision 13  
IGLOOe Low Power Flash FPGAs  
VersaTile Specifications as a Sequential Module  
The IGLOOe library offers a wide variety of sequential cells, including flip-flops and latches. Each has a  
data input and optional enable, clear, or preset. In this section, timing characteristics are presented for a  
representative sample from the library. For more details, refer to the IGLOO, Fusion, and ProASIC3  
Macro Library Guide.  
Data  
CLK  
Out  
Data  
Out  
D
Q
D
Q
En  
DFN1  
DFN1E1  
CLK  
PRE  
Data  
Data  
Out  
Out  
Q
D
D
Q
En  
DFN1C1  
DFI1E1P1  
CLK  
CLK  
CLR  
Figure 2-37 • Sample of Sequential Cells  
Revision 13  
2-85  
IGLOOe DC and Switching Characteristics  
tCKMPWH CKMPWL  
t
50%  
50%  
50%  
50%  
50%  
50%  
50%  
CLK  
tHD  
tSUD  
50%  
50%  
Data  
EN  
0
50%  
tRECPRE  
50%  
tWPRE  
tREMPRE  
50%  
tHE  
50%  
tSUE  
PRE  
CLR  
Out  
tREMCLR  
tRECCLR  
50%  
tWCLR  
50%  
50%  
tPRE2Q  
50%  
tCLR2Q  
50%  
50%  
tCLKQ  
Figure 2-38 • Timing Model and Waveforms  
Timing Characteristics  
1.5 V DC Core Voltage  
Table 2-137 • Register Delays  
Commercial-Case Conditions: TJ = 70°C, Worst-Case VCC = 1.425 V  
Parameter  
tCLKQ  
Description  
Std. Units  
Clock-to-Q of the Core Register  
0.89  
0.81  
0.00  
0.73  
0.00  
0.60  
0.62  
0.00  
0.24  
0.00  
0.23  
0.30  
0.30  
0.56  
0.56  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
tSUD  
Data Setup Time for the Core Register  
tHD  
Data Hold Time for the Core Register  
tSUE  
Enable Setup Time for the Core Register  
tHE  
Enable Hold Time for the Core Register  
tCLR2Q  
tPRE2Q  
tREMCLR  
tRECCLR  
tREMPRE  
tRECPRE  
tWCLR  
Asynchronous Clear-to-Q of the Core Register  
Asynchronous Preset-to-Q of the Core Register  
Asynchronous Clear Removal Time for the Core Register  
Asynchronous Clear Recovery Time for the Core Register  
Asynchronous Preset Removal Time for the Core Register  
Asynchronous Preset Recovery Time for the Core Register  
Asynchronous Clear Minimum Pulse Width for the Core Register  
Asynchronous Preset Minimum Pulse Width for the Core Register  
Clock Minimum Pulse Width HIGH for the Core Register  
Clock Minimum Pulse Width LOW for the Core Register  
tWPRE  
tCKMPWH  
tCKMPWL  
Note: For specific junction temperature and voltage supply levels, refer to Table 2-6 on page 2-6 for derating values.  
2-86  
Revision 13  
IGLOOe Low Power Flash FPGAs  
1.2 V DC Core Voltage  
Table 2-138 • Register Delays  
Commercial-Case Conditions: TJ = 70°C, Worst-Case VCC = 1.14 V  
Parameter  
tCLKQ  
Description  
Std. Units  
Clock-to-Q of the Core Register  
1.61  
1.17  
0.00  
1.29  
0.00  
0.87  
0.89  
0.00  
0.24  
0.00  
0.24  
0.46  
0.46  
0.95  
0.95  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
tSUD  
Data Setup Time for the Core Register  
tHD  
Data Hold Time for the Core Register  
tSUE  
Enable Setup Time for the Core Register  
tHE  
Enable Hold Time for the Core Register  
tCLR2Q  
tPRE2Q  
tREMCLR  
tRECCLR  
tREMPRE  
tRECPRE  
tWCLR  
Asynchronous Clear-to-Q of the Core Register  
Asynchronous Preset-to-Q of the Core Register  
Asynchronous Clear Removal Time for the Core Register  
Asynchronous Clear Recovery Time for the Core Register  
Asynchronous Preset Removal Time for the Core Register  
Asynchronous Preset Recovery Time for the Core Register  
Asynchronous Clear Minimum Pulse Width for the Core Register  
Asynchronous Preset Minimum Pulse Width for the Core Register  
Clock Minimum Pulse Width HIGH for the Core Register  
Clock Minimum Pulse Width LOW for the Core Register  
tWPRE  
tCKMPWH  
tCKMPWL  
Note: For specific junction temperature and voltage supply levels, refer to Table 2-7 on page 2-6 for derating values.  
Revision 13  
2-87  
IGLOOe DC and Switching Characteristics  
Global Resource Characteristics  
AGLE600 Clock Tree Topology  
Clock delays are device-specific. Figure 2-39 is an example of a global tree used for clock routing. The  
global tree presented in Figure 2-39 is driven by a CCC located on the west side of the AGLE600 device.  
It is used to drive all D-flip-flops in the device.  
Central  
Global Rib  
CCC  
VersaTile  
Rows  
Global Spine  
Figure 2-39 • Example of Global Tree Use in an AGLE600 Device for Clock Routing  
2-88  
Revision 13  
IGLOOe Low Power Flash FPGAs  
Global Tree Timing Characteristics  
Global clock delays include the central rib delay, the spine delay, and the row delay. Delays do not  
include I/O input buffer clock delays, as these are I/O standard–dependent, and the clock may be driven  
and conditioned internally by the CCC module. For more details on clock conditioning capabilities, refer  
to the "Clock Conditioning Circuits" section on page 2-91. Table 2-139 and Table 2-141 present minimum  
and maximum global clock delays within the device. Minimum and maximum delays are measured with  
minimum and maximum loading.  
Timing Characteristics  
1.5 V DC Core Voltage  
Table 2-139 • AGLE600 Global Resource  
Commercial-Case Conditions: TJ = 70°C, VCC = 1.425 V  
Std.  
Max.2  
Parameter  
tRCKL  
Description  
Input Low Delay for Global Clock  
Min.1  
1.48  
1.52  
1.18  
1.15  
Units  
ns  
1.82  
1.94  
tRCKH  
Input High Delay for Global Clock  
ns  
tRCKMPWH  
tRCKMPWL  
tRCKSW  
Notes:  
Minimum Pulse Width High for Global Clock  
Minimum Pulse Width Low for Global Clock  
Maximum Skew for Global Clock  
ns  
ns  
0.42  
ns  
1. Value reflects minimum load. The delay is measured from the CCC output to the clock pin of a sequential element,  
located in a lightly loaded row (single element is connected to the global net).  
2. Value reflects maximum load. The delay is measured on the clock pin of the farthest sequential element, located in a fully  
loaded row (all available flip-flops are connected to the global net in the row).  
3. For specific junction temperature and voltage supply levels, refer to Table 2-6 on page 2-6 for derating values.  
Table 2-140 • AGLE3000 Global Resource  
Commercial-Case Conditions: TJ = 70°C, VCC = 1.425 V  
Std.  
Parameter  
tRCKL  
Description  
Input Low Delay for Global Clock  
Min.1  
2.00  
2.09  
1.18  
1.15  
Max.2  
Units  
ns  
2.34  
tRCKH  
Input High Delay for Global Clock  
2.51  
ns  
tRCKMPWH  
tRCKMPWL  
tRCKSW  
Notes:  
Minimum Pulse Width High for Global Clock  
Minimum Pulse Width Low for Global Clock  
Maximum Skew for Global Clock  
ns  
ns  
0.42  
ns  
1. Value reflects minimum load. The delay is measured from the CCC output to the clock pin of a sequential element,  
located in a lightly loaded row (single element is connected to the global net).  
2. Value reflects maximum load. The delay is measured on the clock pin of the farthest sequential element, located in a fully  
loaded row (all available flip-flops are connected to the global net in the row).  
3. For specific junction temperature and voltage supply levels, refer to Table 2-6 on page 2-6 for derating values.  
Revision 13  
2-89  
IGLOOe DC and Switching Characteristics  
1.2 V DC Core Voltage  
Table 2-141 • AGLE600 Global Resource  
Commercial-Case Conditions: TJ = 70°C, VCC = 1.14 V  
Std.  
Max.2  
Parameter  
tRCKL  
Description  
Input Low Delay for Global Clock  
Min.1  
2.22  
2.32  
1.40  
1.65  
Units  
ns  
2.67  
2.93  
tRCKH  
Input High Delay for Global Clock  
ns  
tRCKMPWH  
tRCKMPWL  
tRCKSW  
Notes:  
Minimum Pulse Width HIGH for Global Clock  
Minimum Pulse Width LOW for Global Clock  
Maximum Skew for Global Clock  
ns  
ns  
0.61  
ns  
1. Value reflects minimum load. The delay is measured from the CCC output to the clock pin of a sequential element,  
located in a lightly loaded row (single element is connected to the global net).  
2. Value reflects maximum load. The delay is measured on the clock pin of the farthest sequential element, located in a fully  
loaded row (all available flip-flops are connected to the global net in the row).  
3. For specific junction temperature and voltage supply levels, refer to Table 2-7 on page 2-6 for derating values.  
Table 2-142 • AGLE3000 Global Resource  
Commercial-Case Conditions: TJ = 70°C, VCC = 1.14 V  
Std.  
Parameter  
tRCKL  
Description  
Input Low Delay for Global Clock  
Min.1  
2.83  
3.00  
1.40  
1.65  
Max.2  
Units  
ns  
3.27  
tRCKH  
Input High Delay for Global Clock  
3.61  
ns  
tRCKMPWH  
tRCKMPWL  
tRCKSW  
Notes:  
Minimum Pulse Width HIGH for Global Clock  
Minimum Pulse Width LOW for Global Clock  
Maximum Skew for Global Clock  
ns  
ns  
0.61  
ns  
1. Value reflects minimum load. The delay is measured from the CCC output to the clock pin of a sequential element,  
located in a lightly loaded row (single element is connected to the global net).  
2. Value reflects maximum load. The delay is measured on the clock pin of the farthest sequential element, located in a fully  
loaded row (all available flip-flops are connected to the global net in the row).  
3. For specific junction temperature and voltage supply levels, refer to Table 2-7 on page 2-6 for derating values.  
2-90  
Revision 13  
IGLOOe Low Power Flash FPGAs  
Clock Conditioning Circuits  
CCC Electrical Specifications  
Timing Characteristics  
Table 2-143 • IGLOOe CCC/PLL Specification  
For IGLOOe V2 or V5 Devices, 1.5 V DC Core Supply Voltage  
Parameter  
Min.  
1.5  
Typ.  
Max.  
250  
250  
100  
Units  
MHz  
MHz  
MHz  
ps  
Clock Conditioning Circuitry Input Frequency fIN_CCC  
Clock Conditioning Circuitry Output Frequency fOUT_CCC  
Serial Clock (SCLK) for Dynamic PLL1  
Delay Increments in Programmable Delay Blocks 2, 3  
0.75  
3604  
Number of Programmable Values in Each Programmable Delay  
Block  
32  
1
ns  
Input Cycle-to-Cycle Jitter (peak magnitude)  
CCC Output Peak-to-Peak Period Jitter FCCC_OUT  
Max Peak-to-Peak Period Jitter  
1 Global  
Network  
Used  
External  
FB Used  
3 Global  
Networks  
Used  
0.75 MHz to 24 MHz  
24 MHz to 100 MHz  
0.50%  
1.00%  
2.50%  
0.75%  
1.50%  
3.75%  
0.70%  
1.20%  
2.75%  
100 MHz to 250 MHz  
Acquisition Time  
LockControl = 0  
300  
6.0  
µs  
LockControl = 1  
ms  
Tracking Jitter5  
LockControl = 0  
2.5  
1.5  
ns  
ns  
%
LockControl = 1  
Output Duty Cycle  
48.5  
1.25  
51.5  
15.65  
15.65  
Delay Range in Block: Programmable Delay 1 2, 3, 6  
Delay Range in Block: Programmable Delay 2 2, 3, 6  
Delay Range in Block: Fixed Delay 2, 3  
Notes:  
ns  
ns  
ns  
0.469  
3.5  
1. Maximum value obtained for a Std. speed grade device in Worst Case Commercial Conditions. For specific junction  
temperature and voltage supply levels, refer to Table 2-6 on page 2-6 for derating values.  
2. This delay is a function of voltage and temperature. See Table 2-6 on page 2-6 and Table 2-7 on page 2-6 for deratings.  
3. T = 25°C, VCC = 1.5 V  
J
4. When the CCC/PLL core is generated by Microsemi core generator software, not all delay values of the specified delay  
increments are available. Refer to the Libero SoC Online Help associated with the core for more information.  
5. Tracking jitter is defined as the variation in clock edge position of PLL outputs with reference to the PLL input clock  
edge. Tracking jitter does not measure the variation in PLL output period, which is covered by the period jitter  
parameter.  
6. For definitions of Type 1 and Type 2, refer to the PLL Block Diagram in the "Clock Conditioning Circuits in IGLOO and  
ProASIC3 Devices" chapter of the IGLOOe FPGA Fabric User’s Guide.  
Revision 13  
2-91  
IGLOOe DC and Switching Characteristics  
Table 2-144 • IGLOOe CCC/PLL Specification  
For IGLOOe V2 Devices, 1.2 V DC Core Supply Voltage  
Parameter  
Min.  
1.5  
Typ.  
Max.  
160  
160  
60  
Units  
MHz  
MHz  
MHz  
ps  
Clock Conditioning Circuitry Input Frequency fIN_CCC  
Clock Conditioning Circuitry Output Frequency fOUT_CCC  
Serial Clock (SCLK) for Dynamic PLL1  
Delay Increments in Programmable Delay Blocks2, 3  
0.75  
5804  
Number of Programmable Values in Each Programmable Delay  
Block  
32  
Input Cycle-to-Cycle Jitter (peak magnitude)  
0.25  
ns  
5
CCC Output Peak-to-Peak Period Jitter FCCC_OUT  
Max Peak-to-Peak Period Jitter  
1 Global  
Network  
Used  
External  
FB Used  
3 Global  
Networks  
Used  
0.75 MHz to 24 MHz  
24 MHz to 100 MHz  
0.50%  
1.00%  
2.50%  
0.75%  
1.50%  
3.75%  
0.70%  
1.20%  
2.75%  
100 MHz to 160 MHz  
Acquisition Time  
LockControl = 0  
300  
6.0  
µs  
LockControl = 1  
ms  
Tracking Jitter6  
LockControl = 0  
4
ns  
ns  
%
LockControl = 1  
3
Output Duty Cycle  
48.5  
2.3  
51.5  
20.86  
20.86  
Delay Range in Block: Programmable Delay 12, 3, 7  
Delay Range in Block: Programmable Delay 2 2, 3, 7  
Delay Range in Block: Fixed Delay 2, 3  
Notes:  
ns  
ns  
ns  
0.863  
5.7  
1. Maximum value obtained for a Std. speed grade device in Worst Case Commercial Conditions. For specific junction  
temperature and voltage supply levels, refer to Table 2-6 on page 2-6 for derating values.  
2. This delay is a function of voltage and temperature. See Table 2-6 on page 2-6 and Table 2-7 on page 2-6 for deratings.  
3. T = 25°C, VCC = 1.5 V  
J
4. When the CCC/PLL core is generated by Microsemi core generator software, not all delay values of the specified delay  
increments are available. Refer to the Libero SoC Online Help associated with the core for more information.  
5. VCO output jitter is calculated as a percentage of the VCO frequency. The jitter (in ps) can be calculated by multiplying  
the VCO period by the per cent jitter. The VCO jitter (in ps) applies to CCC_OUT regardless of the output divider  
settings. For example, if the jitter on VCO is 300 ps, the jitter on CCC_OUT is also 300 ps, regardless of the output  
divider settings.  
6. Tracking jitter is defined as the variation in clock edge position of PLL outputs with reference to PLL input clock edge.  
Tracking jitter does not measure the variation in PLL output period, which is covered by period jitter parameter.  
7. For definitions of Type 1 and Type 2, refer to the PLL Block Diagram in the "Clock Conditioning Circuits in IGLOO and  
ProASIC3 Devices" chapter of the IGLOOe FPGA Fabric User’s Guide.  
2-92  
Revision 13  
IGLOOe Low Power Flash FPGAs  
Output Signal  
Tperiod_max  
Tperiod_min  
Note: Peak-to-peak jitter measurements are defined by Tpeak-to-peak = Tperiod_max – Tperiod_min  
.
Figure 2-40 • Peak-to-Peak Jitter Definition  
Revision 13  
2-93  
IGLOOe DC and Switching Characteristics  
Embedded SRAM and FIFO Characteristics  
SRAM  
RAM4K9  
RAM512X18  
RADDR8  
RADDR7  
RD17  
RD16  
ADDRA11 DOUTA8  
DOUTA7  
DOUTA0  
ADDRA10  
ADDRA0  
DINA8  
RADDR0  
RD0  
DINA7  
RW1  
RW0  
DINA0  
WIDTHA1  
WIDTHA0  
PIPEA  
PIPE  
WMODEA  
BLKA  
WENA  
REN  
RCLK  
CLKA  
ADDRB11 DOUTB8  
ADDRB10 DOUTB7  
WADDR8  
WADDR7  
ADDRB0  
DOUTB0  
WADDR0  
WD17  
WD16  
DINB8  
DINB7  
WD0  
DINB0  
WW1  
WW0  
WIDTHB1  
WIDTHB0  
PIPEB  
WMODEB  
BLKB  
WEN  
WCLK  
WENB  
CLKB  
RESET  
RESET  
Figure 2-41 • RAM Models  
2-94  
Revision 13  
IGLOOe Low Power Flash FPGAs  
Timing Waveforms  
tCYC  
tCKH  
tCKL  
CLK  
tAS tAH  
A0  
A1  
A2  
[R|W]ADDR  
BLK  
tBKS  
tBKH  
tENS  
tENH  
WEN  
tCKQ1  
Dn  
D0  
D1  
D2  
DOUT|RD  
tDOH1  
Figure 2-42 • RAM Read for Pass-Through Output. Applicable to Both RAM4K9 and RAM512X18.  
tCYC  
tCKH  
tCKL  
CLK  
[R|W]ADDR  
BLK  
tAS tAH  
A0  
A1  
A2  
tBKS  
tBKH  
tENH  
tENS  
WEN  
tCKQ2  
Dn  
D0  
D1  
DOUT|RD  
tDOH2  
Figure 2-43 • RAM Read for Pipelined Output. Applicable to Both RAM4K9 and RAM512X18.  
Revision 13  
2-95  
IGLOOe DC and Switching Characteristics  
tCYC  
tCKH  
tCKL  
CLK  
tAS  
tAH  
A0  
tBKS  
A1  
A2  
[R|W]ADDR  
BLK  
tBKH  
tENS  
tENH  
WEN  
tDS  
tDH  
DI1  
DI0  
DIN|WD  
DOUT|RD  
Dn  
D2  
Figure 2-44 • RAM Write, Output Retained. Applicable to both RAM4K9 and RAM512X18.  
tCYC  
tCKH  
tCKL  
CLK  
ADDR  
BLK  
tAS tAH  
A0  
tBKS  
A1  
A2  
tBKH  
tENS  
WEN  
DIN  
tDS tDH  
DI1  
DI0  
DI2  
DOUT  
Dn  
DI0  
DI1  
(pass-through)  
DOUT  
DI0  
Dn  
DI1  
(pipelined)  
Figure 2-45 • RAM Write, Output as Write Data (WMODE = 1). Applicable to RAM4K9 Only.  
2-96  
Revision 13  
IGLOOe Low Power Flash FPGAs  
tCYC  
tCKH  
tCKL  
CLK  
RESET  
tRSTBQ  
Dm  
Dn  
DOUT|RD  
Figure 2-46 • RAM Reset  
Revision 13  
2-97  
IGLOOe DC and Switching Characteristics  
Timing Characteristics  
Applies to 1.5 V DC Core Voltage  
Table 2-145 • RAM4K9  
Commercial-Case Conditions: TJ = 70°C, Worst-Case VCC = 1.425 V  
Parameter  
tAS  
Description  
Std.  
0.83  
0.16  
0.81  
0.16  
1.65  
0.16  
0.71  
0.36  
3.53  
3.06  
1.81  
0.23  
Units  
ns  
Address Setup Time  
Address Hold Time  
tAH  
ns  
tENS  
tENH  
tBKS  
tBKH  
tDS  
REN, WEN Setup Time  
REN, WEN Hold Time  
BLK Setup Time  
ns  
ns  
ns  
BLK Hold Time  
ns  
Input Data (DIN) Setup Time  
Input Data (DIN) Hold Time  
ns  
tDH  
ns  
tCKQ1  
Clock HIGH to New Data Valid on DOUT (output retained, WMODE = 0)  
Clock HIGH to New Data Valid on DOUT (flow-through, WMODE = 1)  
Clock HIGH to New Data Valid on DOUT (pipelined)  
ns  
ns  
tCKQ2  
ns  
1
tC2CWWL  
Address collision clk-to-clk delay for reliable write after write on same  
address; applicable to closing edge  
ns  
1
tC2CRWH  
Address collision clk-to-clk delay for reliable read access after write on  
same address; applicable to opening edge  
0.35  
0.41  
ns  
ns  
1
tC2CWRH  
Address collision clk-to-clk delay for reliable write access after read on  
same address; applicable to opening edge  
tRSTBQ  
RESET Low to Data Out Low on DOUT (flow-through)  
RESET Low to Data Out Low on DOUT (pipelined)  
RESET Removal  
2.06  
2.06  
0.61  
3.21  
0.68  
6.24  
160  
ns  
ns  
tREMRSTB  
tRECRSTB  
tMPWRSTB  
tCYC  
ns  
RESET Recovery  
ns  
RESET Minimum Pulse Width  
Clock Cycle Time  
ns  
ns  
FMAX  
Maximum Frequency  
MHz  
Notes:  
1. For more information, refer to the application note Simultaneous Read-Write Operations in Dual-Port SRAM for Flash-  
Based cSoCs and FPGAs.  
2. For specific junction temperature and voltage supply levels, refer to Table 2-6 on page 2-6 for derating values.  
2-98  
Revision 13  
IGLOOe Low Power Flash FPGAs  
Table 2-146 • RAM512X18  
Commercial-Case Conditions: TJ = 70°C, Worst-Case VCC = 1.425 V  
Parameter  
tAS  
Description  
Std.  
0.83  
0.16  
0.73  
0.08  
0.71  
0.36  
4.21  
1.71  
0.35  
Units  
ns  
Address Setup Time  
tAH  
Address Hold Time  
ns  
tENS  
REN, WEN Setup Time  
REN, WEN Hold Time  
Input Data (WD) Setup Time  
Input Data (WD) Hold Time  
ns  
tENH  
tDS  
ns  
ns  
tDH  
ns  
tCKQ1  
tCKQ2  
Clock HIGH to New Data Valid on RD (output retained, WMODE = 0)  
Clock HIGH to New Data Valid on RD (pipelined)  
ns  
ns  
1
tC2CRWH  
Address collision clk-to-clk delay for reliable read access after write on  
same address; applicable to opening edge  
ns  
1
tC2CWRH  
Address collision clk-to-clk delay for reliable write access after read on  
same address; applicable to opening edge  
0.42  
ns  
tRSTBQ  
RESET Low to Data Out Low on RD (flow-through)  
RESET Low to Data Out Low on RD (pipelined)  
RESET Removal  
2.06  
2.06  
0.61  
3.21  
0.68  
6.24  
160  
ns  
ns  
tREMRSTB  
tRECRSTB  
tMPWRSTB  
tCYC  
ns  
RESET Recovery  
ns  
RESET Minimum Pulse Width  
Clock Cycle Time  
ns  
ns  
FMAX  
Maximum Frequency  
MHz  
Notes:  
1. For more information, refer to the application note Simultaneous Read-Write Operations in Dual-Port SRAM for Flash-  
Based cSoCs and FPGAs.  
2. For specific junction temperature and voltage supply levels, refer to Table 2-6 on page 2-6 for derating values.  
Revision 13  
2-99  
IGLOOe DC and Switching Characteristics  
Applies to 1.2 V DC Core Voltage  
Table 2-147 • RAM4K9  
Commercial-Case Conditions: TJ = 70°C, Worst-Case VCC = 1.14 V  
Parameter  
tAS  
Description  
Std.  
1.53  
0.29  
1.50  
0.29  
3.05  
0.29  
1.33  
0.66  
6.61  
5.72  
3.38  
0.30  
Units  
ns  
Address Setup Time  
Address Hold Time  
tAH  
ns  
tENS  
tENH  
tBKS  
tBKH  
tDS  
REN, WEN Setup Time  
REN, WEN Hold Time  
BLK Setup Time  
ns  
ns  
ns  
BLK Hold Time  
ns  
Input Data (DIN) Setup Time  
Input Data (DIN) Hold Time  
ns  
tDH  
ns  
tCKQ1  
Clock High to New Data Valid on DOUT (output retained, WMODE = 0)  
Clock High to New Data Valid on DOUT (flow-through, WMODE = 1)  
Clock High to New Data Valid on DOUT (pipelined)  
ns  
ns  
tCKQ2  
ns  
1
tC2CWWL  
Address collision clk-to-clk delay for reliable write after write on same  
address; applicable to closing edge  
ns  
1
tC2CRWH  
Address collision clk-to-clk delay for reliable read access after write on  
same address; applicable to opening edge  
0.89  
1.01  
ns  
ns  
1
tC2CWRH  
Address collision clk-to-clk delay for reliable write access after read on  
same address; applicable to opening edge  
tRSTBQ  
RESET Low to Data Out Low on DOUT (pass-through)  
RESET Low to Data Out Low on DOUT (pipelined)  
RESET Removal  
3.86  
3.86  
1.12  
5.93  
1.18  
10.90  
92  
ns  
ns  
tREMRSTB  
tRECRSTB  
tMPWRSTB  
tCYC  
ns  
RESET Recovery  
ns  
RESET Minimum Pulse Width  
Clock Cycle Time  
ns  
ns  
FMAX  
Maximum Frequency  
MHz  
Notes:  
1. For more information, refer to the application note Simultaneous Read-Write Operations in Dual-Port SRAM for Flash-  
Based cSoCs and FPGAs.  
2. For specific junction temperature and voltage supply levels, refer to Table 2-6 on page 2-6 for derating values.  
2-100  
Revision 13  
IGLOOe Low Power Flash FPGAs  
Table 2-148 • RAM512X18  
Commercial-Case Conditions: TJ = 70°C, Worst-Case VCC = 1.14 V  
Parameter  
tAS  
Description  
Std.  
1.53  
0.29  
1.36  
0.15  
1.33  
0.66  
7.88  
3.20  
0.87  
Units  
ns  
Address Setup Time  
tAH  
Address Hold Time  
ns  
tENS  
REN, WEN Setup Time  
REN, WEN Hold Time  
Input Data (WD) Setup Time  
Input Data (WD) Hold Time  
ns  
tENH  
tDS  
ns  
ns  
tDH  
ns  
tCKQ1  
tCKQ2  
Clock High to New Data Valid on RD (output retained)  
Clock High to New Data Valid on RD (pipelined)  
ns  
ns  
1
tC2CRWH  
Address collision clk-to-clk delay for reliable read access after write on  
same address; applicable to opening edge  
ns  
1
tC2CWRH  
Address collision clk-to-clk delay for reliable write access after read on  
same address; applicable to opening edge  
1.04  
ns  
tRSTBQ  
RESET Low to Data Out Low on RD (flow-through)  
RESET Low to Data Out Low on RD (pipelined)  
RESET Removal  
3.86  
3.86  
1.12  
5.93  
1.18  
10.90  
92  
ns  
ns  
tREMRSTB  
tRECRSTB  
tMPWRSTB  
tCYC  
ns  
RESET Recovery  
ns  
RESET Minimum Pulse Width  
Clock Cycle Time  
ns  
ns  
FMAX  
Maximum Frequency  
MHz  
Notes:  
1. For more information, refer to the application note Simultaneous Read-Write Operations in Dual-Port SRAM for Flash-  
Based cSoCs and FPGAs.  
2. For specific junction temperature and voltage supply levels, refer to Table 2-6 on page 2-6 for derating values.  
Revision 13  
2-101  
IGLOOe DC and Switching Characteristics  
FIFO  
FIFO4K18  
RW2  
RW1  
RW0  
RD17  
RD16  
WW2  
WW1  
WW0  
RD0  
ESTOP  
FSTOP  
FULL  
AFULL  
EMPTY  
AEVAL11  
AEVAL10  
AEMPTY  
AEVAL0  
AFVAL11  
AFVAL10  
AFVAL0  
REN  
RBLK  
RCLK  
WD17  
WD16  
WD0  
WEN  
WBLK  
WCLK  
RPIPE  
RESET  
Figure 2-47 • FIFO Model  
2-102  
Revision 13  
IGLOOe Low Power Flash FPGAs  
Timing Waveforms  
tCYC  
RCLK  
tENH  
tENS  
REN  
tBKS  
tBKH  
RBLK  
tCKQ1  
RD  
D1  
Dn  
D0  
D2  
(flow-through)  
tCKQ2  
RD  
(pipelined)  
Dn  
D0  
D1  
Figure 2-48 • FIFO Read  
tCYC  
WCLK  
tENS  
tENH  
WEN  
tBKS  
tBKH  
WBLK  
tDS  
tDH  
DI1  
DI0  
WD  
Figure 2-49 • FIFO Write  
Revision 13  
2-103  
IGLOOe DC and Switching Characteristics  
RCLK/  
WCLK  
tMPWRSTB  
tRSTCK  
RESET  
tRSTFG  
EMPTY  
tRSTAF  
AEMPTY  
FULL  
tRSTFG  
tRSTAF  
AFULL  
WA/RA  
MATCH (A0)  
(Address Counter)  
Figure 2-50 • FIFO Reset  
tCYC  
RCLK  
tRCKEF  
EMPTY  
tCKAF  
AEMPTY  
WA/RA  
NO MATCH  
NO MATCH  
Dist = AEF_TH  
MATCH (EMPTY)  
(Address Counter)  
Figure 2-51 • FIFO EMPTY Flag and AEMPTY Flag Assertion  
2-104  
Revision 13  
IGLOOe Low Power Flash FPGAs  
tCYC  
WCLK  
FULL  
tWCKFF  
tCKAF  
AFULL  
WA/RA  
NO MATCH  
NO MATCH  
Dist = AFF_TH  
MATCH (FULL)  
(Address Counter)  
Figure 2-52 • FIFO FULL Flag and AFULL Flag Assertion  
WCLK  
MATCH  
WA/RA  
NO MATCH  
NO MATCH  
2nd Rising  
Edge  
After 1st  
Write  
NO MATCH  
NO MATCH  
Dist = AEF_TH + 1  
(Address Counter)  
(EMPTY)  
1st Rising  
Edge  
After 1st  
Write  
RCLK  
EMPTY  
t
RCKEF  
t
CKAF  
AEMPTY  
Figure 2-53 • FIFO EMPTY Flag and AEMPTY Flag Deassertion  
RCLK  
WA/RA  
(Address Counter)  
Dist = AFF_TH – 1  
MATCH (FULL)  
1st Rising  
NO MATCH  
NO MATCH  
1st Rising  
Edge  
After 2nd  
Read  
NO MATCH  
NO MATCH  
Edge  
After 1st  
Read  
WCLK  
FULL  
tWCKF  
tCKAF  
AFULL  
Figure 2-54 • FIFO FULL Flag and AFULL Flag Deassertion  
Revision 13  
2-105  
IGLOOe DC and Switching Characteristics  
Timing Characteristics  
Applies to 1.5 V DC Core Voltage  
Table 2-149 • FIFO  
Commercial-Case Conditions: TJ = 70°C, VCC = 1.425 V  
Parameter  
tENS  
Description  
Std.  
1.99  
0.16  
0.30  
0.00  
0.76  
0.25  
3.33  
1.80  
3.53  
3.35  
12.85  
3.48  
12.72  
2.02  
2.02  
0.61  
3.21  
0.68  
6.24  
160  
Units  
ns  
REN, WEN Setup Time  
REN, WEN Hold Time  
BLK Setup Time  
tENH  
ns  
tBKS  
ns  
tBKH  
BLK Hold Time  
ns  
tDS  
Input Data (WD) Setup Time  
Input Data (WD) Hold Time  
ns  
tDH  
ns  
tCKQ1  
tCKQ2  
tRCKEF  
tWCKFF  
tCKAF  
tRSTFG  
tRSTAF  
tRSTBQ  
Clock HIGH to New Data Valid on RD (pass-through)  
Clock HIGH to New Data Valid on RD (pipelined)  
RCLK HIGH to Empty Flag Valid  
WCLK HIGH to Full Flag Valid  
ns  
ns  
ns  
ns  
Clock HIGH to Almost Empty/Full Flag Valid  
RESET LOW to Empty/Full Flag Valid  
RESET LOW to Almost Empty/Full Flag Valid  
RESET LOW to Data Out LOW on RD (pass-through)  
RESET LOW to Data Out LOW on RD (pipelined)  
RESET Removal  
ns  
ns  
ns  
ns  
ns  
tREMRSTB  
tRECRSTB  
tMPWRSTB  
tCYC  
ns  
RESET Recovery  
ns  
RESET Minimum Pulse Width  
ns  
Clock Cycle Time  
ns  
FMAX  
Maximum Frequency  
MHz  
Note: For specific junction temperature and voltage supply levels, refer to Table 2-6 on page 2-6 for derating values.  
2-106  
Revision 13  
IGLOOe Low Power Flash FPGAs  
Applies to 1.2 V DC Core Voltage  
Table 2-150 • FIFO  
Commercial-Case Conditions: TJ = 70°C, VCC = 1.14 V  
Parameter  
tENS  
Description  
Std.  
4.13  
0.31  
0.47  
0.00  
1.56  
0.49  
6.80  
3.62  
7.23  
6.85  
26.61  
7.12  
26.33  
4.09  
4.09  
1.23  
6.58  
1.18  
10.90  
92  
Units  
ns  
REN, WEN Setup Time  
tENH  
REN, WEN Hold Time  
ns  
tBKS  
BLK Setup Time  
ns  
tBKH  
BLK Hold Time  
ns  
tDS  
Input Data (WD) Setup Time  
ns  
tDH  
Input Data (WD) Hold Time  
ns  
tCKQ1  
tCKQ2  
tRCKEF  
tWCKFF  
tCKAF  
tRSTFG  
tRSTAF  
tRSTBQ  
Clock HIGH to New Data Valid on RD (pass-through)  
Clock HIGH to New Data Valid on RD (pipelined)  
RCLK HIGH to Empty Flag Valid  
WCLK HIGH to Full Flag Valid  
Clock HIGH to Almost Empty/Full Flag Valid  
RESET LOW to Empty/Full Flag Valid  
RESET LOW to Almost Empty/Full Flag Valid  
RESET LOW to Data Out LOW on RD (pass-through)  
RESET LOW to Data Out LOW on RD (pipelined)  
RESET Removal  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
tREMRSTB  
tRECRSTB  
tMPWRSTB  
tCYC  
ns  
RESET Recovery  
ns  
RESET Minimum Pulse Width  
Clock Cycle Time  
ns  
ns  
FMAX  
Maximum Frequency  
MHz  
Note: For specific junction temperature and voltage supply levels, refer to Table 2-7 on page 2-6 for derating values.  
Revision 13  
2-107  
Embedded FlashROM Characteristics  
t
t
t
SU  
SU  
SU  
CLK  
t
t
t
HOLD  
HOLD  
HOLD  
Address  
A
A
1
0
t
t
t
CKQ2  
CKQ2  
CKQ2  
D
D
D
Data  
0
0
1
Figure 2-55 • Timing Diagram  
Timing Characteristics  
Applies to 1.5 V DC Core Voltage  
Table 2-151 • Embedded FlashROM Access Time  
Commercial-Case Conditions: TJ = 70°C, VCC = 1.425 V  
Parameter  
tSU  
Description  
Std.  
Units  
ns  
Address Setup Time  
0.58  
0.00  
34.14  
15  
tHOLD  
tCK2Q  
Address Hold Time  
ns  
Clock-to-Out  
ns  
FMAX  
Maximum Clock Frequency  
MHz  
Applies to 1.2 V DC Core Voltage  
Table 2-152 • Embedded FlashROM Access Time  
Commercial-Case Conditions: TJ = 70°C, VCC = 1.14 V  
Parameter  
tSU  
Description  
Std.  
0.59  
0.00  
52.90  
10  
Units  
ns  
Address Setup Time  
Address Hold Time  
Clock-to-Out  
tHOLD  
tCK2Q  
ns  
ns  
FMAX  
Maximum Clock Frequency  
MHz  
IGLOOe Low Power Flash FPGAs  
JTAG 1532 Characteristics  
JTAG timing delays do not include JTAG I/Os. To obtain complete JTAG timing, add I/O buffer delays to  
the corresponding standard selected; refer to the I/O timing characteristics in the "User I/O  
Characteristics" section on page 2-16 for more details.  
Timing Characteristics  
Applies to 1.2 V DC Core Voltage  
Table 2-153 • JTAG 1532  
Commercial-Case Conditions: TJ = 70°C, VCC = 1.14 V  
Parameter  
tDISU  
Description  
Std.  
1.50  
3.00  
1.50  
3.00  
11.00  
30.00  
9.00  
1.18  
0.00  
TBD  
Units  
ns  
Test Data Input Setup Time  
Test Data Input Hold Time  
Test Mode Select Setup Time  
Test Mode Select Hold Time  
Clock to Q (data out)  
tDIHD  
ns  
tTMSSU  
ns  
tTMDHD  
ns  
tTCK2Q  
ns  
tRSTB2Q  
FTCKMAX  
tTRSTREM  
tTRSTREC  
tTRSTMPW  
Reset to Q (data out)  
ns  
TCK Maximum Frequency  
ResetB Removal Time  
MHz  
ns  
ResetB Recovery Time  
ns  
ResetB Minimum Pulse  
ns  
Note: For specific junction temperature and voltage supply levels, refer to Table 2-7 on page 2-6 for derating values.  
Applies to 1.5 V DC Core Voltage  
Table 2-154 • JTAG 1532  
Commercial-Case Conditions: TJ = 70°C, VCC = 1.425 V  
Parameter  
tDISU  
Description  
Test Data Input Setup Time  
Std.  
1.00  
2.00  
1.00  
2.00  
8.00  
25.00  
15.00  
0.58  
0.00  
TBD  
Units  
ns  
tDIHD  
Test Data Input Hold Time  
Test Mode Select Setup Time  
Test Mode Select Hold Time  
Clock to Q (data out)  
ns  
tTMSSU  
ns  
tTMDHD  
ns  
tTCK2Q  
ns  
tRSTB2Q  
FTCKMAX  
tTRSTREM  
tTRSTREC  
tTRSTMPW  
Reset to Q (data out)  
ns  
TCK Maximum Frequency  
ResetB Removal Time  
ResetB Recovery Time  
ResetB Minimum Pulse  
MHz  
ns  
ns  
ns  
Note: For specific junction temperature and voltage supply levels, refer to Table 2-6 on page 2-6 for derating values.  
Revision 13  
2-109  
IGLOOe DC and Switching Characteristics  
2-110  
Revision 13  
3 – Pin Descriptions and Packaging  
Supply Pins  
GND  
Ground supply voltage to the core, I/O outputs, and I/O logic.  
GNDQ Ground (quiet)  
Ground  
Quiet ground supply voltage to input buffers of I/O banks. Within the package, the GNDQ plane is  
decoupled from the simultaneous switching noise originated from the output buffer ground domain. This  
minimizes the noise transfer within the package and improves input signal integrity. GNDQ must always  
be connected to GND on the board.  
VCC  
Core Supply Voltage  
Supply voltage to the FPGA core, nominally 1.5 V for IGLOOe V5 devices, and 1.2 V or 1.5 V for  
IGLOOe V2 devices. VCC is required for powering the JTAG state machine in addition to VJTAG. Even  
when a device is in bypass mode in a JTAG chain of interconnected devices, both VCC and VJTAG must  
remain powered to allow JTAG signals to pass through the device.  
For IGLOOe V2 devices, VCC can be switched dynamically from 1.2 V to 1.5 V or vice versa. This allows  
in-system programming (ISP) when VCC is at 1.5 V and the benefit of low power operation when VCC is  
at 1.2 V.  
VCCIBx  
I/O Supply Voltage  
Supply voltage to the bank's I/O output buffers and I/O logic. Bx is the I/O bank number. There are up to  
eight I/O banks on IGLOOe devices plus a dedicated VJTAG bank. Each bank can have a separate VCCI  
connection. All I/Os in a bank will run off the same VCCIBx supply. VCCI can be 1.2 V, 1.5 V, 1.8 V, 2.5 V,  
or 3.3 V, nominal voltage. Unused I/O banks should have their corresponding VCCI pins tied to GND.  
VMVx  
I/O Supply Voltage (quiet)  
Quiet supply voltage to the input buffers of each I/O bank. x is the bank number. Within the package, the  
VMV plane biases the input stage of the I/Os in the I/O banks. This minimizes the noise transfer within  
the package and improves input signal integrity. Each bank must have at least one VMV connection, and  
no VMV should be left unconnected. All I/Os in a bank run off the same VMVx supply. VMV is used to  
provide a quiet supply voltage to the input buffers of each I/O bank. VMVx can be 1.2 V, 1.5 V, 1.8 V,  
2.5 V, or 3.3 V, nominal voltage. Unused I/O banks should have their corresponding VMV pins tied to GND.  
VMV and VCCI should be at the same voltage within a given I/O bank. Used VMV pins must be  
connected to the corresponding VCCI pins of the same bank (i.e., VMV0 to VCCIB0, VMV1 to VCCIB1,  
etc.).  
VCCPLA/B/C/D/E/F  
PLL Supply Voltage  
Supply voltage to analog PLL, nominally 1.5 V or 1.2 V, depending on the device.  
1.5 V for IGLOOe devices  
1.2 V or 1.5 V for IGLOOe V2 devices  
When the PLLs are not used, the place-and-route tool automatically disables the unused PLLs to lower  
power consumption. The user should tie unused VCCPLx and VCOMPLx pins to ground. Microsemi  
recommends tying VCCPLx to VCC and using proper filtering circuits to decouple VCC noise from the  
PLLs. Refer to the PLL Power Supply Decoupling section in the "Clock Conditioning Circuits in Low  
Power Flash FPGAs and Mixed Signal FPGAs" chapter in the IGLOOe FPGA Fabric User’s Guide for a  
complete board solution for the PLL analog power supply and ground.  
There are six VCCPLX pins on IGLOOe devices.  
Revision 13  
3-1  
Pin Descriptions and Packaging  
VCOMPLA/B/C/D/E/F  
PLL Ground  
Ground to analog PLL power supplies. When the PLLs are not used, the place-and-route tool  
automatically disables the unused PLLs to lower power consumption. The user should tie unused  
VCCPLx and VCOMPLx pins to ground.  
There are six VCOMPL pins (PLL ground) on IGLOOe devices.  
VJTAG  
JTAG Supply Voltage  
Low power flash devices have a separate bank for the dedicated JTAG pins. The JTAG pins can be run  
at any voltage from 1.5 V to 3.3 V (nominal). Isolating the JTAG power supply in a separate I/O bank  
gives greater flexibility in supply selection and simplifies power supply and PCB design. If the JTAG  
interface is neither used nor planned for use, the VJTAG pin together with the TRST pin could be tied to  
GND. It should be noted that VCC is required to be powered for JTAG operation; VJTAG alone is  
insufficient. If a device is in a JTAG chain of interconnected boards, the board containing the device can  
be powered down, provided both VJTAG and VCC to the part remain powered; otherwise, JTAG signals  
will not be able to transition the device, even in bypass mode.  
Microsemi recommends that VPUMP and VJTAG power supplies be kept separate with independent  
filtering capacitors rather than supplying them from a common rail.  
VPUMP  
Programming Supply Voltage  
IGLOOe devices support single-voltage ISP of the configuration flash and FlashROM. For programming,  
VPUMP should be 3.3 V nominal. During normal device operation, VPUMP can be left floating or can be  
tied (pulled up) to any voltage between 0 V and the VPUMP maximum. Programming power supply  
voltage (VPUMP) range is listed in the datasheet.  
When the VPUMP pin is tied to ground, it will shut off the charge pump circuitry, resulting in no sources of  
oscillation from the charge pump circuitry.  
For proper programming, 0.01 µF and 0.33 µF capacitors (both rated at 16 V) are to be connected in  
parallel across VPUMP and GND, and positioned as close to the FPGA pins as possible.  
Microsemi recommends that VPUMP and VJTAG power supplies be kept separate with independent  
filtering capacitors rather than supplying them from a common rail.  
User-Defined Supply Pins  
VREF  
I/O Voltage Reference  
Reference voltage for I/O minibanks. VREF pins are configured by the user from regular I/Os, and any  
I/O in a bank, except JTAG I/Os, can be designated the voltage reference I/O. Only certain I/O standards  
require a voltage reference—HSTL (I) and (II), SSTL2 (I) and (II), SSTL3 (I) and (II), and GTL/GTL+. One  
VREF pin can support the number of I/Os available in its minibank.  
3-2  
Revision 13  
IGLOOe Low Power Flash FPGAs  
User Pins  
I/O  
User Input/Output  
The I/O pin functions as an input, output, tristate, or bidirectional buffer. Input and output signal levels are  
compatible with the I/O standard selected.  
During programming, I/Os become tristated and weakly pulled up to VCCI. With VCCI, VMV, and VCC  
supplies continuously powered up, when the device transitions from programming to operating mode, the  
I/Os are instantly configured to the desired user configuration.  
Unused I/Os are configured as follows:  
Output buffer is disabled (with tristate value of high impedance)  
Input buffer is disabled (with tristate value of high impedance)  
Weak pull-up is programmed  
GL  
Globals  
GL I/Os have access to certain clock conditioning circuitry (and the PLL) and/or have direct access to the  
global network (spines). Additionally, the global I/Os can be used as regular I/Os, since they have  
identical capabilities. Unused GL pins are configured as inputs with pull-up resistors.  
See more detailed descriptions of global I/O connectivity in the "Clock Conditioning Circuits in Low Power  
Flash Devices and Mixed Signal FPGAs" chapter of the IGLOOe FPGA Fabric User’s Guide. All inputs  
labeled GC/GF are direct inputs into the quadrant clocks. For example, if GAA0 is used for an input,  
GAA1 and GAA2 are no longer available for input to the quadrant globals. All inputs labeled GC/GF are  
direct inputs into the chip-level globals, and the rest are connected to the quadrant globals. The inputs to  
the global network are multiplexed, and only one input can be used as a global input.  
Refer to the I/O Structure section of the IGLOOe FPGA Fabric User’s Guide for an explanation of the  
naming of global pins.  
FF  
Flash*Freeze Mode Activation Pin  
Flash*Freeze mode is available on IGLOOe devices. The FF pin is a dedicated input pin used to enter  
and exit Flash*Freeze mode. The FF pin is active low, has the same characteristics as a single-ended  
I/O, and must meet the maximum rise and fall times. When Flash*Freeze mode is not used in the design,  
the FF pin is available as a regular I/O. The FF pin can be configured as a Schmitt trigger input.  
When Flash*Freeze mode is used, the FF pin must not be left floating to avoid accidentally entering  
Flash*Freeze mode. While in Flash*Freeze mode, the Flash*Freeze pin should be constantly asserted.  
The Flash*Freeze pin can be used with any single-ended I/O standard supported by the I/O bank in  
which the pin is located, and input signal levels compatible with the I/O standard selected. The FF pin  
should be treated as a sensitive asynchronous signal. When defining pin placement and board layout,  
simultaneously switching outputs (SSOs) and their effects on sensitive asynchronous pins must be  
considered.  
Unused FF or I/O pins are tristated with weak pull-up. This default configuration applies to both  
Flash*Freeze mode and normal operation mode. No user intervention is required.  
Revision 13  
3-3  
Pin Descriptions and Packaging  
Table 3-1 shows the Flash*Freeze pin location on the available packages. The Flash*Freeze pin location  
is independent of device (except for a PQ208 package), allowing migration to larger or smaller IGLOO  
devices while maintaining the same pin location on the board. Refer to the "Flash*Freeze Technology  
and Low Power Modes" chapter of the IGLOOe FPGA Fabric User’s Guide for more information on I/O  
states during Flash*Freeze mode.  
Table 3-1 • Flash*Freeze Pin Locations for IGLOOe Devices  
Package  
FG256  
FG484  
FG896  
Flash*Freeze Pin  
T3  
W6  
AH4  
JTAG Pins  
Low power flash devices have a separate bank for the dedicated JTAG pins. The JTAG pins can be run  
at any voltage from 1.5 V to 3.3 V (nominal). VCC must also be powered for the JTAG state machine to  
operate, even if the device is in bypass mode; VJTAG alone is insufficient. Both VJTAG and VCC to the  
part must be supplied to allow JTAG signals to transition the device. Isolating the JTAG power supply in a  
separate I/O bank gives greater flexibility in supply selection and simplifies power supply and PCB  
design. If the JTAG interface is neither used nor planned for use, the VJTAG pin together with the TRST  
pin could be tied to GND.  
TCK  
Test Clock  
Test clock input for JTAG boundary scan, ISP, and UJTAG. The TCK pin does not have an internal pull-  
up/-down resistor. If JTAG is not used, Microsemi recommends tying off TCK to GND through a resistor  
placed close to the FPGA pin. This prevents JTAG operation in case TMS enters an undesired state.  
Note that to operate at all VJTAG voltages, 500 to 1 kwill satisfy the requirements. Refer to Table 3-2  
for more information.  
Table 3-2 • Recommended Tie-Off Values for the TCK and TRST Pins  
VJTAG  
Tie-Off Resistance 1,2  
VJTAG at 3.3 V  
VJTAG at 2.5 V  
VJTAG at 1.8 V  
VJTAG at 1.5 V  
Notes:  
200 to 1 k  
200 to 1 k  
500 to 1 k  
500 to 1 k  
1. The TCK pin can be pulled-up or pulled-down.  
2. The TRST pin is pulled-down.  
3. Equivalent parallel resistance if more than one device is on the JTAG chain  
3-4  
Revision 13  
IGLOOe Low Power Flash FPGAs  
Table 3-3 • TRST and TCK Pull-Down Recommendations  
VJTAG  
Tie-Off Resistance*  
VJTAG at 3.3 V  
VJTAG at 2.5 V  
VJTAG at 1.8 V  
VJTAG at 1.5 V  
200 to 1 k  
200 to 1 k  
500 to 1 k  
500 to 1 k  
Note: Equivalent parallel resistance if more than one device is on the JTAG chain  
TDI Test Data Input  
Serial input for JTAG boundary scan, ISP, and UJTAG usage. There is an internal weak pull-up resistor  
on the TDI pin.  
TDO  
Serial output for JTAG boundary scan, ISP, and UJTAG usage.  
TMS Test Mode Select  
Test Data Output  
The TMS pin controls the use of the IEEE 1532 boundary scan pins (TCK, TDI, TDO, TRST). There is an  
internal weak pull-up resistor on the TMS pin.  
TRST  
Boundary Scan Reset Pin  
The TRST pin functions as an active-low input to asynchronously initialize (or reset) the boundary scan  
circuitry. There is an internal weak pull-up resistor on the TRST pin. If JTAG is not used, an external pull-  
down resistor could be included to ensure the test access port (TAP) is held in reset mode. The resistor  
values must be chosen from Table 3-2 and must satisfy the parallel resistance value requirement. The  
values in Table 3-2 correspond to the resistor recommended when a single device is used, and the  
equivalent parallel resistor when multiple devices are connected via a JTAG chain.  
In critical applications, an upset in the JTAG circuit could allow entrance to an undesired JTAG state. In  
such cases, Microsemi recommends tying off TRST to GND through a resistor placed close to the FPGA  
pin.  
Note that to operate at all VJTAG voltages, 500 to 1 kwill satisfy the requirements.  
Special Function Pins  
NC  
No Connect  
This pin is not connected to circuitry within the device. These pins can be driven to any voltage or can be  
left floating with no effect on the operation of the device.  
DC  
Do Not Connect  
This pin should not be connected to any signals on the PCB. These pins should be left unconnected.  
Packaging  
Semiconductor technology is constantly shrinking in size while growing in capability and functional  
integration. To enable next-generation silicon technologies, semiconductor packages have also evolved  
to provide improved performance and flexibility.  
Microsemi consistently delivers packages that provide the necessary mechanical and environmental  
protection to ensure consistent reliability and performance. Microsemi IC packaging technology  
efficiently supports high-density FPGAs with large-pin-count Ball Grid Arrays (BGAs), but is also flexible  
enough to accommodate stringent form factor requirements for Chip Scale Packaging (CSP). In addition,  
Microsemi offers a variety of packages designed to meet your most demanding application and economic  
requirements for today's embedded and mobile systems.  
Revision 13  
3-5  
Pin Descriptions and Packaging  
Related Documents  
User’s Guides  
IGLOOe FPGA Fabric User’s Guide  
http://www.microsemi.com/soc/documents/IGLOOe_UG.pdf  
Packaging Documents  
The following documents provide packaging information and device selection for low power flash  
devices.  
Product Catalog  
http://www.microsemi.com/soc/documents/ProdCat_PIB.pdf  
Lists devices currently recommended for new designs and the packages available for each member of  
the family. Use this document or the datasheet tables to determine the best package for your design, and  
which package drawing to use.  
Package Mechanical Drawings  
http://www.microsemi.com/soc/documents/PckgMechDrwngs.pdf  
This document contains the package mechanical drawings for all packages currently or previously  
supplied by Microsemi. Use the bookmarks to navigate to the package mechanical drawings.  
Additional packaging materials: http://www.microsemi.com/soc/products/solutions/package/docs.aspx.  
3-6  
Revision 13  
4 – Package Pin Assignments  
FG256  
A1 Ball Pad Corner  
16 15 14 13 12 11 10 9  
8
7
6
5
4
3
2
1
A
B
C
D
E
F
G
H
J
K
L
M
N
P
R
T
Note: This is the bottom view of the package.  
Note  
For Package Manufacturing and Environmental information, visit the Resource Center at  
http://www.microsemi.com/soc/products/solutions/package/docs.aspx.  
Revision 13  
4-1  
Package Pin Assignments  
FG256  
FG256  
FG256  
Pin Number AGLE600 Function  
Pin Number AGLE600 Function  
Pin Number AGLE600 Function  
A1  
A2  
GND  
C5  
C6  
GAC0/IO02NDB0V0  
GAC1/IO02PDB0V0  
IO15NDB0V2  
IO15PDB0V2  
IO20PDB1V0  
IO25NDB1V0  
IO27PDB1V0  
GBC0/IO33NDB1V1  
VCCPLB  
E9  
E10  
E11  
E12  
E13  
E14  
E15  
E16  
F1  
IO21NDB1V0  
VCCIB1  
GAA0/IO00NDB0V0  
GAA1/IO00PDB0V0  
GAB0/IO01NDB0V0  
IO05PDB0V0  
A3  
C7  
VCCIB1  
A4  
C8  
VMV1  
A5  
C9  
GBC2/IO38PDB2V0  
IO37NDB2V0  
IO41NDB2V0  
IO41PDB2V0  
IO124PDB7V0  
IO125PDB7V0  
IO126PDB7V0  
IO130NDB7V1  
VCCIB7  
A6  
IO10PDB0V1  
C10  
C11  
C12  
C13  
C14  
C15  
C16  
D1  
A7  
IO12PDB0V2  
A8  
IO16NDB0V2  
IO23NDB1V0  
IO23PDB1V0  
A9  
A10  
A11  
A12  
A13  
A14  
A15  
A16  
B1  
VMV2  
F2  
IO28NDB1V1  
IO28PDB1V1  
IO36NDB2V0  
IO42PDB2V0  
IO128PDB7V1  
IO129PDB7V1  
GAC2/IO132PDB7V1  
VCOMPLA  
F3  
F4  
GBB1/IO34PDB1V1  
GBA0/IO35NDB1V1  
GBA1/IO35PDB1V1  
GND  
F5  
D2  
F6  
GND  
D3  
F7  
VCC  
D4  
F8  
VCC  
GAB2/IO133PDB7V1  
GAA2/IO134PDB7V1  
GNDQ  
D5  
GNDQ  
F9  
VCC  
B2  
D6  
IO09NDB0V1  
IO09PDB0V1  
IO13PDB0V2  
IO21PDB1V0  
IO25PDB1V0  
IO27NDB1V0  
GNDQ  
F10  
F11  
F12  
F13  
F14  
F15  
F16  
G1  
VCC  
B3  
D7  
GND  
B4  
GAB1/IO01PDB0V0  
IO05NDB0V0  
IO10NDB0V1  
IO12NDB0V2  
IO16PDB0V2  
D8  
VCCIB2  
B5  
D9  
IO38NDB2V0  
IO40NDB2V0  
IO40PDB2V0  
IO45PSB2V1  
IO124NDB7V0  
IO125NDB7V0  
IO126NDB7V0  
GFC1/IO120PPB7V0  
VCCIB7  
B6  
D10  
D11  
D12  
D13  
D14  
D15  
D16  
E1  
B7  
B8  
B9  
IO20NDB1V0  
IO24NDB1V0  
IO24PDB1V0  
VCOMPLB  
B10  
B11  
B12  
B13  
B14  
B15  
B16  
C1  
GBB2/IO37PDB2V0  
IO39PDB2V0  
IO39NDB2V0  
IO128NDB7V1  
IO129NDB7V1  
IO132NDB7V1  
IO130PDB7V1  
VMV0  
G2  
G3  
GBC1/IO33PDB1V1  
GBB0/IO34NDB1V1  
GNDQ  
G4  
G5  
E2  
G6  
VCC  
GBA2/IO36PDB2V0  
IO42NDB2V0  
IO133NDB7V1  
IO134NDB7V1  
VMV7  
E3  
G7  
GND  
E4  
G8  
GND  
E5  
G9  
GND  
C2  
E6  
VCCIB0  
G10  
G11  
G12  
GND  
C3  
E7  
VCCIB0  
VCC  
C4  
VCCPLA  
E8  
IO13NDB0V2  
VCCIB2  
4-2  
Revision 13  
IGLOOe Low Power Flash FPGAs  
FG256  
FG256  
FG256  
Pin Number AGLE600 Function  
Pin Number AGLE600 Function  
Pin Number AGLE600 Function  
G13  
G14  
G15  
G16  
H1  
GCC1/IO50PPB2V1  
IO44NDB2V1  
IO44PDB2V1  
IO49NSB2V1  
GFB0/IO119NPB7V0  
GFA0/IO118NDB6V1  
GFB1/IO119PPB7V0  
VCOMPLF  
K1  
K2  
GFC2/IO115PSB6V1  
IO113PPB6V1  
IO112PDB6V1  
IO112NDB6V1  
VCCIB6  
M5  
M6  
VMV5  
VCCIB5  
K3  
M7  
VCCIB5  
K4  
M8  
M9  
M10  
M11  
M12  
M13  
M14  
M15  
M16  
N1  
IO84NDB5V0  
IO84PDB5V0  
VCCIB4  
K5  
H2  
K6  
VCC  
H3  
K7  
GND  
VCCIB4  
H4  
K8  
GND  
VMV3  
H5  
GFC0/IO120NPB7V0  
VCC  
K9  
GND  
VCCPLD  
H6  
K10  
K11  
K12  
K13  
K14  
K15  
K16  
L1  
GND  
GDB1/IO66PPB3V1  
GDC1/IO65PDB3V1  
IO61NDB3V1  
IO105PDB6V0  
IO105NDB6V0  
GEC1/IO104PPB6V0  
VCOMPLE  
H7  
GND  
VCC  
H8  
GND  
VCCIB3  
H9  
GND  
IO54NPB3V0  
IO57NPB3V0  
IO55NPB3V0  
IO57PPB3V0  
IO113NPB6V1  
IO109PPB6V0  
IO108PDB6V0  
IO108NDB6V0  
VCCIB6  
H10  
H11  
H12  
H13  
H14  
H15  
H16  
J1  
GND  
N2  
VCC  
N3  
GCC0/IO50NPB2V1  
GCB1/IO51PPB2V1  
GCA0/IO52NPB3V0  
VCOMPLC  
N4  
N5  
GNDQ  
L2  
N6  
GEA2/IO101PPB5V2  
IO92NDB5V1  
IO90NDB5V1  
IO82NDB5V0  
IO74NDB4V1  
IO74PDB4V1  
GNDQ  
L3  
N7  
GCB0/IO51NPB2V1  
GFA2/IO117PSB6V1  
GFA1/IO118PDB6V1  
VCCPLF  
L4  
N8  
L5  
N9  
J2  
L6  
GND  
N10  
N11  
N12  
N13  
N14  
N15  
N16  
P1  
J3  
L7  
VCC  
J4  
IO116NDB6V1  
GFB2/IO116PDB6V1  
VCC  
L8  
VCC  
J5  
L9  
VCC  
VCOMPLD  
J6  
L10  
L11  
L12  
L13  
L14  
L15  
L16  
M1  
M2  
M3  
M4  
VCC  
VJTAG  
J7  
GND  
GND  
GDC0/IO65NDB3V1  
GDA1/IO67PDB3V1  
GEB1/IO103PDB6V0  
GEB0/IO103NDB6V0  
VMV6  
J8  
GND  
VCCIB3  
J9  
GND  
GDB0/IO66NPB3V1  
IO60NDB3V1  
IO60PDB3V1  
IO61PDB3V1  
IO109NPB6V0  
IO106NDB6V0  
IO106PDB6V0  
GEC0/IO104NPB6V0  
J10  
J11  
J12  
J13  
J14  
J15  
J16  
GND  
P2  
VCC  
P3  
GCB2/IO54PPB3V0  
GCA1/IO52PPB3V0  
GCC2/IO55PPB3V0  
VCCPLC  
P4  
VCCPLE  
P5  
IO101NPB5V2  
IO95PPB5V1  
IO92PDB5V1  
IO90PDB5V1  
P6  
P7  
GCA2/IO53PSB3V0  
P8  
Revision 13  
4-3  
Package Pin Assignments  
FG256  
FG256  
Pin Number AGLE600 Function  
Pin Number AGLE600 Function  
P9  
P10  
P11  
P12  
P13  
P14  
P15  
P16  
R1  
IO82PDB5V0  
IO76NDB4V1  
IO76PDB4V1  
VMV4  
T12  
T13  
T14  
T15  
T16  
GDC2/IO70PDB4V0  
IO68NDB4V0  
GDA2/IO68PDB4V0  
TMS  
TCK  
GND  
VPUMP  
TRST  
GDA0/IO67NDB3V1  
GEA1/IO102PDB6V0  
GEA0/IO102NDB6V0  
GNDQ  
R2  
R3  
R4  
GEC2/IO99PDB5V2  
IO95NPB5V1  
IO91NDB5V1  
IO91PDB5V1  
IO83NDB5V0  
IO83PDB5V0  
IO77NDB4V1  
IO77PDB4V1  
IO69NDB4V0  
GDB2/IO69PDB4V0  
TDI  
R5  
R6  
R7  
R8  
R9  
R10  
R11  
R12  
R13  
R14  
R15  
R16  
T1  
GNDQ  
TDO  
GND  
T2  
IO100NDB5V2  
T3  
FF/GEB2/IO100PDB5  
V2  
T4  
T5  
IO99NDB5V2  
IO88NDB5V0  
IO88PDB5V0  
IO89NSB5V0  
IO80NSB4V1  
IO81NDB4V1  
IO81PDB4V1  
IO70NDB4V0  
T6  
T7  
T8  
T9  
T10  
T11  
4-4  
Revision 13  
IGLOOe Low Power Flash FPGAs  
FG484  
A1 Ball Pad Corner  
22 21 20 19 18 17 16 15 14 13 12 11 10 9 8 7 6 5 4 3 2 1  
A
B
C
D
E
F
G
H
J
K
L
M
N
P
R
T
U
V
W
Y
AA  
AB  
Note: This is the bottom view of the package.  
Note  
For Package Manufacturing and Environmental information, visit the Resource Center at  
http://www.microsemi.com/soc/products/solutions/package/docs.aspx.  
Revision 13  
4-5  
Package Pin Assignments  
FG484  
Pin  
FG484  
FG484  
Pin  
Pin  
Number  
AGLE600 Function  
Number  
AGLE600 Function  
NC  
Number  
AGLE600 Function  
IO03PDB0V0  
IO07NDB0V1  
IO07PDB0V1  
IO11NDB0V1  
IO17NDB0V2  
IO14PDB0V2  
IO19PDB0V2  
IO22NDB1V0  
IO26NDB1V0  
NC  
A1  
GND  
AA14  
AA15  
AA16  
AA17  
AA18  
AA19  
AA20  
AA21  
AA22  
AB1  
B5  
B6  
A2  
GND  
NC  
A3  
VCCIB0  
IO71NDB4V0  
IO71PDB4V0  
NC  
B7  
A4  
IO06NDB0V1  
IO06PDB0V1  
IO08NDB0V1  
IO08PDB0V1  
IO11PDB0V1  
IO17PDB0V2  
IO18NDB0V2  
IO18PDB0V2  
IO22PDB1V0  
IO26PDB1V0  
IO29NDB1V1  
IO29PDB1V1  
IO31NDB1V1  
IO31PDB1V1  
IO32NDB1V1  
NC  
B8  
A5  
B9  
A6  
NC  
B10  
B11  
B12  
B13  
B14  
B15  
B16  
B17  
B18  
B19  
B20  
B21  
B22  
C1  
A7  
NC  
A8  
VCCIB3  
A9  
GND  
A10  
A11  
A12  
A13  
A14  
A15  
A16  
A17  
A18  
A19  
A20  
A21  
A22  
AA1  
AA2  
AA3  
AA4  
AA5  
AA6  
AA7  
AA8  
AA9  
AA10  
AA11  
AA12  
AA13  
GND  
AB2  
GND  
NC  
AB3  
VCCIB5  
IO30NDB1V1  
IO30PDB1V1  
IO32PDB1V1  
NC  
AB4  
IO97NDB5V2  
IO97PDB5V2  
IO93NDB5V1  
IO93PDB5V1  
IO87NDB5V0  
IO87PDB5V0  
NC  
AB5  
AB6  
AB7  
NC  
AB8  
VCCIB2  
AB9  
GND  
AB10  
AB11  
AB12  
AB13  
AB14  
AB15  
AB16  
AB17  
AB18  
AB19  
AB20  
AB21  
AB22  
B1  
VCCIB7  
VCCIB1  
NC  
C2  
NC  
GND  
IO75NDB4V1  
IO75PDB4V1  
IO72NDB4V0  
IO72PDB4V0  
IO73NDB4V0  
IO73PDB4V0  
NC  
C3  
NC  
GND  
C4  
NC  
GND  
C5  
GND  
VCCIB6  
C6  
IO04NDB0V0  
IO04PDB0V0  
VCC  
NC  
C7  
IO98PDB5V2  
IO96NDB5V2  
IO96PDB5V2  
IO86NDB5V0  
IO86PDB5V0  
IO85PDB5V0  
IO85NDB5V0  
IO78PPB4V1  
IO79NDB4V1  
IO79PDB4V1  
C8  
C9  
VCC  
NC  
C10  
C11  
C12  
C13  
C14  
C15  
C16  
C17  
IO14NDB0V2  
IO19NDB0V2  
NC  
VCCIB4  
GND  
GND  
NC  
GND  
VCC  
B2  
VCCIB7  
VCC  
B3  
NC  
NC  
B4  
IO03NDB0V0  
NC  
4-6  
Revision 13  
IGLOOe Low Power Flash FPGAs  
FG484  
FG484  
FG484  
Pin  
Pin  
Pin  
Number  
AGLE600 Function  
GND  
Number  
AGLE600 Function  
IO10NDB0V1  
IO12NDB0V2  
IO16PDB0V2  
IO20NDB1V0  
IO24NDB1V0  
IO24PDB1V0  
GBC1/IO33PDB1V1  
GBB0/IO34NDB1V1  
GNDQ  
Number  
F22  
G1  
AGLE600 Function  
C18  
C19  
C20  
C21  
C22  
D1  
E9  
E10  
E11  
E12  
E13  
E14  
E15  
E16  
E17  
E18  
E19  
E20  
E21  
E22  
F1  
NC  
NC  
IO127NDB7V1  
IO127PDB7V1  
NC  
NC  
G2  
NC  
G3  
VCCIB2  
G4  
IO128PDB7V1  
IO129PDB7V1  
GAC2/IO132PDB7V1  
VCOMPLA  
GNDQ  
NC  
G5  
D2  
NC  
G6  
D3  
NC  
G7  
D4  
GND  
G8  
D5  
GAA0/IO00NDB0V0  
GAA1/IO00PDB0V0  
GAB0/IO01NDB0V0  
IO05PDB0V0  
IO10PDB0V1  
IO12PDB0V2  
IO16NDB0V2  
IO23NDB1V0  
IO23PDB1V0  
IO28NDB1V1  
IO28PDB1V1  
GBB1/IO34PDB1V1  
GBA0/IO35NDB1V1  
GBA1/IO35PDB1V1  
GND  
GBA2/IO36PDB2V0  
IO42NDB2V0  
GND  
G9  
IO09NDB0V1  
IO09PDB0V1  
IO13PDB0V2  
IO21PDB1V0  
IO25PDB1V0  
IO27NDB1V0  
GNDQ  
D6  
G10  
G11  
G12  
G13  
G14  
G15  
G16  
G17  
G18  
G19  
G20  
G21  
G22  
H1  
D7  
D8  
NC  
D9  
NC  
D10  
D11  
D12  
D13  
D14  
D15  
D16  
D17  
D18  
D19  
D20  
D21  
D22  
E1  
NC  
F2  
IO131NDB7V1  
IO131PDB7V1  
IO133NDB7V1  
IO134NDB7V1  
VMV7  
F3  
VCOMPLB  
GBB2/IO37PDB2V0  
IO39PDB2V0  
IO39NDB2V0  
IO43PDB2V0  
IO43NDB2V0  
NC  
F4  
F5  
F6  
F7  
VCCPLA  
F8  
GAC0/IO02NDB0V0  
GAC1/IO02PDB0V0  
IO15NDB0V2  
IO15PDB0V2  
IO20PDB1V0  
IO25NDB1V0  
IO27PDB1V0  
GBC0/IO33NDB1V1  
VCCPLB  
F9  
F10  
F11  
F12  
F13  
F14  
F15  
F16  
F17  
F18  
F19  
F20  
F21  
NC  
NC  
H2  
NC  
NC  
H3  
VCC  
NC  
H4  
IO128NDB7V1  
IO129NDB7V1  
IO132NDB7V1  
IO130PDB7V1  
VMV0  
NC  
H5  
E2  
NC  
H6  
E3  
GND  
H7  
E4  
GAB2/IO133PDB7V1  
GAA2/IO134PDB7V1  
GNDQ  
VMV2  
H8  
E5  
IO36NDB2V0  
IO42PDB2V0  
NC  
H9  
VCCIB0  
E6  
H10  
H11  
H12  
VCCIB0  
E7  
GAB1/IO01PDB0V0  
IO05NDB0V0  
IO13NDB0V2  
IO21NDB1V0  
E8  
NC  
Revision 13  
4-7  
Package Pin Assignments  
FG484  
Pin  
FG484  
FG484  
Pin  
Pin  
Number  
H13  
H14  
H15  
H16  
H17  
H18  
H19  
H20  
H21  
H22  
J1  
AGLE600 Function  
Number  
AGLE600 Function  
IO124NDB7V0  
IO125NDB7V0  
IO126NDB7V0  
GFC1/IO120PPB7V0  
VCCIB7  
Number  
AGLE600 Function  
GCA0/IO52NPB3V0  
VCOMPLC  
VCCIB1  
VCCIB1  
K4  
K5  
L17  
L18  
L19  
L20  
L21  
L22  
M1  
VMV1  
K6  
GCB0/IO51NPB2V1  
IO49PPB2V1  
IO47NDB2V1  
IO47PDB2V1  
NC  
GBC2/IO38PDB2V0  
IO37NDB2V0  
IO41NDB2V0  
IO41PDB2V0  
VCC  
K7  
K8  
K9  
VCC  
K10  
K11  
K12  
K13  
K14  
K15  
K16  
K17  
K18  
K19  
K20  
K21  
K22  
L1  
GND  
GND  
M2  
IO114NPB6V1  
IO117NDB6V1  
GFA2/IO117PDB6V1  
GFA1/IO118PDB6V1  
VCCPLF  
NC  
GND  
M3  
NC  
GND  
M4  
IO123NDB7V0  
IO123PDB7V0  
NC  
VCC  
M5  
J2  
VCCIB2  
M6  
J3  
GCC1/IO50PPB2V1  
IO44NDB2V1  
IO44PDB2V1  
IO49NPB2V1  
IO45NPB2V1  
IO48NDB2V1  
IO46NDB2V1  
NC  
M7  
IO116NDB6V1  
GFB2/IO116PDB6V1  
VCC  
J4  
IO124PDB7V0  
IO125PDB7V0  
IO126PDB7V0  
IO130NDB7V1  
VCCIB7  
M8  
J5  
M9  
J6  
M10  
M11  
M12  
M13  
M14  
M15  
M16  
M17  
M18  
M19  
M20  
M21  
M22  
N1  
GND  
J7  
GND  
J8  
GND  
J9  
GND  
GND  
J10  
J11  
J12  
J13  
J14  
J15  
J16  
J17  
J18  
J19  
J20  
J21  
J22  
K1  
VCC  
VCC  
VCC  
L2  
IO122PDB7V0  
IO122NDB7V0  
GFB0/IO119NPB7V0  
GFA0/IO118NDB6V1  
GFB1/IO119PPB7V0  
VCOMPLF  
GCB2/IO54PPB3V0  
GCA1/IO52PPB3V0  
GCC2/IO55PPB3V0  
VCCPLC  
VCC  
L3  
VCC  
L4  
GND  
L5  
VCCIB2  
L6  
GCA2/IO53PDB3V0  
IO53NDB3V0  
IO56PDB3V0  
NC  
IO38NDB2V0  
IO40NDB2V0  
IO40PDB2V0  
IO45PPB2V1  
NC  
L7  
L8  
GFC0/IO120NPB7V0  
VCC  
L9  
L10  
L11  
L12  
L13  
L14  
L15  
L16  
GND  
IO114PPB6V1  
IO111NDB6V1  
NC  
GND  
N2  
IO48PDB2V1  
IO46PDB2V1  
IO121NDB7V0  
IO121PDB7V0  
NC  
GND  
N3  
GND  
N4  
GFC2/IO115PPB6V1  
IO113PPB6V1  
IO112PDB6V1  
IO112NDB6V1  
VCC  
N5  
K2  
GCC0/IO50NPB2V1  
GCB1/IO51PPB2V1  
N6  
K3  
N7  
4-8  
Revision 13  
IGLOOe Low Power Flash FPGAs  
FG484  
FG484  
FG484  
Pin  
Pin  
Pin  
Number  
AGLE600 Function  
VCCIB6  
Number  
AGLE600 Function  
IO59PDB3V0  
IO58NDB3V0  
NC  
Number  
T12  
T13  
T14  
T15  
T16  
T17  
T18  
T19  
T20  
T21  
T22  
U1  
AGLE600 Function  
IO82NDB5V0  
IO74NDB4V1  
IO74PDB4V1  
GNDQ  
N8  
N9  
P21  
P22  
R1  
VCC  
N10  
N11  
N12  
N13  
N14  
N15  
N16  
N17  
N18  
N19  
N20  
N21  
N22  
P1  
GND  
GND  
R2  
IO110PDB6V0  
VCC  
GND  
R3  
VCOMPLD  
VJTAG  
GND  
R4  
IO109NPB6V0  
IO106NDB6V0  
IO106PDB6V0  
GEC0/IO104NPB6V0  
VMV5  
VCC  
R5  
GDC0/IO65NDB3V1  
GDA1/IO67PDB3V1  
NC  
VCCIB3  
R6  
IO54NPB3V0  
IO57NPB3V0  
IO55NPB3V0  
IO57PPB3V0  
NC  
R7  
R8  
IO64PDB3V1  
IO62NDB3V1  
NC  
R9  
VCCIB5  
R10  
R11  
R12  
R13  
R14  
R15  
R16  
R17  
R18  
R19  
R20  
R21  
R22  
T1  
VCCIB5  
IO84NDB5V0  
IO84PDB5V0  
VCCIB4  
U2  
IO107PDB6V0  
IO107NDB6V0  
GEB1/IO103PDB6V0  
GEB0/IO103NDB6V0  
VMV6  
IO56NDB3V0  
IO58PDB3V0  
NC  
U3  
U4  
VCCIB4  
U5  
P2  
IO111PDB6V1  
IO115NPB6V1  
IO113NPB6V1  
IO109PPB6V0  
IO108PDB6V0  
IO108NDB6V0  
VCCIB6  
VMV3  
U6  
P3  
VCCPLD  
U7  
VCCPLE  
P4  
GDB1/IO66PPB3V1  
GDC1/IO65PDB3V1  
IO61NDB3V1  
VCC  
U8  
IO101NPB5V2  
IO95PPB5V1  
IO92PDB5V1  
IO90PDB5V1  
IO82PDB5V0  
IO76NDB4V1  
IO76PDB4V1  
VMV4  
P5  
U9  
P6  
U10  
U11  
U12  
U13  
U14  
U15  
U16  
U17  
U18  
U19  
U20  
U21  
U22  
V1  
P7  
P8  
IO59NDB3V0  
IO62PDB3V1  
NC  
P9  
GND  
P10  
P11  
P12  
P13  
P14  
P15  
P16  
P17  
P18  
P19  
P20  
VCC  
VCC  
T2  
IO110NDB6V0  
NC  
VCC  
T3  
TCK  
VCC  
T4  
IO105PDB6V0  
IO105NDB6V0  
GEC1/IO104PPB6V0  
VCOMPLE  
VPUMP  
GND  
T5  
TRST  
VCCIB3  
T6  
GDA0/IO67NDB3V1  
NC  
GDB0/IO66NPB3V1  
IO60NDB3V1  
IO60PDB3V1  
IO61PDB3V1  
NC  
T7  
T8  
GNDQ  
IO64NDB3V1  
IO63PDB3V1  
NC  
T9  
GEA2/IO101PPB5V2  
IO92NDB5V1  
IO90NDB5V1  
T10  
T11  
V2  
NC  
Revision 13  
4-9  
Package Pin Assignments  
FG484  
Pin  
FG484  
Pin  
Number  
AGLE600 Function  
Number  
AGLE600 Function  
V3  
GND  
GEA1/IO102PDB6V0  
GEA0/IO102NDB6V0  
GNDQ  
W16  
W17  
W18  
W19  
W20  
W21  
W22  
Y1  
IO68NDB4V0  
V4  
GDA2/IO68PDB4V0  
V5  
TMS  
GND  
V6  
V7  
GEC2/IO99PDB5V2  
IO95NPB5V1  
IO91NDB5V1  
IO91PDB5V1  
IO83NDB5V0  
IO83PDB5V0  
IO77NDB4V1  
IO77PDB4V1  
IO69NDB4V0  
GDB2/IO69PDB4V0  
TDI  
NC  
V8  
NC  
V9  
NC  
V10  
V11  
V12  
V13  
V14  
V15  
V16  
V17  
V18  
V19  
V20  
V21  
V22  
W1  
VCCIB6  
NC  
Y2  
Y3  
NC  
Y4  
IO98NDB5V2  
GND  
Y5  
Y6  
IO94NDB5V1  
IO94PDB5V1  
VCC  
Y7  
Y8  
GNDQ  
Y9  
VCC  
TDO  
Y10  
Y11  
Y12  
Y13  
Y14  
Y15  
Y16  
Y17  
Y18  
Y19  
Y20  
Y21  
Y22  
IO89PDB5V0  
IO80PDB4V1  
IO78NPB4V1  
NC  
GND  
NC  
IO63NDB3V1  
NC  
VCC  
W2  
NC  
VCC  
W3  
NC  
NC  
W4  
GND  
NC  
W5  
IO100NDB5V2  
FF/GEB2/IO100PDB5V2  
IO99NDB5V2  
IO88NDB5V0  
IO88PDB5V0  
IO89NDB5V0  
IO80NDB4V1  
IO81NDB4V1  
IO81PDB4V1  
IO70NDB4V0  
GDC2/IO70PDB4V0  
GND  
W6  
NC  
W7  
NC  
W8  
NC  
W9  
VCCIB3  
W10  
W11  
W12  
W13  
W14  
W15  
4-10  
Revision 13  
IGLOOe Low Power Flash FPGAs  
FG484  
FG484  
FG484  
Pin  
Pin  
Pin  
Number  
AGLE3000 Function  
GND  
Number  
AGLE3000 Function  
IO170NDB4V2  
IO170PDB4V2  
IO166NDB4V1  
IO166PDB4V1  
IO160NDB4V0  
IO160PDB4V0  
IO158NPB4V0  
VCCIB3  
Number  
AGLE3000 Function  
IO08PDB0V0  
IO14NDB0V1  
IO14PDB0V1  
IO18NDB0V2  
IO24NDB0V2  
IO34PDB0V4  
IO40PDB0V4  
IO46NDB1V0  
IO54NDB1V1  
IO62NDB1V2  
IO62PDB1V2  
IO68NDB1V3  
IO68PDB1V3  
IO72PDB1V3  
IO74PDB1V4  
IO76NPB1V4  
VCCIB2  
A1  
A2  
AA14  
AA15  
AA16  
AA17  
AA18  
AA19  
AA20  
AA21  
AA22  
AB1  
B5  
GND  
B6  
A3  
VCCIB0  
B7  
A4  
IO10NDB0V1  
IO10PDB0V1  
IO16NDB0V1  
IO16PDB0V1  
IO18PDB0V2  
IO24PDB0V2  
IO28NDB0V3  
IO28PDB0V3  
IO46PDB1V0  
IO54PDB1V1  
IO56NDB1V1  
IO56PDB1V1  
IO64NDB1V2  
IO64PDB1V2  
IO72NDB1V3  
IO74NDB1V4  
VCCIB1  
B8  
A5  
B9  
A6  
B10  
B11  
B12  
B13  
B14  
B15  
B16  
B17  
B18  
B19  
B20  
B21  
B22  
C1  
A7  
A8  
A9  
GND  
A10  
A11  
A12  
A13  
A14  
A15  
A16  
A17  
A18  
A19  
A20  
A21  
A22  
AA1  
AA2  
AA3  
AA4  
AA5  
AA6  
AA7  
AA8  
AA9  
AA10  
AA11  
AA12  
AA13  
GND  
AB2  
GND  
AB3  
VCCIB5  
AB4  
IO216NDB5V2  
IO216PDB5V2  
IO210NDB5V2  
IO210PDB5V2  
IO208NDB5V1  
IO208PDB5V1  
IO197NDB5V0  
IO197PDB5V0  
IO174NDB4V2  
IO174PDB4V2  
IO172NDB4V2  
IO172PDB4V2  
IO168NDB4V1  
IO168PDB4V1  
IO162NDB4V1  
IO162PDB4V1  
VCCIB4  
AB5  
AB6  
AB7  
AB8  
AB9  
GND  
AB10  
AB11  
AB12  
AB13  
AB14  
AB15  
AB16  
AB17  
AB18  
AB19  
AB20  
AB21  
AB22  
B1  
VCCIB7  
C2  
IO303PDB7V3  
IO305PDB7V3  
IO06NPB0V0  
GND  
GND  
C3  
GND  
C4  
GND  
C5  
VCCIB6  
C6  
IO12NDB0V1  
IO12PDB0V1  
VCC  
IO228PDB5V4  
IO224PDB5V3  
IO218NDB5V3  
IO218PDB5V3  
IO212NDB5V2  
IO212PDB5V2  
IO198PDB5V0  
IO198NDB5V0  
IO188PPB4V4  
IO180NDB4V3  
IO180PDB4V3  
C7  
C8  
C9  
VCC  
C10  
C11  
C12  
C13  
C14  
C15  
C16  
C17  
IO34NDB0V4  
IO40NDB0V4  
IO48NDB1V0  
IO48PDB1V0  
VCC  
GND  
GND  
GND  
B2  
VCCIB7  
VCC  
B3  
IO06PPB0V0  
IO08NDB0V0  
IO70NDB1V3  
IO70PDB1V3  
B4  
Revision 13  
4-11  
Package Pin Assignments  
FG484  
Pin  
FG484  
FG484  
Pin  
Pin  
Number  
C18  
C19  
C20  
C21  
C22  
D1  
AGLE3000 Function  
Number  
AGLE3000 Function  
IO22NDB0V2  
IO30NDB0V3  
IO38PDB0V4  
IO44NDB1V0  
IO58NDB1V2  
IO58PDB1V2  
GBC1/IO79PDB1V4  
GBB0/IO80NDB1V4  
GNDQ  
Number  
AGLE3000 Function  
IO98NDB2V2  
IO289NDB7V1  
IO289PDB7V1  
IO291PPB7V2  
IO295PDB7V2  
IO297PDB7V2  
GAC2/IO307PDB7V4  
VCOMPLA  
GND  
IO76PPB1V4  
IO88NDB2V0  
IO94PPB2V1  
VCCIB2  
E9  
E10  
E11  
E12  
E13  
E14  
E15  
E16  
E17  
E18  
E19  
E20  
E21  
E22  
F1  
F22  
G1  
G2  
G3  
G4  
IO293PDB7V2  
IO303NDB7V3  
IO305NDB7V3  
GND  
G5  
D2  
G6  
D3  
G7  
D4  
G8  
GNDQ  
D5  
GAA0/IO00NDB0V0  
GAA1/IO00PDB0V0  
GAB0/IO01NDB0V0  
IO20PDB0V2  
IO22PDB0V2  
IO30PDB0V3  
IO38NDB0V4  
IO52NDB1V1  
IO52PDB1V1  
IO66NDB1V3  
IO66PDB1V3  
GBB1/IO80PDB1V4  
GBA0/IO81NDB1V4  
GBA1/IO81PDB1V4  
GND  
GBA2/IO82PDB2V0  
IO86NDB2V0  
GND  
G9  
IO26NDB0V3  
IO26PDB0V3  
IO36PDB0V4  
IO42PDB1V0  
IO50PDB1V1  
IO60NDB1V2  
GNDQ  
D6  
G10  
G11  
G12  
G13  
G14  
G15  
G16  
G17  
G18  
G19  
G20  
G21  
G22  
H1  
D7  
D8  
IO90NDB2V1  
IO98PDB2V2  
IO299NPB7V3  
IO301NDB7V3  
IO301PDB7V3  
IO308NDB7V4  
IO309NDB7V4  
VMV7  
D9  
D10  
D11  
D12  
D13  
D14  
D15  
D16  
D17  
D18  
D19  
D20  
D21  
D22  
E1  
F2  
F3  
VCOMPLB  
F4  
GBB2/IO83PDB2V0  
IO92PDB2V1  
IO92NDB2V1  
IO102PDB2V2  
IO102NDB2V2  
IO105NDB2V2  
IO286PSB7V1  
IO291NPB7V2  
VCC  
F5  
F6  
F7  
VCCPLA  
F8  
GAC0/IO02NDB0V0  
GAC1/IO02PDB0V0  
IO32NDB0V3  
IO32PDB0V3  
IO44PDB1V0  
IO50NDB1V1  
IO60PDB1V2  
GBC0/IO79NDB1V4  
VCCPLB  
F9  
F10  
F11  
F12  
F13  
F14  
F15  
F16  
F17  
F18  
F19  
F20  
F21  
IO88PDB2V0  
IO90PDB2V1  
IO94NPB2V1  
IO293NDB7V2  
IO299PPB7V3  
GND  
H2  
H3  
H4  
IO295NDB7V2  
IO297NDB7V2  
IO307NDB7V4  
IO287PDB7V1  
VMV0  
H5  
E2  
H6  
E3  
H7  
E4  
GAB2/IO308PDB7V4  
GAA2/IO309PDB7V4  
GNDQ  
VMV2  
H8  
E5  
IO82NDB2V0  
IO86PDB2V0  
IO96PDB2V1  
IO96NDB2V1  
H9  
VCCIB0  
E6  
H10  
H11  
H12  
VCCIB0  
E7  
GAB1/IO01PDB0V0  
IO20NDB0V2  
IO36NDB0V4  
IO42NDB1V0  
E8  
4-12  
Revision 13  
IGLOOe Low Power Flash FPGAs  
FG484  
FG484  
FG484  
Pin  
Pin  
Pin  
Number  
AGLE3000 Function  
VCCIB1  
Number  
AGLE3000 Function  
IO279NDB7V0  
IO283NDB7V1  
IO281NDB7V0  
GFC1/IO275PPB7V0  
VCCIB7  
Number  
L17  
L18  
L19  
L20  
L21  
L22  
M1  
AGLE3000 Function  
GCA0/IO114NPB3V0  
VCOMPLC  
H13  
H14  
H15  
H16  
H17  
H18  
H19  
H20  
H21  
H22  
J1  
K4  
K5  
VCCIB1  
VMV1  
K6  
GCB0/IO113NPB2V3  
IO110PPB2V3  
IO111NDB2V3  
IO111PDB2V3  
GNDQ  
GBC2/IO84PDB2V0  
IO83NDB2V0  
IO100NDB2V2  
IO100PDB2V2  
VCC  
K7  
K8  
K9  
VCC  
K10  
K11  
K12  
K13  
K14  
K15  
K16  
K17  
K18  
K19  
K20  
K21  
K22  
L1  
GND  
GND  
M2  
IO255NPB6V2  
IO272NDB6V4  
GFA2/IO272PDB6V4  
GFA1/IO273PDB6V4  
VCCPLF  
VMV2  
GND  
M3  
IO105PDB2V2  
IO285NDB7V1  
IO285PDB7V1  
VMV7  
GND  
M4  
VCC  
M5  
J2  
VCCIB2  
M6  
J3  
GCC1/IO112PPB2V3  
IO108NDB2V3  
IO108PDB2V3  
IO110NPB2V3  
IO106NPB2V3  
IO109NDB2V3  
IO107NDB2V3  
IO257PSB6V2  
IO276PDB7V0  
IO276NDB7V0  
GFB0/IO274NPB7V0  
GFA0/IO273NDB6V4  
GFB1/IO274PPB7V0  
VCOMPLF  
M7  
IO271NDB6V4  
GFB2/IO271PDB6V4  
VCC  
J4  
IO279PDB7V0  
IO283PDB7V1  
IO281PDB7V0  
IO287NDB7V1  
VCCIB7  
M8  
J5  
M9  
J6  
M10  
M11  
M12  
M13  
M14  
M15  
M16  
M17  
M18  
M19  
M20  
M21  
M22  
N1  
GND  
J7  
GND  
J8  
GND  
J9  
GND  
GND  
J10  
J11  
J12  
J13  
J14  
J15  
J16  
J17  
J18  
J19  
J20  
J21  
J22  
K1  
VCC  
VCC  
VCC  
L2  
GCB2/IO116PPB3V0  
GCA1/IO114PPB3V0  
GCC2/IO117PPB3V0  
VCCPLC  
VCC  
L3  
VCC  
L4  
GND  
L5  
VCCIB2  
L6  
GCA2/IO115PDB3V0  
IO115NDB3V0  
IO126PDB3V1  
IO124PSB3V1  
IO255PPB6V2  
IO253NDB6V2  
VMV6  
IO84NDB2V0  
IO104NDB2V2  
IO104PDB2V2  
IO106PPB2V3  
GNDQ  
L7  
L8  
GFC0/IO275NPB7V0  
VCC  
L9  
L10  
L11  
L12  
L13  
L14  
L15  
L16  
GND  
GND  
N2  
IO109PDB2V3  
IO107PDB2V3  
IO277NDB7V0  
IO277PDB7V0  
GNDQ  
GND  
N3  
GND  
N4  
GFC2/IO270PPB6V4  
IO261PPB6V3  
IO263PDB6V3  
IO263NDB6V3  
VCC  
N5  
K2  
GCC0/IO112NPB2V3  
GCB1/IO113PPB2V3  
N6  
K3  
N7  
Revision 13  
4-13  
Package Pin Assignments  
FG484  
Pin  
FG484  
FG484  
Pin  
Pin  
Number  
AGLE3000 Function  
Number  
AGLE3000 Function  
IO130PDB3V2  
IO128NDB3V1  
IO247NDB6V1  
IO245PDB6V1  
VCC  
Number  
AGLE3000 Function  
IO194NDB5V0  
IO186NDB4V4  
IO186PDB4V4  
GNDQ  
N8  
VCCIB6  
VCC  
P21  
P22  
R1  
T12  
T13  
T14  
T15  
T16  
T17  
T18  
T19  
T20  
T21  
T22  
U1  
N9  
N10  
N11  
N12  
N13  
N14  
N15  
N16  
N17  
N18  
N19  
N20  
N21  
N22  
P1  
GND  
GND  
R2  
GND  
R3  
VCOMPLD  
GND  
R4  
IO249NPB6V1  
IO251NDB6V2  
IO251PDB6V2  
GEC0/IO236NPB6V0  
VMV5  
VJTAG  
VCC  
R5  
GDC0/IO151NDB3V4  
GDA1/IO153PDB3V4  
IO144PDB3V3  
IO140PDB3V3  
IO134NDB3V2  
IO240PPB6V0  
IO238PDB6V0  
IO238NDB6V0  
GEB1/IO235PDB6V0  
GEB0/IO235NDB6V0  
VMV6  
VCCIB3  
R6  
IO116NPB3V0  
IO132NPB3V2  
IO117NPB3V0  
IO132PPB3V2  
GNDQ  
R7  
R8  
R9  
VCCIB5  
R10  
R11  
R12  
R13  
R14  
R15  
R16  
R17  
R18  
R19  
R20  
R21  
R22  
T1  
VCCIB5  
IO196NDB5V0  
IO196PDB5V0  
VCCIB4  
U2  
IO126NDB3V1  
IO128PDB3V1  
IO247PDB6V1  
IO253PDB6V2  
IO270NPB6V4  
IO261NPB6V3  
IO249PPB6V1  
IO259PDB6V3  
IO259NDB6V3  
VCCIB6  
U3  
U4  
VCCIB4  
U5  
P2  
VMV3  
U6  
P3  
VCCPLD  
U7  
VCCPLE  
P4  
GDB1/IO152PPB3V4  
GDC1/IO151PDB3V4  
IO138NDB3V3  
VCC  
U8  
IO233NPB5V4  
IO222PPB5V3  
IO206PDB5V1  
IO202PDB5V1  
IO194PDB5V0  
IO176NDB4V2  
IO176PDB4V2  
VMV4  
P5  
U9  
P6  
U10  
U11  
U12  
U13  
U14  
U15  
U16  
U17  
U18  
U19  
U20  
U21  
U22  
V1  
P7  
P8  
IO130NDB3V2  
IO134PDB3V2  
IO243PPB6V1  
IO245NDB6V1  
IO243NPB6V1  
IO241PDB6V0  
IO241NDB6V0  
GEC1/IO236PPB6V0  
VCOMPLE  
P9  
GND  
P10  
P11  
P12  
P13  
P14  
P15  
P16  
P17  
P18  
P19  
P20  
VCC  
VCC  
T2  
VCC  
T3  
TCK  
VCC  
T4  
VPUMP  
GND  
T5  
TRST  
VCCIB3  
T6  
GDA0/IO153NDB3V4  
IO144NDB3V3  
IO140NDB3V3  
IO142PDB3V3  
IO239PDB6V0  
IO240NPB6V0  
GDB0/IO152NPB3V4  
IO136NDB3V2  
IO136PDB3V2  
IO138PDB3V3  
VMV3  
T7  
T8  
GNDQ  
T9  
GEA2/IO233PPB5V4  
IO206NDB5V1  
IO202NDB5V1  
T10  
T11  
V2  
4-14  
Revision 13  
IGLOOe Low Power Flash FPGAs  
FG484  
FG484  
Pin  
Pin  
Number  
AGLE3000 Function  
GND  
Number  
AGLE3000 Function  
GDC2/IO156PDB4V0  
IO154NDB4V0  
GDA2/IO154PDB4V0  
TMS  
V3  
V4  
W15  
W16  
W17  
W18  
W19  
W20  
W21  
W22  
Y1  
GEA1/IO234PDB6V0  
GEA0/IO234NDB6V0  
GNDQ  
V5  
V6  
V7  
GEC2/IO231PDB5V4  
IO222NPB5V3  
IO204NDB5V1  
IO204PDB5V1  
IO195NDB5V0  
IO195PDB5V0  
IO178NDB4V3  
IO178PDB4V3  
IO155NDB4V0  
GDB2/IO155PDB4V0  
TDI  
GND  
V8  
IO150NDB3V4  
IO146NDB3V4  
IO148PPB3V4  
VCCIB6  
V9  
V10  
V11  
V12  
V13  
V14  
V15  
V16  
V17  
V18  
V19  
V20  
V21  
V22  
W1  
W2  
W3  
W4  
W5  
W6  
Y2  
IO237NDB6V0  
IO228NDB5V4  
IO224NDB5V3  
GND  
Y3  
Y4  
Y5  
Y6  
IO220NDB5V3  
IO220PDB5V3  
VCC  
Y7  
GNDQ  
Y8  
TDO  
Y9  
VCC  
GND  
Y10  
Y11  
Y12  
Y13  
Y14  
Y15  
Y16  
Y17  
Y18  
Y19  
Y20  
Y21  
Y22  
IO200PDB5V0  
IO192PDB4V4  
IO188NPB4V4  
IO187PSB4V4  
VCC  
IO146PDB3V4  
IO142NDB3V3  
IO239NDB6V0  
IO237PDB6V0  
IO230PSB5V4  
GND  
VCC  
IO164NDB4V1  
IO164PDB4V1  
GND  
IO232NDB5V4  
FF/GEB2/IO232PDB5  
V4  
IO158PPB4V0  
IO150PDB3V4  
IO148NPB3V4  
VCCIB3  
W7  
W8  
IO231NDB5V4  
IO214NDB5V2  
IO214PDB5V2  
IO200NDB5V0  
IO192NDB4V4  
IO184NDB4V3  
IO184PDB4V3  
IO156NDB4V0  
W9  
W10  
W11  
W12  
W13  
W14  
Revision 13  
4-15  
Package Pin Assignments  
FG896  
A1 Ball Pad Corner  
30 29 28 27 26 2524 23 22 21 20 1918 17 16 15 14 13 12 11 10 9 8 7 6 5 4 3 2 1  
A
B
C
D
E
F
G
H
J
K
L
M
N
P
R
T
U
V
W
Y
AA  
AB  
AC  
AD  
AE  
AF  
AG  
AH  
AJ  
AK  
Note: This is the bottom view of the package.  
Note  
For Package Manufacturing and Environmental information, visit the Resource Center at  
http://www.microsemi.com/soc/products/solutions/package/docs.aspx.  
4-16  
Revision 13  
IGLOOe Low Power Flash FPGAs  
FG896  
AGLE3000  
FG896  
AGLE3000  
FG896  
AGLE3000  
Pin Number  
A2  
Function  
Pin Number  
AA8  
Function  
IO245NDB6V1  
GEB1/IO235PPB6V0  
VCC  
Pin Number  
AB13  
AB14  
AB15  
AB16  
AB17  
AB18  
AB19  
AB20  
AB21  
AB22  
AB23  
AB24  
AB25  
AB26  
AB27  
AB28  
AB29  
AB30  
AC1  
Function  
IO206PDB5V1  
IO198NDB5V0  
IO198PDB5V0  
IO192NDB4V4  
IO192PDB4V4  
IO178NDB4V3  
IO178PDB4V3  
IO174NDB4V2  
IO162NPB4V1  
VCC  
GND  
A3  
GND  
AA9  
A4  
IO14NPB0V1  
GND  
AA10  
AA11  
AA12  
AA13  
AA14  
AA15  
AA16  
AA17  
AA18  
AA19  
AA20  
AA21  
AA22  
AA23  
AA24  
AA25  
AA26  
AA27  
AA28  
AA29  
AA30  
AB1  
A5  
IO226PPB5V4  
VCCIB5  
A6  
IO07NPB0V0  
GND  
A7  
VCCIB5  
A8  
IO09NDB0V1  
IO17NDB0V2  
IO17PDB0V2  
IO21NDB0V2  
IO21PDB0V2  
IO33NDB0V4  
IO33PDB0V4  
IO35NDB0V4  
IO35PDB0V4  
IO41NDB1V0  
IO43NDB1V0  
IO43PDB1V0  
IO45NDB1V0  
IO45PDB1V0  
IO57NDB1V2  
IO57PDB1V2  
GND  
VCCIB5  
A9  
VCCIB5  
A10  
A11  
A12  
A13  
A14  
A15  
A16  
A17  
A18  
A19  
A20  
A21  
A22  
A23  
A24  
A25  
A26  
A27  
A28  
A29  
AA1  
AA2  
AA3  
AA4  
AA5  
AA6  
AA7  
VCCIB4  
VCCIB4  
VCCIB4  
VCCPLD  
VCCIB4  
VCCIB3  
IO174PDB4V2  
VCC  
IO150PDB3V4  
IO148PDB3V4  
IO147NDB3V4  
IO145PDB3V3  
IO143PDB3V3  
IO137PDB3V2  
IO254PDB6V2  
IO254NDB6V2  
IO240PDB6V0  
GEC1/IO236PDB6V0  
IO237PDB6V0  
IO237NDB6V0  
VCOMPLE  
IO142NPB3V3  
IO144NDB3V3  
IO144PDB3V3  
IO146NDB3V4  
IO146PDB3V4  
IO147PDB3V4  
IO139NDB3V3  
IO139PDB3V3  
IO133NDB3V2  
IO256NDB6V2  
IO244PDB6V1  
IO244NDB6V1  
IO241PDB6V0  
IO241NDB6V0  
IO243NPB6V1  
VCCIB6  
AC2  
AC3  
AC4  
AC5  
IO69PPB1V3  
GND  
AC6  
AB2  
AC7  
GBC1/IO79PPB1V4  
GND  
AB3  
AC8  
GND  
AB4  
AC9  
IO226NPB5V4  
IO222NDB5V3  
IO216NPB5V2  
IO210NPB5V2  
IO204NDB5V1  
IO204PDB5V1  
IO194NDB5V0  
IO188NDB4V4  
IO188PDB4V4  
GND  
AB5  
AC10  
AC11  
AC12  
AC13  
AC14  
AC15  
AC16  
AC17  
IO256PDB6V2  
IO248PDB6V1  
IO248NDB6V1  
IO246NDB6V1  
GEA1/IO234PDB6V0  
GEA0/IO234NDB6V0  
IO243PPB6V1  
AB6  
AB7  
AB8  
VCCPLE  
AB9  
VCC  
AB10  
AB11  
AB12  
IO222PDB5V3  
IO218PPB5V3  
IO206NDB5V1  
Revision 13  
4-17  
Package Pin Assignments  
FG896  
FG896  
AGLE3000  
FG896  
AGLE3000  
AGLE3000  
Pin Number  
AC18  
AC19  
AC20  
AC21  
AC22  
AC23  
AC24  
AC25  
AC26  
AC27  
AC28  
AC29  
AC30  
AD1  
Function  
IO182PPB4V3  
IO170NPB4V2  
IO164NDB4V1  
IO164PDB4V1  
IO162PPB4V1  
GND  
Pin Number  
AD22  
AD23  
AD24  
AD25  
AD26  
AD27  
AD28  
AD29  
AD30  
AE1  
Function  
Pin Number  
AE26  
AE27  
AE28  
AE28  
AE29  
AE30  
AF1  
Function  
GDB0/IO152NDB3V4  
GDB1/IO152PDB3V4  
VMV3  
VCCIB4  
TCK  
VCC  
TRST  
VMV3  
VCCIB3  
VCC  
GDA0/IO153NDB3V4  
GDC0/IO151NDB3V4  
GDC1/IO151PDB3V4  
GND  
IO149PDB3V4  
GND  
VCOMPLD  
IO150NDB3V4  
IO148NDB3V4  
GDA1/IO153PDB3V4  
IO145NDB3V3  
IO143NDB3V3  
IO137NDB3V2  
GND  
AF2  
IO238PPB6V0  
VCCIB6  
AF3  
IO242PPB6V1  
VCC  
AF4  
IO220NPB5V3  
VCC  
AE2  
AF5  
AE3  
IO239PDB6V0  
IO239NDB6V0  
VMV6  
AF6  
IO228NDB5V4  
VCCIB5  
AE4  
AF7  
AE5  
AF8  
IO230PDB5V4  
IO229NDB5V4  
IO229PDB5V4  
IO214PPB5V2  
IO208NDB5V1  
IO208PDB5V1  
IO200PDB5V0  
IO196NDB5V0  
IO186NDB4V4  
IO186PDB4V4  
IO180NDB4V3  
IO180PDB4V3  
IO168NDB4V1  
IO168PDB4V1  
IO160NDB4V0  
IO158NPB4V0  
VCCIB4  
AD2  
IO242NPB6V1  
IO240NDB6V0  
GEC0/IO236NDB6V0  
VCCIB6  
AE5  
VMV6  
AF9  
AD3  
AE6  
GND  
AF10  
AF11  
AF12  
AF13  
AF14  
AF15  
AF16  
AF17  
AF18  
AF19  
AF20  
AF21  
AF22  
AF23  
AF24  
AF25  
AF26  
AF27  
AF28  
AF29  
AD4  
AE7  
GNDQ  
AD5  
AE8  
IO230NDB5V4  
IO224NPB5V3  
IO214NPB5V2  
IO212NDB5V2  
IO212PDB5V2  
IO202NPB5V1  
IO200NDB5V0  
IO196PDB5V0  
IO190NDB4V4  
IO184PDB4V3  
IO184NDB4V3  
IO172PDB4V2  
IO172NDB4V2  
IO166NDB4V1  
IO160PDB4V0  
GNDQ  
AD6  
GNDQ  
AE9  
AD6  
GNDQ  
AE10  
AE11  
AE12  
AE13  
AE14  
AE15  
AE16  
AE17  
AE18  
AE19  
AE20  
AE21  
AE22  
AE23  
AE24  
AE25  
AD7  
VCC  
AD8  
VMV5  
AD9  
VCCIB5  
AD10  
AD11  
AD12  
AD13  
AD14  
AD15  
AD16  
AD17  
AD18  
AD19  
AD20  
AD21  
IO224PPB5V3  
IO218NPB5V3  
IO216PPB5V2  
IO210PPB5V2  
IO202PPB5V1  
IO194PDB5V0  
IO190PDB4V4  
IO182NPB4V3  
IO176NDB4V2  
IO176PDB4V2  
IO170PPB4V2  
IO166PDB4V1  
IO154NPB4V0  
VCC  
TDO  
VMV4  
VCCIB3  
GND  
GNDQ  
4-18  
Revision 13  
IGLOOe Low Power Flash FPGAs  
FG896  
AGLE3000  
FG896  
AGLE3000  
FG896  
AGLE3000  
Pin Number  
AF29  
AF30  
AG1  
Function  
Pin Number  
Function  
Pin Number  
AJ8  
Function  
IO213NDB5V2  
IO213PDB5V2  
IO209NDB5V1  
IO209PDB5V1  
IO203NDB5V1  
IO203PDB5V1  
IO197NDB5V0  
IO195PDB5V0  
IO183NDB4V3  
IO183PDB4V3  
IO179NPB4V3  
IO177PDB4V2  
IO173NDB4V2  
IO173PDB4V2  
IO163NDB4V1  
IO163PDB4V1  
IO167NPB4V1  
VCC  
GNDQ  
AH4  
FF/GEB2/IO232PPB5  
V4  
GND  
AJ9  
AH5  
AH6  
VCCIB5  
IO219NDB5V3  
IO219PDB5V3  
IO227NDB5V4  
IO227PDB5V4  
IO225PPB5V3  
IO223PPB5V3  
IO211NDB5V2  
IO211PDB5V2  
IO205PPB5V1  
IO195NDB5V0  
IO185NDB4V3  
IO185PDB4V3  
IO181PDB4V3  
IO177NDB4V2  
IO171NPB4V2  
IO165PPB4V1  
IO161PPB4V0  
IO157NDB4V0  
IO157PDB4V0  
IO155NDB4V0  
VCCIB4  
IO238NPB6V0  
VCC  
AJ10  
AJ11  
AJ12  
AJ13  
AJ14  
AJ15  
AJ16  
AJ17  
AJ18  
AJ19  
AJ20  
AJ21  
AJ22  
AJ23  
AJ24  
AJ25  
AJ26  
AJ27  
AJ28  
AJ29  
AJ30  
AK2  
AG2  
AH7  
AG3  
IO232NPB5V4  
GND  
AH8  
AG4  
AH9  
AG5  
IO220PPB5V3  
IO228PDB5V4  
IO231NDB5V4  
GEC2/IO231PDB5V4  
IO225NPB5V3  
IO223NPB5V3  
IO221PDB5V3  
IO221NDB5V3  
IO205NPB5V1  
IO199NDB5V0  
IO199PDB5V0  
IO187NDB4V4  
IO187PDB4V4  
IO181NDB4V3  
IO171PPB4V2  
IO165NPB4V1  
IO161NPB4V0  
IO159NDB4V0  
IO159PDB4V0  
IO158PPB4V0  
GDB2/IO155PDB4V0  
GDA2/IO154PPB4V0  
GND  
AH10  
AH11  
AH12  
AH13  
AH14  
AH15  
AH16  
AH17  
AH18  
AH19  
AH20  
AH21  
AH22  
AH23  
AH24  
AH25  
AH26  
AH27  
AH28  
AH29  
AH30  
AJ1  
AG6  
AG7  
AG8  
AG9  
AG10  
AG11  
AG12  
AG13  
AG14  
AG15  
AG16  
AG17  
AG18  
AG19  
AG20  
AG21  
AG22  
AG23  
AG24  
AG25  
AG26  
AG27  
AG28  
AG29  
AG30  
AH1  
IO156NPB4V0  
VCC  
TMS  
GND  
GND  
GND  
TDI  
AK3  
GND  
VCC  
AK4  
IO217PPB5V2  
GND  
VPUMP  
AK5  
GND  
AK6  
IO215PPB5V2  
GND  
GND  
AK7  
AJ2  
GND  
VJTAG  
AK8  
IO207NDB5V1  
IO207PDB5V1  
IO201NDB5V0  
IO201PDB5V0  
IO193NDB4V4  
IO193PDB4V4  
AJ3  
GEA2/IO233PPB5V4  
VCC  
VCC  
AK9  
AJ4  
IO149NDB3V4  
GND  
AK10  
AK11  
AK12  
AK13  
AJ5  
IO217NPB5V2  
VCC  
AJ6  
AH2  
IO233NPB5V4  
VCC  
AJ7  
IO215NPB5V2  
AH3  
Revision 13  
4-19  
Package Pin Assignments  
FG896  
FG896  
AGLE3000  
FG896  
AGLE3000  
AGLE3000  
Pin Number  
AK14  
AK15  
AK16  
AK17  
AK18  
AK19  
AK20  
AK21  
AK22  
AK23  
AK24  
AK25  
AK26  
AK27  
AK28  
AK29  
B1  
Function  
IO197PDB5V0  
IO191NDB4V4  
IO191PDB4V4  
IO189NDB4V4  
IO189PDB4V4  
IO179PPB4V3  
IO175NDB4V2  
IO175PDB4V2  
IO169NDB4V1  
IO169PDB4V1  
GND  
Pin Number  
B20  
B21  
B22  
B23  
B24  
B25  
B26  
B27  
B28  
B29  
B30  
C1  
Function  
IO53PDB1V1  
IO53NDB1V1  
IO61NDB1V2  
IO61PDB1V2  
IO69NPB1V3  
VCC  
Pin Number  
C25  
C26  
C27  
C28  
C29  
C30  
D1  
Function  
IO75PDB1V4  
VCCIB1  
IO64PPB1V2  
VCC  
GBA1/IO81PPB1V4  
GND  
GBC0/IO79NPB1V4  
VCC  
IO303PPB7V3  
VCC  
D2  
IO64NPB1V2  
GND  
D3  
IO305NPB7V3  
GND  
D4  
GND  
D5  
GAA1/IO00PPB0V0  
GAC1/IO02PDB0V0  
IO06NPB0V0  
GAB0/IO01NDB0V0  
IO05NDB0V0  
IO11NDB0V1  
IO11PDB0V1  
IO23NDB0V2  
IO23PDB0V2  
IO27PDB0V3  
IO40PDB0V4  
IO47NDB1V0  
IO47PDB1V0  
IO55NPB1V1  
IO65NDB1V3  
IO65PDB1V3  
IO71NDB1V3  
IO71PDB1V3  
IO73NDB1V4  
IO73PDB1V4  
IO74NDB1V4  
GBB0/IO80NPB1V4  
GND  
IO167PPB4V1  
GND  
GND  
D6  
C2  
IO309NPB7V4  
VCC  
D7  
GDC2/IO156PPB4V0  
GND  
C3  
D8  
C4  
GAA0/IO00NPB0V0  
VCCIB0  
D9  
GND  
C5  
D10  
D11  
D12  
D13  
D14  
D15  
D16  
D17  
D18  
D19  
D20  
D21  
D22  
D23  
D24  
D25  
D26  
D27  
D28  
D29  
GND  
C6  
IO03PDB0V0  
IO03NDB0V0  
GAB1/IO01PDB0V0  
IO05PDB0V0  
IO15NPB0V1  
IO25NDB0V3  
IO25PDB0V3  
IO31NPB0V3  
IO27NDB0V3  
IO39NDB0V4  
IO39PDB0V4  
IO55PPB1V1  
IO51PDB1V1  
IO59NDB1V2  
IO63NDB1V2  
IO63PDB1V2  
IO67NDB1V3  
IO67PDB1V3  
IO75NDB1V4  
B2  
GND  
C7  
B3  
GAA2/IO309PPB7V4  
VCC  
C8  
B4  
C9  
B5  
IO14PPB0V1  
VCC  
C10  
C11  
C12  
C13  
C14  
C15  
C16  
C17  
C18  
C19  
C20  
C21  
C22  
C23  
C24  
B6  
B7  
IO07PPB0V0  
IO09PDB0V1  
IO15PPB0V1  
IO19NDB0V2  
IO19PDB0V2  
IO29NDB0V3  
IO29PDB0V3  
IO31PPB0V3  
IO37NDB0V4  
IO37PDB0V4  
IO41PDB1V0  
IO51NDB1V1  
IO59PDB1V2  
B8  
B9  
B10  
B11  
B12  
B13  
B14  
B15  
B16  
B17  
B18  
GBA0/IO81NPB1V4  
VCC  
B19  
4-20  
Revision 13  
IGLOOe Low Power Flash FPGAs  
FG896  
AGLE3000  
FG896  
AGLE3000  
FG896  
AGLE3000  
Pin Number  
D30  
E1  
Function  
GBA2/IO82PPB2V0  
GND  
Pin Number  
F5  
Function  
Pin Number  
G7  
Function  
VMV7  
VCC  
F5  
VMV7  
G8  
VMV0  
E2  
IO303NPB7V3  
VCCIB7  
F6  
GND  
G9  
VCCIB0  
E3  
F7  
GNDQ  
G10  
G11  
G12  
G13  
G14  
G15  
G16  
G17  
G18  
G19  
G20  
G21  
G22  
G23  
G24  
G25  
G25  
G26  
G27  
G28  
G29  
G30  
H1  
IO10NDB0V1  
IO16NDB0V1  
IO22PDB0V2  
IO26PPB0V3  
IO38NPB0V4  
IO36NDB0V4  
IO46NDB1V0  
IO46PDB1V0  
IO56NDB1V1  
IO56PDB1V1  
IO66NDB1V3  
IO66PDB1V3  
VCCIB1  
E4  
IO305PPB7V3  
VCC  
F8  
IO12NDB0V1  
IO12PDB0V1  
IO10PDB0V1  
IO16PDB0V1  
IO22NDB0V2  
IO30NDB0V3  
IO30PDB0V3  
IO36PDB0V4  
IO48NDB1V0  
IO48PDB1V0  
IO50NDB1V1  
IO58NDB1V2  
IO60PDB1V2  
IO77NDB1V4  
IO72NDB1V3  
IO72PDB1V3  
GNDQ  
E5  
F9  
E6  
GAC0/IO02NDB0V0  
VCCIB0  
F10  
F11  
F12  
F13  
F14  
F15  
F16  
F17  
F18  
F19  
F20  
F21  
F22  
F23  
F24  
F25  
F26  
F26  
F27  
F28  
F29  
F30  
G1  
E7  
E8  
IO06PPB0V0  
IO24NDB0V2  
IO24PDB0V2  
IO13NDB0V1  
IO13PDB0V1  
IO34NDB0V4  
IO34PDB0V4  
IO40NDB0V4  
IO49NDB1V1  
IO49PDB1V1  
IO50PDB1V1  
IO58PDB1V2  
IO60NDB1V2  
IO77PDB1V4  
IO68NDB1V3  
IO68PDB1V3  
VCCIB1  
E9  
E10  
E11  
E12  
E13  
E14  
E15  
E16  
E17  
E18  
E19  
E20  
E21  
E22  
E23  
E24  
E25  
E26  
E27  
E28  
E29  
E30  
F1  
VMV1  
VCC  
GNDQ  
GNDQ  
VCCIB2  
GND  
IO86NDB2V0  
IO92NDB2V1  
IO100PPB2V2  
GND  
VMV2  
VMV2  
IO86PDB2V0  
IO92PDB2V1  
VCC  
IO74PDB1V4  
VCC  
IO294PDB7V2  
IO294NDB7V2  
IO300NDB7V3  
IO300PDB7V3  
IO295PDB7V2  
IO299PDB7V3  
VCOMPLA  
GND  
H2  
GBB1/IO80PPB1V4  
VCCIB2  
IO100NPB2V2  
GND  
H3  
H4  
IO82NPB2V0  
GND  
G2  
IO296NPB7V2  
IO306NDB7V4  
IO297NDB7V2  
VCCIB7  
H5  
G3  
H6  
IO296PPB7V2  
VCC  
G4  
H7  
F2  
G5  
H8  
F3  
IO306PDB7V4  
IO297PDB7V2  
G6  
GNDQ  
H9  
IO08NDB0V0  
IO08PDB0V0  
F4  
G6  
GNDQ  
H10  
Revision 13  
4-21  
Package Pin Assignments  
FG896  
FG896  
AGLE3000  
FG896  
AGLE3000  
AGLE3000  
Pin Number  
H11  
H12  
H13  
H14  
H15  
H16  
H17  
H18  
H19  
H20  
H21  
H22  
H23  
H24  
H25  
H26  
H27  
H28  
H29  
H30  
J1  
Function  
IO18PDB0V2  
IO26NPB0V3  
IO28NDB0V3  
IO28PDB0V3  
IO38PPB0V4  
IO42NDB1V0  
IO52NDB1V1  
IO52PDB1V1  
IO62NDB1V2  
IO62PDB1V2  
IO70NDB1V3  
IO70PDB1V3  
GND  
Pin Number  
J16  
J17  
J18  
J19  
J20  
J21  
J22  
J23  
J24  
J25  
J26  
J27  
J28  
J29  
J30  
K1  
Function  
IO42PDB1V0  
IO44NDB1V0  
IO44PDB1V0  
IO54NDB1V1  
IO54PDB1V1  
IO76NPB1V4  
VCC  
Pin Number  
K21  
K22  
K23  
K24  
K25  
K26  
K27  
K28  
K29  
K30  
L1  
Function  
VCC  
IO78PPB1V4  
IO88NDB2V0  
IO88PDB2V0  
IO94PDB2V1  
IO94NDB2V1  
IO85PDB2V0  
IO85NDB2V0  
IO93PDB2V1  
IO93NDB2V1  
IO286NDB7V1  
IO286PDB7V1  
IO298NDB7V3  
IO298PDB7V3  
IO283PDB7V1  
IO291NDB7V2  
IO291PDB7V2  
IO293PDB7V2  
IO293NDB7V2  
IO307NPB7V4  
VCC  
VCCPLB  
VCCIB2  
IO90PDB2V1  
IO90NDB2V1  
GBB2/IO83PDB2V0  
IO83NDB2V0  
IO91PDB2V1  
IO91NDB2V1  
IO288NDB7V1  
IO288PDB7V1  
IO304NDB7V3  
IO304PDB7V3  
GAB2/IO308PDB7V4  
IO308NDB7V4  
IO301PDB7V3  
IO301NDB7V3  
GAC2/IO307PPB7V4  
VCC  
L2  
L3  
VCOMPLB  
L4  
GBC2/IO84PDB2V0  
IO84NDB2V0  
IO96PDB2V1  
IO96NDB2V1  
IO89PDB2V0  
IO89NDB2V0  
IO290NDB7V2  
IO290PDB7V2  
IO302NDB7V3  
IO302PDB7V3  
IO295NDB7V2  
IO299NDB7V3  
VCCIB7  
L5  
L6  
K2  
L7  
K3  
L8  
K4  
L9  
K5  
L10  
L11  
L12  
L13  
L14  
L15  
L16  
L17  
L18  
L19  
L20  
L21  
L22  
L23  
L24  
L25  
K6  
J2  
K7  
VCC  
J3  
K8  
VCC  
J4  
K9  
VCC  
J5  
K10  
K11  
K12  
K13  
K14  
K15  
K16  
K17  
K18  
K19  
K20  
VCC  
J6  
IO04PPB0V0  
VCCIB0  
VCC  
J7  
VCC  
J8  
VCCPLA  
VCCIB0  
VCC  
J9  
VCC  
VCCIB0  
VCC  
J10  
J11  
IO04NPB0V0  
IO18NDB0V2  
IO20NDB0V2  
IO20PDB0V2  
IO32NDB0V3  
IO32PDB0V3  
VCCIB0  
VCC  
VCCIB1  
IO78NPB1V4  
IO104NPB2V2  
IO98NDB2V2  
IO98PDB2V2  
IO87PDB2V0  
J12  
J13  
J14  
J15  
VCCIB1  
VCCIB1  
VCCIB1  
IO76PPB1V4  
4-22  
Revision 13  
IGLOOe Low Power Flash FPGAs  
FG896  
AGLE3000  
FG896  
AGLE3000  
FG896  
AGLE3000  
Pin Number  
L26  
Function  
IO87NDB2V0  
IO97PDB2V1  
IO101PDB2V2  
IO103PDB2V2  
IO119NDB3V0  
IO282NDB7V1  
IO282PDB7V1  
IO292NDB7V2  
IO292PDB7V2  
IO283NDB7V1  
IO285PDB7V1  
IO287PDB7V1  
IO289PDB7V1  
IO289NDB7V1  
VCCIB7  
Pin Number  
N1  
Function  
IO276PDB7V0  
IO278PDB7V0  
IO280PDB7V0  
IO284PDB7V1  
IO279PDB7V0  
IO285NDB7V1  
IO287NDB7V1  
IO281NDB7V0  
IO281PDB7V0  
VCCIB7  
Pin Number  
P6  
Function  
GFC1/IO275PDB7V0  
GFC0/IO275NDB7V0  
IO277PDB7V0  
IO277NDB7V0  
VCCIB7  
L27  
N2  
P7  
L28  
N3  
P8  
L29  
N4  
P9  
L30  
N5  
P10  
P11  
P12  
P13  
P14  
P15  
P16  
P17  
P18  
P19  
P20  
P21  
P22  
P23  
P24  
P25  
P26  
P27  
P28  
P29  
P30  
R1  
M1  
N6  
VCC  
M2  
N7  
GND  
M3  
N8  
GND  
M4  
N9  
GND  
M5  
N10  
N11  
N12  
N13  
N14  
N15  
N16  
N17  
N18  
N19  
N20  
N21  
N22  
N23  
N24  
N25  
N26  
N27  
N28  
N29  
N30  
P1  
GND  
M6  
VCC  
GND  
M7  
GND  
GND  
M8  
GND  
GND  
M9  
GND  
GND  
M10  
M11  
M12  
M13  
M14  
M15  
M16  
M17  
M18  
M19  
M20  
M21  
M22  
M23  
M24  
M25  
M26  
M27  
M28  
M29  
M30  
GND  
VCC  
VCC  
GND  
VCCIB2  
GND  
GND  
GCC1/IO112PDB2V3  
IO110PDB2V3  
IO110NDB2V3  
IO109PPB2V3  
IO111NPB2V3  
IO105PDB2V2  
IO105NDB2V2  
GCC2/IO117PDB3V0  
IO117NDB3V0  
GFC2/IO270PDB6V4  
GFB1/IO274PPB7V0  
VCOMPLF  
GND  
GND  
GND  
GND  
GND  
VCC  
GND  
VCCIB2  
GND  
IO106NDB2V3  
IO106PDB2V3  
IO108PDB2V3  
IO108NDB2V3  
IO95NDB2V1  
IO99NDB2V2  
IO99PDB2V2  
IO107PDB2V3  
IO107NDB2V3  
IO276NDB7V0  
IO278NDB7V0  
IO280NDB7V0  
IO284NDB7V1  
IO279NDB7V0  
GND  
GND  
VCC  
VCCIB2  
NC  
R2  
IO104PPB2V2  
IO102PDB2V2  
IO102NDB2V2  
IO95PDB2V1  
IO97NDB2V1  
IO101NDB2V2  
IO103NDB2V2  
IO119PDB3V0  
R3  
R4  
GFA0/IO273NDB6V4  
GFB0/IO274NPB7V0  
IO271NDB6V4  
GFB2/IO271PDB6V4  
IO269PDB6V4  
IO269NDB6V4  
VCCIB7  
R5  
R6  
P2  
R7  
P3  
R8  
P4  
R9  
P5  
R10  
Revision 13  
4-23  
Package Pin Assignments  
FG896  
FG896  
AGLE3000  
FG896  
AGLE3000  
AGLE3000  
Pin Number  
R11  
R12  
R13  
R14  
R15  
R16  
R17  
R18  
R19  
R20  
R21  
R22  
R23  
R24  
R25  
R26  
R27  
R28  
R29  
R30  
T1  
Function  
Pin Number  
T16  
T17  
T18  
T19  
T20  
T21  
T22  
T23  
T24  
T25  
T26  
T27  
T28  
T29  
T30  
U1  
Function  
Pin Number  
U21  
U22  
U23  
U24  
U25  
U26  
U27  
U28  
U29  
U30  
V1  
Function  
VCC  
GND  
VCCIB3  
GND  
GND  
IO120PDB3V0  
IO128PDB3V1  
IO124PDB3V1  
IO124NDB3V1  
IO126PDB3V1  
IO129PDB3V1  
IO127PDB3V1  
IO125PDB3V1  
IO121NDB3V0  
IO268NDB6V4  
IO262PDB6V3  
IO260PDB6V3  
IO252PDB6V2  
IO257NPB6V2  
IO261NPB6V3  
IO255PDB6V2  
IO259PDB6V3  
IO259NDB6V3  
VCCIB6  
GND  
GND  
GND  
GND  
GND  
VCC  
GND  
VCCIB3  
GND  
IO109NPB2V3  
IO116NDB3V0  
IO118NDB3V0  
IO122NPB3V1  
GCA1/IO114PPB3V0  
GCB0/IO113NPB2V3  
GCA2/IO115PPB3V0  
VCCPLC  
GND  
GND  
VCC  
VCCIB2  
GCC0/IO112NDB2V3  
GCB2/IO116PDB3V0  
IO118PDB3V0  
IO111PPB2V3  
IO122PPB3V1  
GCA0/IO114NPB3V0  
VCOMPLC  
GCB1/IO113PPB2V3  
IO115NPB3V0  
IO270NDB6V4  
VCCPLF  
V2  
V3  
V4  
IO121PDB3V0  
IO268PDB6V4  
IO264NDB6V3  
IO264PDB6V3  
IO258PDB6V3  
IO258NDB6V3  
IO257PPB6V2  
IO261PPB6V3  
IO265NDB6V3  
IO263NDB6V3  
VCCIB6  
V5  
V6  
U2  
V7  
U3  
V8  
U4  
V9  
U5  
V10  
V11  
V12  
V13  
V14  
V15  
V16  
V17  
V18  
V19  
V20  
V21  
V22  
V23  
V24  
V25  
U6  
VCC  
T2  
U7  
GND  
T3  
GFA2/IO272PPB6V4  
GFA1/IO273PDB6V4  
IO272NPB6V4  
IO267NDB6V4  
IO267PDB6V4  
IO265PDB6V3  
IO263PDB6V3  
VCCIB6  
U8  
GND  
T4  
U9  
GND  
T5  
U10  
U11  
U12  
U13  
U14  
U15  
U16  
U17  
U18  
U19  
U20  
GND  
T6  
VCC  
GND  
T7  
GND  
GND  
T8  
GND  
GND  
T9  
GND  
GND  
T10  
T11  
T12  
T13  
T14  
T15  
GND  
VCC  
VCC  
GND  
VCCIB3  
GND  
GND  
IO120NDB3V0  
IO128NDB3V1  
IO132PDB3V2  
IO130PPB3V2  
GND  
GND  
GND  
GND  
GND  
VCC  
4-24  
Revision 13  
IGLOOe Low Power Flash FPGAs  
FG896  
AGLE3000  
FG896  
AGLE3000  
Pin Number  
V26  
Function  
IO126NDB3V1  
IO129NDB3V1  
IO127NDB3V1  
IO125NDB3V1  
IO123PDB3V1  
IO266NDB6V4  
IO262NDB6V3  
IO260NDB6V3  
IO252NDB6V2  
IO251NDB6V2  
IO251PDB6V2  
IO255NDB6V2  
IO249PPB6V1  
IO253PDB6V2  
VCCIB6  
Pin Number  
Y1  
Function  
IO266PDB6V4  
IO250PDB6V2  
IO250NDB6V2  
IO246PDB6V1  
IO247NDB6V1  
IO247PDB6V1  
IO249NPB6V1  
IO245PDB6V1  
IO253NDB6V2  
GEB0/IO235NPB6V0  
VCC  
V27  
Y2  
V28  
Y3  
V29  
Y4  
V30  
Y5  
W1  
Y6  
W2  
Y7  
W3  
Y8  
W4  
Y9  
W5  
Y10  
Y11  
Y12  
Y13  
Y14  
Y15  
Y16  
Y17  
Y18  
Y19  
Y20  
Y21  
Y22  
Y23  
Y24  
Y25  
Y26  
Y27  
Y28  
Y29  
Y30  
W6  
W7  
VCC  
W8  
VCC  
W9  
VCC  
W10  
W11  
W12  
W13  
W14  
W15  
W16  
W17  
W18  
W19  
W20  
W21  
W22  
W23  
W24  
W25  
W26  
W27  
W28  
W29  
W30  
VCC  
VCC  
VCC  
GND  
VCC  
GND  
VCC  
GND  
VCC  
GND  
VCC  
GND  
IO142PPB3V3  
IO134NDB3V2  
IO138NDB3V3  
IO140NDB3V3  
IO140PDB3V3  
IO136PPB3V2  
IO141NDB3V3  
IO135NDB3V2  
IO131NDB3V2  
IO133PDB3V2  
GND  
GND  
GND  
VCC  
VCCIB3  
IO134PDB3V2  
IO138PDB3V3  
IO132NDB3V2  
IO136NPB3V2  
IO130NPB3V2  
IO141PDB3V3  
IO135PDB3V2  
IO131PDB3V2  
IO123NDB3V1  
Revision 13  
4-25  
5 – Datasheet Information  
List of Changes  
The following table lists critical changes that were made in each revision of the IGLOOe datasheet.  
Revision  
Changes  
Page  
Revision 13  
The "IGLOOe Ordering Information" section has been updated to mention "Y" as "Blank"  
III  
(December 2012) mentioning "Device Does Not Include License to Implement IP Based on the  
Cryptography Research, Inc. (CRI) Patent Portfolio" (SAR 43176).  
Also added the missing heading ’Supply Voltage’ under V2.  
The note in Table 2-143 • IGLOOe CCC/PLL Specification and Table 2-144 • IGLOOe 2-91,  
CCC/PLL Specification referring the reader to SmartGen was revised to refer instead to 2-92  
the online help associated with the core (SAR 42568).  
Live at Power-Up (LAPU) has been replaced with ’Instant On’.  
NA  
1-2  
Revision 12  
The "Security" section was modified to clarify that Microsemi does not support  
(September 2012) read-back of programmed data.  
Libero Integrated Design Environment (IDE) was changed to Libero System-on-Chip  
N/A  
(SoC) throughout the document (SAR 40272).  
Revision 11  
(August 2012)  
The drive strength, IOL, and IOH value for 3.3 V GTL and 2.5 V GTL was changed from  
25 mA to 20 mA in the following tables (SAR 37180):  
Table 2-21 • Summary of Maximum and Minimum DC Input and Output Levels,  
Table 2-25 • Summary of I/O Timing Characteristics—Software Default Settings  
Table 2-26 • Summary of I/O Timing Characteristics—Software Default Settings  
Table 2-28 • I/O Output Buffer Maximum Resistances1  
2-20  
2-25  
2-26  
2-28  
2-51  
2-53  
Table 2-73 • Minimum and Maximum DC Input and Output Levels  
Table 2-77 • Minimum and Maximum DC Input and Output Levels  
Also added note stating "Output drive strength is below JEDEC specification." for Tables 2-  
25, 2-26, and 2-28.  
Additionally, the IOL and IOH values for 3.3 V GTL+ and 2.5 V GTL+ were corrected  
from 51 to 35 (for 3.3 V GTL+) and from 40 to 33 (for 2.5 V GTL+) in table Table 2-21  
(SAR 39713).  
In Table 2-117 • Minimum and Maximum DC Input and Output Levels, VIL and VIH were 2-65  
revised so that the maximum is 3.6 V for all listed values of VCCI (SAR 37183).  
The following sentence was removed from the "VMVx I/O Supply Voltage (quiet)"  
section in the "Pin Descriptions and Packaging" section: "Within the package, the VMV  
plane is decoupled from the simultaneous switching noise originating from the output  
buffer VCCI domain" and replaced with “Within the package, the VMV plane biases the  
input stage of the I/Os in the I/O banks” (SAR 38318). The datasheet mentions that  
"VMV pins must be connected to the corresponding VCCI pins" for an ESD  
enhancement.  
3-1  
Revision 13  
5-1  
Datasheet Information  
Revision  
Changes  
Page  
Revision 10  
(April 2012)  
In Table 2-2 • Recommended Operating Conditions 1, VPUMP programming voltage for  
operation was changed from "0 to 3.45 V" to "0 to 3.6 V" (SAR 32256). Values for  
VCCPLL at 1.2–1.5 V DC core supply voltage were changed from "1.14 to 1.26 V" to  
"1.14 to 1.575 V" (SAR 34701).  
2-2  
The tables in the "Quiescent Supply Current" section were updated with revised notes  
on IDD. Table 2-8 • Power Supply State per Mode is new (SARs 34745, 36949).  
2-7  
tDOUT was corrected to tDIN in Figure 2-4 • Input Buffer Timing Model and Delays 2-17  
(example) (SAR 37105).  
"TBD" for 3.3 V LVCMOS Wide Range in Table 2-28 • I/O Output Buffer Maximum 2-28,  
Resistances1 and Table 2-30 • I/O Short Currents IOSH/IOSL was replaced by "Same 2-30  
as regular 3.3 V LVCMOS" (SAR 33855). Values were also added for 1.2 V LVCMOS  
and 1.2 V LVCMOS Wide Range.  
The formulas in the table notes for Table 2-29 • I/O Weak Pull-Up/Pull-Down 2-29  
Resistances were corrected (SAR 34753).  
IOSH and IOSL values were added to 3.3 V LVCMOS Wide Range Table 2-40 • 2-35,  
Minimum and Maximum DC Input and Output Levels, 1.2 V LVCMOS Table 2-64 • 2-47,  
Minimum and Maximum DC Input and Output Levels, and 1.2 V LVCMOS Wide Range 2-48  
Table 2-68 • Minimum and Maximum DC Input and Output Levels (SAR 33855).  
Figure 2-48 • FIFO Read and Figure 2-49 • FIFO Write have been added (SAR 34844). 2-103  
Values for FDDRIMAX and FDDOMAX were added to the tables in the Input DDR "Timing 2-77,2-  
Characteristics" section and Output DDR "Timing Characteristics" section (SAR 34802).  
81  
Minimum pulse width High and Low values were added to the tables in the "Global Tree 2-89  
Timing Characteristics" section. The maximum frequency for global clock parameter  
was removed from these tables because a frequency on the global is only an indication  
of what the global network can do. There are other limiters such as the SRAM, I/Os, and  
PLL. SmartTime software should be used to determine the design frequency (SAR  
36952).  
Revision 9  
(March 2012)  
The "In-System Programming (ISP) and Security" section and "Security" section were I, 1-2  
revised to clarify that although no existing security measures can give an absolute  
guarantee, Microsemi FPGAs implement the best security available in the industry (SAR  
34665).  
The Y security option and Licensed DPA Logo were added to the "IGLOOe Ordering  
Information" section. The trademarked Licensed DPA Logo identifies that a product is  
covered by a DPA counter-measures license from Cryptography Research (SAR  
34725).  
III  
The following sentence was removed from the "Advanced Architecture" section:  
1-3  
"In addition, extensive on-chip programming circuitry allows for rapid, single-voltage  
(3.3 V) programming of IGLOOe devices via an IEEE 1532 JTAG interface" (SAR  
34685).  
The "Specifying I/O States During Programming" section is new (SAR 34696).  
1-7  
2-2  
Values for VCCPLL at 1.5 V DC core supply voltage were changed from "1.4 to 1.6 V" to  
"1.425 to 1.575 V" in Table 2-2 • Recommended Operating Conditions 1 (SAR 32292).  
The reference to guidelines for global spines and VersaTile rows, given in the "Global 2-13  
Clock Contribution—PCLOCK" section, was corrected to the "Spine Architecture"  
section of the Global Resources chapter in the IGLOOe FPGA Fabric User's Guide  
(SAR 34731).  
5-2  
Revision 13  
IGLOOe Low Power Flash FPGAs  
Revision  
Changes  
Page  
Revision 9  
(continued)  
The example in the paragraph above Table 2-31 • Duration of Short Circuit Event before 2-31  
Failure was revised to change the maximum temperature from 110°C to 100°C, with an  
example of six months instead of three months (SAR 32287).  
The notes regarding drive strength in the "Summary of I/O Timing Characteristics – 2-23,  
Default I/O Software Settings" section, "3.3 V LVCMOS Wide Range" section and "1.2 V 2-35,  
LVCMOS Wide Range" section tables were revised for clarification. They now state that 2-48  
the minimum drive strength for the default software configuration when run in wide range  
is ±100 µA. The drive strength displayed in software is supported in normal range only.  
For a detailed I/V curve, refer to the IBIS models (SAR 34766).  
The AC Loading figures in the "Single-Ended I/O Characteristics" section were updated 2-32  
to match tables in the "Summary of I/O Timing Characteristics – Default I/O Software  
Settings" section (SAR 34886).  
The following sentence was deleted from the "2.5 V LVCMOS" section (SAR 34793): "It 2-38  
uses a 5 V–tolerant input buffer and push-pull output buffer."  
Table 2-143 • IGLOOe CCC/PLL Specification and Table 2-144 • IGLOOe CCC/PLL 2-91,  
Specification were updated. A note was added to both tables indicating that when the 2-92  
CCC/PLL core is generated by Microsemi core generator software, not all delay values  
of the specified delay increments are available (SAR 34818).  
The following figures were deleted. Reference was made to a new application note,  
Simultaneous Read-Write Operations in Dual-Port SRAM for Flash-Based cSoCs and  
FPGAs, which covers these cases in detail (SAR 34869).  
Figure 2-46 • Write Access after Write onto Same Address  
Figure 2-47 • Read Access after Write onto Same Address  
Figure 2-48 • Write Access after Read onto Same Address  
2-95,  
2-98,  
2-104,  
The port names in the SRAM "Timing Waveforms", SRAM "Timing Characteristics"  
tables, Figure 2-50 • FIFO Reset, and the FIFO "Timing Characteristics" tables were  
revised to ensure consistency with the software names (SAR 35749).  
2-106  
The "Pin Descriptions and Packaging" chapter is new (SAR 34768).  
3-1  
4-1  
Package names used in the "Package Pin Assignments" section were revised to match  
standards given in Package Mechanical Drawings (SAR 34768)  
July 2010  
The versioning system for datasheets has been changed. Datasheets are assigned a  
revision number that increments each time the datasheet is revised. The "IGLOOe  
Device Status" table on page II indicates the status for each device in the device family.  
N/A  
Revision 13  
5-3  
Datasheet Information  
Revision  
Changes  
Page  
Revision 8 (Nov 2009) The version changed to v2.0 for IGLOOe datasheet chapters, indicating the  
N/A  
datasheet contains information based on final characterization.  
Product Brief v2.0  
The "Pro (Professional) I/O" section was revised to add "Hot-swappable and cold-  
sparing I/Os."  
I
The "Reprogrammable Flash Technology" section was revised to add "250 MHz  
(1.5 V systems) and 160 MHz (1.2 V systems) System Performance."  
I
Definitions of hot-swap and cold-sparing were added to the "Pro I/Os with  
Advanced I/O Standards" section.  
1-7  
N/A  
DC and Switching 3.3 V LVCMOS and 1.2 V LVCMOS Wide Range support was added to the  
Characteristics v2.0  
datasheet. This affects all tables that contained 3.3 V LVCMOS and 1.2 V  
LVCMOS data.  
IIL and IIH input leakage current information was added to all "Minimum and  
Maximum DC Input and Output Levels" tables.  
N/A  
2-2  
Values for 1.2 V wide range DC core supply voltage were added to Table 2-2 •  
Recommended Operating Conditions 1. Table notes regarding 3.3 V wide range  
and the core voltage required for programming were added to the table.  
The data in Table 2-6 • Temperature and Voltage Derating Factors for Timing  
Delays (1.5 V DC core supply voltage) and Table 2-7 • Temperature and Voltage  
Derating Factors for Timing Delays (1.2 V DC core supply voltage) was revised.  
2-6  
3.3 V LVCMOS wide range data was included in Table 2-13 • Summary of I/O 2-9, 2-10  
Input Buffer Power (per pin) – Default I/O Software Settings and Table 2-14 •  
Summary of I/O Output Buffer Power (per pin) – Default I/O Software Settings1.  
Table notes were added in connection with this data.  
The temperature was revised from 110ºC to 100ºC in Table 2-31 • Duration of 2-31, 2-31  
Short Circuit Event before Failure and Table 2-33 • I/O Input Rise Time, Fall Time,  
and Related I/O Reliability*.  
The tables in the "Overview of I/O Performance" section and "Detailed I/O DC 2-20, 2-28  
Characteristics" sectionwere revised to include 3.3 V LVCMOS and 1.2 V  
LVCMOS wide range.  
Most tables were updated in the following sections, revising existing values and  
adding information for 3.3 V and 1.2 V wide range:  
2-32,  
2-51, 2-62  
"Single-Ended I/O Characteristics"  
"Voltage-Referenced I/O Characteristics"  
"Differential I/O Characteristics"  
The value for "Delay range in block: fixed delay" was revised in Table 2-143 • 2-91, 2-92  
IGLOOe CCC/PLL Specification and Table 2-144  
Specification.  
• IGLOOe CCC/PLL  
The timing characteristics tables for RAM4K9 and RAM512X18 were updated,  
including renaming of the address collision parameters.  
2-98 –  
2-101  
Revision 7 (Apr 2009) The –F speed grade is no longer offered for IGLOOe devices and was removed  
III, IV  
from the documentation. The speed grade column and note regarding –F speed  
grade were removed from "IGLOOe Ordering Information". The "Speed Grade  
and Temperature Grade Matrix" section was removed.  
Product Brief v1.4  
DC and Switching  
Characteristics  
Advance v0.4  
5-4  
Revision 13  
IGLOOe Low Power Flash FPGAs  
Revision  
Changes  
Page  
Revision 6 (Feb 2009) The "Pro (Professional) I/O" section was revised to add two bullets regarding  
I
wide range power supply voltage support.  
Product Brief v1.3  
3.0 V was added to the list of supported voltages in the "Pro I/Os with Advanced  
I/O Standards" section. The "Wide Range I/O Support" section is new.  
1-7  
I
Revision 5 (Oct 2008) The Quiescent Current values in Table 1 • IGLOOe Product Family table were  
updated.  
Product Brief v1.2  
Revision 4 (Jul 2008) As a result of the Libero IDE v8.4 release, Actel now offers a wide range of core  
N/A  
voltage support. The document was updated to change 1.2 V / 1.5 V to 1.2 V to  
1.5 V.  
Product Brief v1.1  
DC and Switching  
Characteristics  
Advance v0.3  
Revision 3 (Jun 2008) Tables have been updated to reflect default values in the software. The default  
N/A  
I/O capacitance is 5 pF. Tables have been updated to include the LVCMOS 1.2 V  
I/O set.  
DC and Switching  
Characteristics  
Advance v0.2  
DDR Tables have two additional data points added to reflect both edges for Input  
DDR setup and hold time.  
The power data table has been updated to match SmartPower data rather then  
simulation values.  
Table 2-144 • IGLOOe CCC/PLL Specification was updated to add VMV to the  
VCCI parameter row and remove the word "output" from the parameter  
description for VCCI. Table note 3 was added.  
2-92  
Table 2-2 • Recommended Operating Conditions 1 was updated to include the TJ  
parameter. Table note 9 is new.  
2-2  
2-3  
In Table 2-3 • Flash Programming Limits – Retention, Storage, and Operating  
Temperature1, the maximum operating junction temperature was changed from  
110° to 100°.  
VMV was removed from Table 2-4 • Overshoot and Undershoot Limits 1, 3. The  
title of the table was revised to remove "as measured on quiet I/Os." Table note 2  
was revised to remove "estimated SSO density over cycles." Table note 3 was  
deleted.  
2-3  
The "PLL Behavior at Brownout Condition" section is new.  
2-4  
2-5  
Figure 2-2 • V2 Devices – I/O State as a Function of VCCI and VCC Voltage  
Levels is new.  
EQ 2 was updated. The temperature was changed to 100°C, and therefore the  
end result changed.  
2-6  
2-7  
The table notes for Table 2-9 • Quiescent Supply Current (IDD), IGLOOe  
Flash*Freeze Mode*, Table 2-10  
Quiescent Supply Current (IDD)  
Characteristics, IGLOOe Sleep Mode*, and Table 2-11 • Quiescent Supply  
Current (IDD) Characteristics, IGLOOe Shutdown Mode* were updated to  
remove VMV and include PDC6 and PDC7. VCCI and VJTAG were removed  
from the statement about IDD in the table note for Table 2-11 • Quiescent Supply  
Current (IDD) Characteristics, IGLOOe Shutdown Mode*.  
Note 2 of Table 2-12 • Quiescent Supply Current (IDD) Characteristics, No  
Flash*Freeze Mode1 was updated to include VCCPLL. Note 4 was updated to  
include PDC6 and PDC7.  
2-8  
2-9  
Table note 3 was added to Table 2-13 • Summary of I/O Input Buffer Power (per  
pin) – Default I/O Software Settings and referenced for 1.2 V LVCMOS.  
Revision 13  
5-5  
Datasheet Information  
Revision  
Changes  
Page  
Revision 3 (cont’d)  
Table 2-14 • Summary of I/O Output Buffer Power (per pin) – Default I/O Software  
Settings1 was updated to change PDC3 to PDC7. The table notes were updated  
to reflect that power was measured on VCCI. Table note 4 is new.  
2-10  
Table 2-16 • Different Components Contributing to the Static Power Consumption 2-11, 2-12  
in IGLOO Devices and Table 2-18 • Different Components Contributing to the  
Static Power Consumption in IGLOO Devices were updated to add PDC6 and  
PDC7, and to change the definition for PDC5 to bank quiescent power.  
A table subtitle was added for Table 2-18 • Different Components Contributing to  
the Static Power Consumption in IGLOO Devices.  
2-12  
2-13  
2-14  
The "Total Static Power Consumption—PSTAT" section was updated to revise the  
calculation of PSTAT, including PDC6 and PDC7.  
Footnote 1 was updated to include information about PAC13. The PLL  
Contribution equation was changed from: PPLL = PAC13 + PAC14 * FCLKOUT to  
PPLL = PDC4 + PAC13 * FCLKOUT.  
The "Timing Model" was updated to be consistent with the revised timing  
numbers.  
2-16  
2-22  
2-22  
N/A  
In Table 2-22 • Summary of Maximum and Minimum DC Input Levels, TJ was  
changed to TA in notes 1 and 2.  
Table 2-22 • Summary of Maximum and Minimum DC Input Levels was updated  
to included a hysteresis value for 1.2 V LVCMOS (Schmitt trigger mode).  
All AC Loading figures for single-ended I/O standards were changed from  
Datapaths at 35 pF to 5 pF.  
The "1.2 V LVCMOS (JESD8-12A)" section is new.  
2-47  
N/A  
Revision 2 (Jun 2008) The product brief section of the datasheet was divided into two sections and  
given a version number, starting at v1.0. The first section of the document  
includes features, benefits, ordering information, and temperature and speed  
grade offerings. The second section is a device family overview.  
Product Brief v1.0  
Revision 2 (cont’d)  
The naming conventions changed for the following pins in the "FG484" for the  
A3GLE600:  
4-6  
Packaging v1.1  
Pin Number  
New Function Name  
IO45PPB2V1  
J19  
K20  
M2  
N1  
IO45NPB2V1  
IO114NPB6V1  
IO114PPB6V1  
N4  
GFC2/IO115PPB6V1  
IO115NPB6V1  
P3  
Revision 1 (Mar 2008) The "Low Power" section was updated to change "1.2 V and 1.5 V Core Voltage"  
I
to "1.2 V and 1.5 V Core and I/O Voltage." The text "(from 25 µW)" was removed  
from "Low Power Active FPGA Operation."  
Product Brief rev. 1  
1.2_V was added to the list of core and I/O voltages in the "Pro (Professional) I/O"  
and "Pro I/Os with Advanced I/O Standards" section sections.  
I, 1-7  
N/A  
Revision 0 (Jan 2008) This document was previously in datasheet Advance v0.4. As a result of moving  
to the handbook format, Actel has restarted the version numbers. The new  
version number is 51700096-001-0.  
5-6  
Revision 13  
IGLOOe Low Power Flash FPGAs  
Revision  
Changes  
Page  
Advance v0.4  
(December 2007)  
The Table 1 • IGLOOe Product Family table was updated to change the maximum  
number of user I/Os for AGLE3000.  
I
The "IGLOOe FPGAs Package Sizes Dimensions" table table is new. Package  
dimensions were removed from the "I/Os Per Package1" table. The number of  
I/Os was updated for FG896.  
II  
A note regarding marking information was added to the "IGLOOe Ordering  
Information" table.  
III  
Table 2-4 • IGLOOe CCC/PLL Specification and Table 2-5 • IGLOOe CCC/PLL  
Specification were updated.  
2-18,  
2-19  
The "During Flash*Freeze Mode" section was updated to include information  
about the output of the I/O to the FPGA core.  
2-60  
2-56  
2-64  
2-58  
3-6  
Figure 2-38 • Flash*Freeze Mode Type 1 – Timing Diagram was updated to  
modify the LSICC signal.  
Table 2-32 • Flash*Freeze Pin Location in IGLOOe Family Packages (device-  
independent) was updated for the FG896 package.  
Figure 2-40 • Flash*Freeze Mode Type 2 – Timing Diagram was updated to  
modify the LSICC Signal.  
Information regarding calculation of the quiescent supply current was added to  
the "Quiescent Supply Current" section.  
Table 3-8 • Quiescent Supply Current (IDD), IGLOOe Flash*Freeze Mode† was  
updated.  
3-6  
Table 3-9 • Quiescent Supply Current (IDD), IGLOOe Sleep Mode (VCC = 0 V)†  
was updated.  
3-6  
Table 3-11 • Quiescent Supply Current, No IGLOOe Flash*Freeze Mode1 was  
updated.  
3-6  
Table 3-99 • Minimum and Maximum DC Input and Output Levels was updated.  
Table 3-136 • JTAG 1532 and Table 3-135 • JTAG 1532 were updated.  
The "484-Pin FBGA" table for AGLE3000 is new.  
3-51  
3-95  
4-11  
4-16  
The "896-Pin FBGA" package and table for AGLE3000 is new.  
Advance v0.3  
(September 2007)  
Cortex-M1 device information was added to the Table 1 • IGLOOe Product Family I, II, III, IV  
table, the "I/Os Per Package 1" table, "IGLOOe Ordering Information", and  
"Temperature Grade Offerings".  
Advance v0.2  
The words "ambient temperature" were added to the temperature range in the  
"IGLOOe Ordering Information", "Temperature Grade Offerings", and "Speed  
Grade and Temperature Grade Matrix" sections.  
III, IV  
The TJ parameter in Table 3-2 • Recommended Operating Conditions was  
changed to TA, ambient temperature, and table notes 6–8 were added.  
3-2  
Revision 13  
5-7  
Datasheet Information  
Datasheet Categories  
Categories  
In order to provide the latest information to designers, some datasheet parameters are published before  
data has been fully characterized from silicon devices. The data provided for a given device, as  
highlighted in the "IGLOOe Device Status" table, is designated as either "Product Brief," "Advance,"  
"Preliminary," or "Production." The definitions of these categories are as follows:  
Product Brief  
The product brief is a summarized version of a datasheet (advance or production) and contains general  
product information. This document gives an overview of specific device and family information.  
Advance  
This version contains initial estimated information based on simulation, other products, devices, or speed  
grades. This information can be used as estimates, but not for production. This label only applies to the  
DC and Switching Characteristics chapter of the datasheet and will only be used when the data has not  
been fully characterized.  
Preliminary  
The datasheet contains information based on simulation and/or initial characterization. The information is  
believed to be correct, but changes are possible.  
Production  
This version contains information that is considered to be final.  
Export Administration Regulations (EAR)  
The products described in this document are subject to the Export Administration Regulations (EAR).  
They could require an approved export license prior to export from the United States. An export includes  
release of product or disclosure of technology to a foreign national inside or outside the United States.  
Safety Critical, Life Support, and High-Reliability Applications  
Policy  
The products described in this advance status document may not have completed the Microsemi  
qualification process. Products may be amended or enhanced during the product introduction and  
qualification process, resulting in changes in device functionality or performance. It is the responsibility of  
each customer to ensure the fitness of any product (but especially a new product) for a particular  
purpose, including appropriateness for safety-critical, life-support, and other high-reliability applications.  
Consult the Microsemi SoC Products Group Terms and Conditions for specific liability exclusions relating  
to life-support applications. A reliability report covering all of the SoC Products Group’s products is  
available at http://www.microsemi.com/soc/documents/ORT_Report.pdf. Microsemi also offers a variety  
of enhanced qualification and lot acceptance screening procedures. Contact your local sales office for  
additional reliability information.  
5-8  
Revision 13  
Microsemi Corporation (NASDAQ: MSCC) offers a comprehensive portfolio of semiconductor  
solutions for: aerospace, defense and security; enterprise and communications; and industrial  
and alternative energy markets. Products include high-performance, high-reliability analog and  
RF devices, mixed signal and RF integrated circuits, customizable SoCs, FPGAs, and  
complete subsystems. Microsemi is headquartered in Aliso Viejo, Calif. Learn more at  
www.microsemi.com.  
Microsemi Corporate Headquarters  
One Enterprise, Aliso Viejo CA 92656 USA  
Within the USA: +1 (949) 380-6100  
Sales: +1 (949) 380-6136  
© 2012 Microsemi Corporation. All rights reserved. Microsemi and the Microsemi logo are trademarks of  
Microsemi Corporation. All other trademarks and service marks are the property of their respective owners.  
Fax: +1 (949) 215-4996  
51700096-13/12.12  

相关型号:

SI9130DB

5- and 3.3-V Step-Down Synchronous Converters

Warning: Undefined variable $rtag in /www/wwwroot/website_ic37/www.icpdf.com/pdf/pdf/index.php on line 217
-
VISHAY

SI9135LG-T1

SMBus Multi-Output Power-Supply Controller

Warning: Undefined variable $rtag in /www/wwwroot/website_ic37/www.icpdf.com/pdf/pdf/index.php on line 217
-
VISHAY

SI9135LG-T1-E3

SMBus Multi-Output Power-Supply Controller

Warning: Undefined variable $rtag in /www/wwwroot/website_ic37/www.icpdf.com/pdf/pdf/index.php on line 217
-
VISHAY

SI9135_11

SMBus Multi-Output Power-Supply Controller

Warning: Undefined variable $rtag in /www/wwwroot/website_ic37/www.icpdf.com/pdf/pdf/index.php on line 217
-
VISHAY

SI9136_11

Multi-Output Power-Supply Controller

Warning: Undefined variable $rtag in /www/wwwroot/website_ic37/www.icpdf.com/pdf/pdf/index.php on line 217
-
VISHAY

SI9130CG-T1-E3

Pin-Programmable Dual Controller - Portable PCs

Warning: Undefined variable $rtag in /www/wwwroot/website_ic37/www.icpdf.com/pdf/pdf/index.php on line 217
-
VISHAY

SI9130LG-T1-E3

Pin-Programmable Dual Controller - Portable PCs

Warning: Undefined variable $rtag in /www/wwwroot/website_ic37/www.icpdf.com/pdf/pdf/index.php on line 217
-
VISHAY

SI9130_11

Pin-Programmable Dual Controller - Portable PCs

Warning: Undefined variable $rtag in /www/wwwroot/website_ic37/www.icpdf.com/pdf/pdf/index.php on line 217
-
VISHAY

SI9137

Multi-Output, Sequence Selectable Power-Supply Controller for Mobile Applications

Warning: Undefined variable $rtag in /www/wwwroot/website_ic37/www.icpdf.com/pdf/pdf/index.php on line 217
-
VISHAY

SI9137DB

Multi-Output, Sequence Selectable Power-Supply Controller for Mobile Applications

Warning: Undefined variable $rtag in /www/wwwroot/website_ic37/www.icpdf.com/pdf/pdf/index.php on line 217
-
VISHAY

SI9137LG

Multi-Output, Sequence Selectable Power-Supply Controller for Mobile Applications

Warning: Undefined variable $rtag in /www/wwwroot/website_ic37/www.icpdf.com/pdf/pdf/index.php on line 217
-
VISHAY

SI9122E

500-kHz Half-Bridge DC/DC Controller with Integrated Secondary Synchronous Rectification Drivers

Warning: Undefined variable $rtag in /www/wwwroot/website_ic37/www.icpdf.com/pdf/pdf/index.php on line 217
-
VISHAY