MX25L1655DM2I-12G [Macronix]

Flash, 4MX4, PDSO8, 0.200 INCH, ROHS COMPLIANT, SOP-8;
MX25L1655DM2I-12G
型号: MX25L1655DM2I-12G
厂家: MACRONIX INTERNATIONAL    MACRONIX INTERNATIONAL
描述:

Flash, 4MX4, PDSO8, 0.200 INCH, ROHS COMPLIANT, SOP-8

时钟 光电二极管 内存集成电路
文件: 总54页 (文件大小:2304K)
中文:  中文翻译
下载:  下载PDF数据表文档文件
MX25L1655D  
MX25L1655D  
SECURE SERIAL FLASH  
SPECIFICATION  
P/N: PM1430  
REV. 1.3, NOV. 03, 2010  
1
MX25L1655D  
Contents  
FEATURES ................................................................................................................................................. 7  
GENERAL DESCRIPTION ........................................................................................................................ 9  
Table 1. Additional Feature Comparison...................................................................................................................9  
PIN CONFIGURATIONS .......................................................................................................................... 10  
PIN DESCRIPTION................................................................................................................................... 10  
BLOCK DIAGRAM.....................................................................................................................................11  
DATA PROTECTION................................................................................................................................. 12  
Table 2. 512-bit Secured OTP Definition ................................................................................................................13  
Memory Organization.............................................................................................................................. 14  
Table 3. Memory Organization...............................................................................................................................14  
DEVICE OPERATION............................................................................................................................... 15  
Figure 1. Serial Modes Supported..........................................................................................................................15  
COMMAND DESCRIPTION...................................................................................................................... 16  
Table 4. Command Set ...........................................................................................................................................16  
(1) Write Enable (WREN) .......................................................................................................................................18  
(2) Write Disable (WRDI)........................................................................................................................................18  
(3) Read Identification (RDID) ................................................................................................................................18  
(4) Read Status Register (RDSR)...........................................................................................................................18  
(5) Block Write Lock Protection (BLOCKP) ............................................................................................................19  
(6) Read Block Write Lock status (RDBLOCK).......................................................................................................19  
(7) Chip Unprotect (UNLOCK)................................................................................................................................20  
(8) Read Data Bytes (READ)..................................................................................................................................20  
(9) Read Data Bytes at Higher Speed (FAST_READ)............................................................................................20  
(10) 2 x I/O Read Mode (2READ)...........................................................................................................................20  
(11) Dual Read Mode (DREAD)..............................................................................................................................21  
(12) 4 x I/O Read Mode (4READ)...........................................................................................................................21  
(13) Quad Read Mode (QREAD)............................................................................................................................22  
(14) Sector Erase (SE) ...........................................................................................................................................22  
(15) Block Erase (BE).............................................................................................................................................22  
(16) Chip Erase (CE) ..............................................................................................................................................23  
(17) Page Program (PP).........................................................................................................................................23  
(18) 4 x I/O Page Program (4PP) ...........................................................................................................................23  
(19) Continuously program mode (CP mode).........................................................................................................24  
(20) Deep Power-down (DP) ..................................................................................................................................24  
(21) Release from Deep Power-down (RDP), Read Electronic Signature (RES)................................................... 25  
(22) Read Electronic Manufacturer ID & Device ID (REMS), (REMS2), (REMS4)................................................. 25  
Table 5. ID Definitions ............................................................................................................................................26  
P/N: PM1430  
REV. 1.3, NOV. 03, 2010  
2
MX25L1655D  
(23) Enter Secured OTP (ENSO) ...........................................................................................................................26  
(24) Exit Secured OTP (EXSO) ..............................................................................................................................26  
(25) Read Security Register (RDSCUR).................................................................................................................27  
Table 6. Security Register Definition.......................................................................................................................27  
(26) Write Security Register (WRSCUR)................................................................................................................27  
POWER-ON STATE.................................................................................................................................. 28  
ELECTRICAL SPECIFICATIONS............................................................................................................. 29  
ABSOLUTE MAXIMUM RATINGS .........................................................................................................................29  
Figure 2.Maximum Negative Overshoot Waveform................................................................................................29  
CAPACITANCE TA = 25°C, f = 1.0 MHz.................................................................................................................29  
Figure 3. Maximum Positive Overshoot Waveform.................................................................................................29  
Figure 4. INPUT TEST WAVEFORMS AND MEASUREMENT LEVEL.................................................................. 30  
Figure 5. OUTPUT LOADING................................................................................................................................30  
Table 7. DC CHARACTERISTICS (Temperature = -40°C to 85°C for Industrial grade, VCC = 2.7V ~ 3.6V) ....... 31  
Table 8. AC CHARACTERISTICS (Temperature = -40°C to 85°C for Industrial grade, VCC = 2.7V ~ 3.6V) ....... 32  
Timing Analysis....................................................................................................................................... 33  
Figure 6. Serial Input Timing...................................................................................................................................33  
Figure 7. Output Timing ..........................................................................................................................................33  
Figure 8. WP# Setup Timing and Hold Timing .......................................................................................................34  
Figure 9. Write Enable (WREN) Sequence (Command 06) ...................................................................................34  
Figure 10. Write Disable (WRDI) Sequence (Command 04)..................................................................................34  
Figure 11. Read Identification (RDID) Sequence (Command 9F) .......................................................................... 35  
Figure 12. Read Status Register (RDSR) Sequence (Command 05)..................................................................... 35  
Figure 13. Block Write Lock Protection (BLOCKP) Sequence (Command E2)..................................................... 35  
Figure 14. Chip Unprotect (UNLOCK) Sequence (Command F3)......................................................................... 36  
Figure 15. Read Data Bytes (READ) Sequence (Command 03)........................................................................... 36  
Figure 16. Read Block Protection Lock Status (RDBLOCK) Sequence (Command FB)....................................... 36  
Figure 17. Read at Higher Speed (FAST_READ) Sequence (Command 0B)....................................................... 37  
Figure 18. 2 x I/O Read Mode Sequence (Command BB) .....................................................................................38  
Figure 19. Dual Read Mode Sequence (Command 3B).........................................................................................38  
Figure 20. 4 x I/O Read Mode Sequence (Command EB) .....................................................................................39  
Figure 21. Quad Read Mode Sequence (Command 6B)........................................................................................39  
Figure 22. 4 x I/O Read enhance performance Mode Sequence (Command EB) ................................................. 40  
Figure 23. Page Program (PP) Sequence (Command 02)....................................................................................41  
Figure 24. 4 x I/O Page Program (4PP) Sequence (Command 38) ...................................................................... 41  
Figure 25. Continously Program (CP) Mode Sequence with Hardware Detection (Command AD) ....................... 42  
Figure 26. Sector Erase (SE) Sequence (Command 20) ......................................................................................42  
Figure 27. Block Erase (BE) Sequence (Command D8) .......................................................................................42  
Figure 28. Chip Erase (CE) Sequence (Command 60 or C7) ...............................................................................43  
Figure 29. Deep Power-down (DP) Sequence (Command B9)............................................................................. 43  
P/N: PM1430  
REV. 1.3, NOV. 03, 2010  
3
MX25L1655D  
Figure 30. Release from Deep Power-down and Read Electronic Signature (RES) Sequence (Command AB) .. 43  
Figure 31. Release from Deep Power-down (RDP) Sequence (Command AB).................................................... 44  
Figure 32. Read Electronic Manufacturer & Device ID (REMS) Sequence (Command 90 or EF or DF) .............. 44  
Figure 33. Power-up Timing....................................................................................................................................45  
Table 9. Power-Up Timing ......................................................................................................................................45  
INITIAL DELIVERY STATE.....................................................................................................................................45  
OPERATING CONDITIONS...................................................................................................................... 46  
Figure 34. AC Timing at Device Power-Up .............................................................................................................46  
Figure 35. Power-Down Sequence.........................................................................................................................47  
ERASE AND PROGRAMMING PERFORMANCE................................................................................... 48  
DATA RETENTION ................................................................................................................................... 48  
LATCH-UP CHARACTERISTICS............................................................................................................. 48  
ORDERING INFORMATION..................................................................................................................... 49  
PART NAME DESCRIPTION.................................................................................................................... 50  
PACKAGE INFORMATION....................................................................................................................... 51  
REVISION HISTORY ................................................................................................................................ 53  
P/N: PM1430  
REV. 1.3, NOV. 03, 2010  
4
MX25L1655D  
Figures  
Figure 1. Serial Modes Supported..........................................................................................................................15  
Figure 2.Maximum Negative Overshoot Waveform................................................................................................29  
Figure 3. Maximum Positive Overshoot Waveform.................................................................................................29  
Figure 4. INPUT TEST WAVEFORMS AND MEASUREMENT LEVEL.................................................................. 30  
Figure 5. OUTPUT LOADING................................................................................................................................30  
Figure 6. Serial Input Timing...................................................................................................................................33  
Figure 7. Output Timing ..........................................................................................................................................33  
Figure 8. WP# Setup Timing and Hold Timing .......................................................................................................34  
Figure 9. Write Enable (WREN) Sequence (Command 06) ...................................................................................34  
Figure 10. Write Disable (WRDI) Sequence (Command 04)..................................................................................34  
Figure 11. Read Identification (RDID) Sequence (Command 9F) .......................................................................... 35  
Figure 12. Read Status Register (RDSR) Sequence (Command 05)..................................................................... 35  
Figure 13. Block Write Lock Protection (BLOCKP) Sequence (Command E2)..................................................... 35  
Figure 14. Chip Unprotect (UNLOCK) Sequence (Command F3)......................................................................... 36  
Figure 15. Read Data Bytes (READ) Sequence (Command 03)........................................................................... 36  
Figure 16. Read Block Protection Lock Status (RDBLOCK) Sequence (Command FB)....................................... 36  
Figure 17. Read at Higher Speed (FAST_READ) Sequence (Command 0B)....................................................... 37  
Figure 18. 2 x I/O Read Mode Sequence (Command BB) .....................................................................................38  
Figure 19. Dual Read Mode Sequence (Command 3B).........................................................................................38  
Figure 20. 4 x I/O Read Mode Sequence (Command EB) .....................................................................................39  
Figure 21. Quad Read Mode Sequence (Command 6B)........................................................................................39  
Figure 22. 4 x I/O Read enhance performance Mode Sequence (Command EB) ................................................. 40  
Figure 23. Page Program (PP) Sequence (Command 02)....................................................................................41  
Figure 24. 4 x I/O Page Program (4PP) Sequence (Command 38) ...................................................................... 41  
Figure 25. Continously Program (CP) Mode Sequence with Hardware Detection (Command AD) ....................... 42  
Figure 26. Sector Erase (SE) Sequence (Command 20) ......................................................................................42  
Figure 27. Block Erase (BE) Sequence (Command D8) .......................................................................................42  
Figure 28. Chip Erase (CE) Sequence (Command 60 or C7) ...............................................................................43  
Figure 29. Deep Power-down (DP) Sequence (Command B9)............................................................................. 43  
Figure 30. Release from Deep Power-down and Read Electronic Signature (RES) Sequence (Command AB) .. 43  
Figure 31. Release from Deep Power-down (RDP) Sequence (Command AB).................................................... 44  
Figure 32. Read Electronic Manufacturer & Device ID (REMS) Sequence (Command 90 or EF or DF) .............. 44  
Figure 33. Power-up Timing....................................................................................................................................45  
Figure 34. AC Timing at Device Power-Up .............................................................................................................46  
Figure 35. Power-Down Sequence.........................................................................................................................47  
P/N: PM1430  
REV. 1.3, NOV. 03, 2010  
5
MX25L1655D  
Tables  
Table 1. Additional Feature Comparison...................................................................................................................9  
Table 2. 512-bit Secured OTP Definition ................................................................................................................13  
Table 3. Memory Organization...............................................................................................................................14  
Table 4. Command Set ...........................................................................................................................................16  
Table 5. ID Definitions ............................................................................................................................................26  
Table 6. Security Register Definition.......................................................................................................................27  
ABSOLUTE MAXIMUM RATINGS .........................................................................................................................29  
CAPACITANCE TA = 25°C, f = 1.0 MHz.................................................................................................................29  
Table 7. DC CHARACTERISTICS (Temperature = -40°C to 85°C for Industrial grade, VCC = 2.7V ~ 3.6V) ....... 31  
Table 8. AC CHARACTERISTICS (Temperature = -40°C to 85°C for Industrial grade, VCC = 2.7V ~ 3.6V) ....... 32  
Table 9. Power-Up Timing ......................................................................................................................................45  
P/N: PM1430  
REV. 1.3, NOV. 03, 2010  
6
MX25L1655D  
16M-BIT [x 1/x 2/x4] CMOS MXSMIOTM (SERIAL MULTI I/O) FLASH MEMORY  
FEATURES  
GENERAL  
Serial Peripheral Interface compatible -- Mode 0 and Mode 3  
16M:16,777,216 x 1 bit structure or 8,388,608 x 2 bits (two I/O read mode) structure or 4,194,304 x 4 bits (four  
I/O read mode) structure  
512 Equal Sectors with 4K byte each  
- Any Sector can be erased individually  
32 Equal Blocks with 64K byte each  
- Any Block can be erased individually  
Single Power Supply Operation  
- 2.7 to 3.6 volt for read, erase, and program operations  
Latch-up protected to 100mA from -1V to Vcc +1V  
PERFORMANCE  
High Performance  
- Read  
- 1 I/O: 86/104MHz with 8 dummy cycles  
- 4 I/O: 75MHz with 6 dummy cycles for 4READ or 75MHz with 8 dummy cycles for QREAD  
- 2 I/O: 75MHz with 4 dummy cycles for 2READ or 75MHz with 8 dummy cycles for DREAD  
- Fast access time: 86/104MHz serial clock  
- Serial clock of four I/O read mode : 75MHz, which is equivalent to 300MHz  
- Fast program time: 1.4ms(typ.) and 5ms(max.)/page (256-byte per page)  
- Byte program time: 9us (typical)  
- Continuously program mode (automatically increase address under word program mode)  
- Fast erase time: 60ms (typ.)/sector (4K-byte per sector) ; 0.7s(typ.) /block (64K-byte per block); 14s(typ.) /chip  
Low Power Consumption  
- Low active read current: 25mA(max.) at 86/104MHz, 20mA(max.) at 66MHz and 10mA(max.) at 33MHz  
- Low active programming current: 20mA (max.)  
- Low active erase current: 20mA (max.)  
- Low standby current: 20uA (max.)  
Typical 100,000 erase/program cycles  
20 years data retention  
SOFTWARE FEATURES  
Input Data Format  
- 1-byte Command code  
Advanced Security Features  
- Block Write Lock protection  
- Additional 512-bit secured OTP for unique identifier  
- Permanent lock  
- Read protection function  
Auto Erase and Auto Program Algorithm  
Automatically erases and verifies data at selected sector  
Automatically programs and verifies data at selected page by an internal algorithm that automatically times the  
program pulse widths (Any page to be programed should have page in the erased state first)  
-
-
P/N: PM1430  
REV. 1.3, NOV. 03, 2010  
7
MX25L1655D  
Status Register Feature  
Electronic Identification  
JEDEC 1-byte manufacturer ID and 2-byte device ID  
- RES command for 1-byte Device ID  
-
- Both REMS,REMS2 and REMS4 commands for 1-byte manufacturer ID and 1-byte device ID  
HARDWARE FEATURES  
SCLK Input  
Serial clock input  
SI/SIO0  
-
Serial Data Input or Serial Data Input/Output for 2 x I/O read mode and 4 x I/O read mode  
SO/SIO1  
-
Serial Data Output or Serial Data Input/Output for 2 x I/O read mode and 4 x I/O read mode  
WP#/SIO2  
-
Hardware write protection or serial data Input/Output for 4 x I/O read mode  
NC/SIO3  
-
NC pin or serial data Input/Output for 4 x I/O read mode  
PACKAGE  
-
8-pin SOP (200mil)  
- 24-ball BGA  
-
- All devices are RoHS compliant  
Please contact Macronix sales for specific information regarding this Advanced Security Features  
P/N: PM1430  
REV. 1.3, NOV. 03, 2010  
8
MX25L1655D  
GENERAL DESCRIPTION  
The MX25L1655D are 16,777,216 bit serial Flash memory, which is configured as 2,097,152 x 8 internally. When it  
is in two or four I/O read mode, the structure becomes 8,388,608 bits x 2 or 4,194,304 bits x 4. The MX25L1655D  
feature a serial peripheral interface and software protocol allowing operation on a simple 3-wire bus. The three bus  
signals are a clock input (SCLK), a serial data input (SI), and a serial data output (SO). Serial access to the device  
is enabled by CS# input.  
When it is in two I/O read mode, the SI pin and SO pin become SIO0 pin and SIO1 pin for address/dummy bits in-  
put and data output. When it is in four I/O read mode, the SI pin, SO pin, WP# pin and NC pin become SIO0 pin,  
SIO1 pin, SIO2 pin and SIO3 pin for address/dummy bits input and data output.  
The MX25L1655D provides sequential read operation on whole chip.  
After program/erase command is issued, auto program/ erase algorithms which program/ erase and verify the  
specified page or sector/block locations will be executed. Program command is executed on byte basis, or page (256  
bytes) basis, or word basis for Continuously program mode, and erase command is executes on sector (4K-byte),  
or block (64K-byte), or whole chip basis.  
To provide user with ease of interface, a status register is included to indicate the status of the chip. The status read  
command can be issued to detect completion status of a program or erase operation via WIP bit.  
Advanced security features enhance the protection and security functions, please contact Macronix sales for more  
details.  
When the device is not in operation and CS# is high, it is put in standby mode and draws less than 20uA DC cur-  
rent.  
The MX25L1655D utilizes Macronix's proprietary memory cell, which reliably stores memory contents even after  
100,000 program and erase cycles.  
Table 1. Additional Feature Comparison  
Read  
Performance  
Protection and Security  
Identifier  
Additional  
Features  
WP#  
Each Flexible  
2 I/O  
Read  
(75  
4 I/O  
Read  
(75  
REMS/2/4  
(command:  
90/EF/0F  
hex)  
512-bit Hard- Read  
secured ware Protec-  
RES  
(command:  
90 hex)  
RDID  
(command:  
9F hex)  
Perman- Block  
Block  
Part  
ent Lock Protec- Protec-  
Name  
OTP  
Protec-  
tion  
tion  
tion  
tion  
MHz)  
MHz)  
C2 26 15  
(hex)  
C2 24 15  
(hex)  
MX25L1655D  
MX25L1635D  
V
V
V
V
V
V
V
V
V
V
V
26 (hex) C2 26 (hex)  
24 (hex) C2 24 (hex)  
V
P/N: PM1430  
REV. 1.3, NOV. 03, 2010  
9
MX25L1655D  
PIN CONFIGURATIONS  
8-PIN SOP (200mil)  
PIN DESCRIPTION  
SYMBOL  
DESCRIPTION  
CS#  
Chip Select  
Serial Data Input (for 1xI/O)/ Serial Data  
SI/SIO0 Input & Output (for 2xI/O or 4xI/O read  
mode)  
Serial Data Output (for 1xI/O)/Serial  
SO/SIO1 Data Input & Output (for 2xI/O or 4xI/O  
read mode)  
1
2
3
4
CS#  
SO/SIO1  
WP#/SIO2  
GND  
VCC  
8
7
6
5
NC/SIO3  
SCLK  
SI/SIO0  
SCLK  
Clock Input  
Write protection: connect to GND or  
WP#/SIO2 Serial Data Input & Output (for 4xI/O  
read mode)  
NC pin (Not connect) or Serial Data  
Input & Output (for 4xI/O mode)  
NC/SIO3  
VCC  
GND  
+ 3.3V Power Supply  
Ground  
24-ball BGA  
4
3
2
1
NC  
NC  
NC  
NC  
VCC  
GND  
SCLK  
NC  
WP#/SIO2 NC/SIO3  
NC  
NC  
NC  
NC  
NC  
NC  
CS#  
NC  
SI/SIO0  
NC  
NC  
NC  
SO/SIO1  
NC  
A
B
C
D
E
F
P/N: PM1430  
REV. 1.3, NOV. 03, 2010  
10  
MX25L1655D  
BLOCK DIAGRAM  
Address  
Generator  
Memory Array  
Page Buffer  
Data  
Register  
SI/SIO0  
Y-Decoder  
SRAM  
Buffer  
Sense  
Amplifier  
CS#  
WP#/SIO2  
NC/SIO3  
Mode  
Logic  
State  
Machine  
HV  
Generator  
SCLK  
Clock Generator  
Output  
Buffer  
SO/SIO1  
P/N: PM1430  
REV. 1.3, NOV. 03, 2010  
11  
MX25L1655D  
DATA PROTECTION  
The MX25L1655D is designed to offer protection against accidental erasure or programming caused by spurious  
system level signals that may exist during power transition. During power up the device automatically resets the  
state machine in the standby mode. In addition, with its control register architecture, alteration of the memory con-  
tents only occurs after successful completion of specific command sequences. The device also incorporates sev-  
eral features to prevent inadvertent write cycles resulting from VCC power-up and power-down transition or system  
noise.  
• Valid command length checking: The command length will be checked whether it is at byte base and completed  
on byte boundary.  
• Write Enable (WREN) command: WREN command is required to set the Write Enable Latch bit (WEL) before  
other command to change data. The WEL bit will return to reset stage under following situation:  
- Power-up  
- Write Disable (WRDI) command completion  
- Page Program (PP) command completion  
- Continuously Program mode (CP) instruction completion  
- Sector Erase (SE) command completion  
- Block Erase (BE) command completion  
- Chip Erase (CE) command completion  
Deep Power Down Mode: By entering deep power down mode, the flash device also is under protected from  
writing all commands except Release from deep power down mode command (RDP) and Read Electronic Sig-  
nature command (RES).  
Advanced Security Features: there are some protection and securuity features which protect content from inad-  
vertent write and hostile access.  
I. Block Write Lock protection  
- The Software Protected Mode (SPM) use A23-A16 address bits to allow a block (64K Byte) of memory to be  
protected as read only through the Block Write Lock protection command (BLOCKP). This feature allows user to  
unprotect the entice chip through the chip unprotect command (UNLOCK).  
- The Hardware Protected Mode (HPM) use WP#/SIO2 to protect the Block.  
If WP#/SIO2=VIL (input Low), all blocks of memory to be protected as read only.  
If WP#/SIO2=VIH (input High), all blocks depends on whether they were last Lock or Unlock. If the system goes  
into four I/O read mode, the feature of HPM will be disabled.  
P/N: PM1430  
REV. 1.3, NOV. 03, 2010  
12  
MX25L1655D  
II. Additional 512-bit secured OTP for unique identifier: to provide 512-bit one-time program area for setting de-  
vice unique serial number - Which may be set by factory or system customer. Please refer to table 2. 512-bit  
secured OTP definition.  
- Security register bit 0 indicates whether the chip is locked by factory or not.  
- To program the 512-bit secured OTP by entering 512-bit secured OTP mode (with ENSO command), and go-  
ing through normal program procedure, and then exiting 512-bit secured OTP mode by writing EXSO command.  
- Customer may lock-down the customer lockable secured OTP by writing WRSCUR(write security register)  
command to set customer lock-down bit1 as "1". Please refer to table of "security register definition" for security  
register bit definition and table of "512-bit secured OTP definition" for address range definition.  
- Note: Once lock-down whatever by factory or customer, it cannot be changed any more. While in 512-bit se-  
cured OTP mode, array access is not allowed.  
Table 2. 512-bit Secured OTP Definition  
Address range  
xxxx00~xxxx0F  
xxxx10~xxxx3F  
Size  
Standard Factory Lock  
ESN (electrical serial number)  
N/A  
Customer Lock  
128-bit  
384-bit  
Determined by customer  
P/N: PM1430  
REV. 1.3, NOV. 03, 2010  
13  
MX25L1655D  
Memory Organization  
Table 3. Memory Organization  
Block  
Sector  
511  
:
Address Range  
1FF000h 1FFFFFh  
Block  
Sector  
239  
:
Address Range  
0EF000h 0EFFFFh  
31  
:
:
14  
:
:
496  
495  
:
480  
479  
:
464  
463  
:
448  
447  
:
432  
431  
:
416  
415  
:
400  
399  
:
384  
383  
:
368  
367  
:
352  
351  
:
336  
335  
:
320  
319  
:
304  
303  
:
288  
287  
:
272  
271  
:
256  
255  
:
1F0000h  
1EF000h  
:
1E0000h  
1DF000h  
:
1D0000h  
1CF000h  
:
1C0000h  
1BF000h  
:
1B0000h  
1AF000h  
:
1A0000h  
19F000h  
:
190000h  
18F000h  
:
180000h  
17F000h  
:
170000h  
16F000h  
:
160000h  
15F000h  
:
150000h  
14F000h  
:
140000h  
13F000h  
:
130000h  
12F000h  
:
120000h  
11F000h  
:
110000h  
10F000h  
:
100000h  
0FF000h  
:
1F0FFFh  
1EFFFFh  
:
1E0FFFh  
1DFFFFh  
:
1D0FFFh  
1CFFFFh  
:
1C0FFFh  
1BFFFFh  
:
1B0FFFh  
1AFFFFh  
:
1A0FFFh  
19FFFFh  
:
190FFFh  
18FFFFh  
:
180FFFh  
17FFFFh  
:
170FFFh  
16FFFFh  
:
160FFFh  
15FFFFh  
:
150FFFh  
14FFFFh  
:
140FFFh  
13FFFFh  
:
130FFFh  
12FFFFh  
:
120FFFh  
11FFFFh  
:
110FFFh  
10FFFFh  
:
100FFFh  
0FFFFFh  
:
224  
223  
:
208  
207  
:
192  
191  
:
176  
175  
:
160  
159  
:
144  
143  
:
128  
127  
:
112  
111  
:
96  
95  
:
80  
79  
:
64  
63  
:
48  
47  
:
32  
31  
:
16  
15  
:
0E0000h  
0DF000h  
:
0D0000h  
0CF000h  
:
0C0000h  
0BF000h  
:
0B0000h  
0AF000h  
:
0A0000h  
09F000h  
:
090000h  
08F000h  
:
080000h  
07F000h  
:
070000h  
06F000h  
:
060000h  
05F000h  
:
050000h  
04F000h  
:
040000h  
03F000h  
:
030000h  
02F000h  
:
020000h  
01F000h  
:
010000h  
00F000h  
:
003000h  
002000h  
001000h  
000000h  
0E0FFFh  
0DFFFFh  
:
0D0FFFh  
0CFFFFh  
:
0C0FFFh  
0BFFFFh  
:
0B0FFFh  
0AFFFFh  
:
0A0FFFh  
09FFFFh  
:
090FFFh  
08FFFFh  
:
080FFFh  
07FFFFh  
:
070FFFh  
06FFFFh  
:
060FFFh  
05FFFFh  
:
050FFFh  
04FFFFh  
:
040FFFh  
03FFFFh  
:
030FFFh  
02FFFFh  
:
020FFFh  
01FFFFh  
:
010FFFh  
00FFFFh  
:
003FFFh  
002FFFh  
001FFFh  
000FFFh  
30  
29  
28  
27  
26  
25  
24  
23  
22  
21  
20  
19  
18  
17  
16  
15  
13  
12  
11  
10  
9
8
7
6
5
4
3
2
1
3
2
1
0
0
240  
0F0000h  
0F0FFFh  
P/N: PM1430  
REV. 1.3, NOV. 03, 2010  
14  
MX25L1655D  
DEVICE OPERATION  
1. Before a command is issued, status register should be checked to ensure device is ready for the intended op-  
eration.  
2. When incorrect command is inputted to this LSI, this LSI becomes standby mode and keeps the standby mode  
until next CS# falling edge. In standby mode, SO pin of this LSI should be High-Z.  
3. When correct command is inputted to this LSI, this LSI becomes active mode and keeps the active mode until  
next CS# rising edge.  
4. Input data is latched on the rising edge of Serial Clock(SCLK) and data shifts out on the falling edge of SCLK.  
The difference of Serial mode 0 and mode 3 is shown as Figure 1.  
5. For the following instructions: RDID, RDSR, RDSCUR, READ, FAST_READ, 2READ, DREAD, 4READ, QREAD,  
RDBLOCK, RDPLOCK, RES, REMS, REMS2 and REMS4 the shifted-in instruction sequence is followed by a  
data-out sequence. After any bit of data being shifted out, the CS# can be high. For the following instructions:  
WREN, WRDI, SE, BE, CE, PP, 4PP, CP, RDP, DP, BLOCKP, UNLOCK, ENSO, and EXSO, the CS# must go  
high exactly at the byte boundary; otherwise, the instruction will be rejected and not executed.  
6. During the progress of Write Status Register, Program, Erase operation, to access the memory array is neglect-  
ed and not affect the current operation of Write Status Register, Program, Erase.  
Figure 1. Serial Modes Supported  
CPOL CPHA  
shift in  
shift out  
SCLK  
SCLK  
(Serial mode 0)  
(Serial mode 3)  
0
1
0
1
SI  
MSB  
SO  
MSB  
Note:  
CPOL indicates clock polarity of Serial master, CPOL=1 for SCLK high while idle, CPOL=0 for SCLK low while not  
transmitting. CPHA indicates clock phase. The combination of CPOL bit and CPHA bit decides which Serial mode is  
supported.  
P/N: PM1430  
REV. 1.3, NOV. 03, 2010  
15  
MX25L1655D  
COMMAND DESCRIPTION  
Table 4. Command Set  
BLOCKP  
(Block  
Write Lock  
protection)  
RDBLOCK  
UNLOCK  
RDSR  
(read status  
register)  
COMMAND WREN (write WRDI (write RDID (read  
(read Block  
Write Lock  
status)  
READ (read  
data)  
(chip  
unprotect)  
(byte)  
enable)  
disable)  
identification)  
Command  
(hex)  
06  
04  
9F  
05  
E2  
FB  
F3  
03  
Input  
ADD(12)  
ADD(12)  
ADD(24)  
Cycles  
Dummy  
Cycles  
sets the  
(WEL) write (WEL) write  
resets the outputs JEDEC to read out  
assign a  
read  
reset Block n bytes read  
Write Lock out until CS#  
ID: 1-byte  
the values block (64KB) assigned  
enable latch enable latch Manufacturer of the status  
to lock  
protection  
Block Write protection bit goes high  
Lock status whole chip  
bit  
bit  
ID & 2-byte  
Device ID  
register  
Action  
2READ (2  
x I/O read  
command) (1I 2O read)  
Note1  
Release  
Read  
(1I 4O read) Enhanced  
FAST READ  
(fast read  
data)  
4READ (4  
x I/O read  
command)  
4PP (quad  
page  
program)  
COMMAND  
(byte)  
DREAD  
QREAD  
SE (sector  
erase)  
Command  
(hex)  
0B  
ADD(24)  
8
BB  
ADD(12)  
4
3B  
ADD(24)  
8
EB  
ADD(6)  
2+4  
6B  
ADD(24)  
8
FFh  
38  
20  
Input  
ADD(6)+  
Data(512)  
ADD(24)  
Cycles  
Dummy  
Cycles  
n bytes read n bytes read n bytes read n bytes read n bytes read  
All these  
quad input to erase the  
out until CS# out by 2 x I/ out by Dual out by 4 x I/ out by Quad commands to program  
selected  
sector  
goes high  
O until CS# output until O until CS# output until FFh,00h,AAh the selected  
goes high  
CS# goes  
high  
goes high  
CS# goes  
high  
or 55h will  
escape the  
performance  
enhance  
page  
Action  
mode  
CP  
PP (Page (Continuously DP (Deep  
RDP  
(Release  
REMS (read  
electronic  
COMMAND  
(byte)  
BE (block  
erase 64KB)  
CE (chip  
erase)  
RES (read  
program)  
program  
mode)  
power down) from deep electronic ID) manufacturer  
power down)  
& device ID)  
Command  
(hex)  
D8  
60 or C7  
02  
AD  
B9  
AB  
AB  
24  
90  
Input  
ADD(24)+  
Data(2048)  
ADD(24)+  
Data(16)  
ADD(24)  
(Note 2)  
ADD(24)  
Cycles  
Dummy  
Cycles  
to erase the  
selected  
block  
to erase  
whole chip the selected  
page  
to program continously enters deep release from to read out  
output the  
program  
whole  
power down deep power 1-byte Device Manufacturer  
mode  
down mode  
ID  
ID & Device  
ID  
chip, the  
Action  
address is  
automatically  
increase  
P/N: PM1430  
REV. 1.3, NOV. 03, 2010  
16  
MX25L1655D  
ESRY  
DSRY  
REMS2 (read REMS4 (read ENSO (enter EXSO (exit  
RDSCUR  
(read security (write security  
WRSCUR  
COMMAND  
(byte)  
(enable SO (disable SO  
to output RY/ to output RY/  
ID for 2x I/O ID for 4x I/O  
secured  
OTP)  
secured  
OTP)  
mode)  
mode)  
register)  
2B  
register)  
2F  
BY#)  
BY#)  
Command  
(hex)  
EF  
DF  
B1  
C1  
70  
80  
Input  
ADD(24)  
(Note 2)  
ADD(24)  
(Note 2)  
Cycles  
Dummy  
Cycles  
output the  
Manufacturer Manufact-  
ID & Device  
ID  
output the  
to enter  
the 512-bit  
to exit the to read value to set the to enable SO to disable SO  
512-bit of security lock-down bit to output RY/ to output RY/  
register as "1" (once BY# during BY# during  
urer ID & Secured OTP Secured OTP  
device ID mode mode  
lock-down,  
cannot be  
updated)  
CP mode  
CP mode  
Action  
Note 1: The count base is 4-bit for ADD(2) and Dummy(2) because of 2 x I/O. And the MSB is on SI/SIO1 which is different from  
1 x I/O condition.  
Note 2: ADD=00H will output the manufacturer ID first and ADD=01H will output device ID first.  
Note 3: It is not allowed to adopt any other code which is not in the above command definition table.  
P/N: PM1430  
REV. 1.3, NOV. 03, 2010  
17  
MX25L1655D  
(1) Write Enable (WREN)  
The Write Enable (WREN) instruction is for setting Write Enable Latch (WEL) bit. For those instructions like PP, 4PP,  
BLOCKP, PLOCK, UNLOCK, CP, SE, BE, and CE which are intended to change the device content, should be set  
every time after the WREN instruction setting the WEL bit.  
The sequence of issuing WREN instruction is: CS# goes low→ sending WREN instruction code→CS# goes high. (see  
Figure 9)  
(2) Write Disable (WRDI)  
The Write Disable (WRDI) instruction is for resetting Write Enable Latch (WEL) bit.  
The sequence of issuing WRDI instruction is: CS# goes low→sending WRDI instruction code→ CS# goes high. (see  
Figure 10)  
The WEL bit is reset by following situations:  
- Power-up  
- Write Disable (WRDI) instruction completion  
- Page Program (PP) instruction completion  
- Quad Page Program (4PP) instruction completion  
- Sector Erase (SE) instruction completion  
- Block Erase (BE) instruction completion  
- Chip Erase (CE) instruction completion  
- Continuously program mode (CP) instruction completion  
- Block Write Lock Protection (BLOCKP) instruction completion  
- Chip Unprotect (UNLOCK) instruction completion  
(3) Read Identification (RDID)  
The RDID instruction is for reading the manufacturer ID of 1-byte and followed by Device ID of 2-byte. The MXIC  
Manufacturer ID is C2(hex), the memory type ID is 26 (hex) as the first-byte device ID, and the individual device ID  
of second-byte ID are listed as table of "ID Definitions". (see table 5 in page 26)  
The sequence of issuing RDID instruction is: CS# goes low→sending RDID instruction code→24-bits ID data out on  
SO→ to end RDID operation can use CS# to high at any time during data out. (see Figure 11.)  
While Program/Erase operation is in progress, it will not decode the RDID instruction, so there's no effect on the cy-  
cle of program/erase operation which is currently in progress. When CS# goes high, the device is at standby stage.  
(4) Read Status Register (RDSR)  
The RDSR instruction is for reading Status Register Bits. The Read Status Register can be read at any time (even in  
program/erase/write status register condition) and continuously. It is recommended to check the Write in Progress (WIP)  
bit before sending a new instruction when a program, erase, or write status register operation is in progress.  
The sequence of issuing RDSR instruction is: CS# goes low→sending RDSR instruction code→Status Register  
data out on SO (see Figure 12)  
The definition of the status register bits is as below:  
P/N: PM1430  
REV. 1.3, NOV. 03, 2010  
18  
MX25L1655D  
WIP bit. The Write in Progress (WIP) bit, a volatile bit, indicates whether the device is busy in program/erase/write  
status register progress. When WIP bit sets to 1, which means the device is busy in program/erase/write status  
register progress. When WIP bit sets to 0, which means the device is not in progress of program/erase/write status  
register cycle.  
WEL bit. The Write Enable Latch (WEL) bit, a volatile bit, indicates whether the device is set to internal write enable  
latch. When WEL bit sets to 1, which means the internal write enable latch is set, the device can accept program/  
erase/write status register instruction. When WEL bit sets to 0, which means no internal write enable latch; the de-  
vice will not accept program/erase/write status register instruction. The program/erase command will be ignored and  
not affect value of WEL bit if it is applied to a protected memory area.  
Status Register  
bit5  
bit5  
bit5  
bit4  
bit3  
bit2  
bit1  
WEL  
bit0  
WIP  
x
x
x
x
x
x
(write enable  
latch)  
1=write  
enable  
0=not write 0=not in write  
(write in  
progress bit)  
1=write  
operation  
reserved  
reserved  
reserved  
reserved  
reserved  
reserved  
enable  
volatile bit  
operation  
volatile bit  
Non-volatile bit Non-volatile bit Non-volatile bit Non-volatile bit Non-volatile bit Non-volatile bit  
(5) Block Write Lock Protection (BLOCKP)  
The BLOCKP instruction is for write protection a specified block of memory, using A23-A16 (A15-A0 don't care) ad-  
dress bits to assign a 64Kbyte block to be protected as read only. This feature allows user to stop protecting the en-  
tire block through the chip unprotect command (UNLOCK).  
The WREN (Write Enable) instruction is required before issuing BLOCKP instruction.  
The sequence of issuing BLOCKP instruction is: CS# goes low→ send BLOCKP (E2h) instruction→ send 3 address  
bytes assign one block to be protected on SI pin→ CS# goes high. (see Figure 13)  
The CS# must go high exactly at the byte boundary, otherwise the instruction will be rejected and not be executed.  
(6) Read Block Write Lock status (RDBLOCK)  
The RDPLOCK instruction is for reading the status of permanent lock of a specified block, using A23-A16 (A15-A0  
=0)address bits to assign a 64Kbyte block and read permanent lock status bit which the first byte of Read-out cycle.  
The first byte data out DQ0 is"1" to indicate that this block has be locked permanently, that user can read only but  
cannot write, program or erase this block permanently. The first byte data out DQ0 is "0" to indicate that this block  
hasn't be protected, and user can read and write this block.  
The sequence of issuing RDBLOCK instruction is: CS# goes low →send RDBLOCK (FBh) instruction →send 3 ad-  
dress bytes to assign one block on SI pin→read block's protection lock status bit on SO pin→ CS# goes high. (see  
Figure 16)  
P/N: PM1430  
REV. 1.3, NOV. 03, 2010  
19  
MX25L1655D  
(7) Chip Unprotect (UNLOCK)  
The UNLOCK instruction is for disabling the lock protection block of the whole chip.  
The WREN (Write Enable) instruction is required before issuing UNLOCK instruction.  
The sequence of issuing UNLOCK instruction is: CS# goes low →send UNLOCK (F3h) instruction →CS# goes high. (see  
Figure 14)  
The CS# must go high exactly at the byte boundary, otherwise the instruction will be rejected and not be executed.  
(8) Read Data Bytes (READ)  
The read instruction is for reading data out. The address is latched on rising edge of SCLK, and data shifts out on  
the falling edge of SCLK at a maximum frequency fR. The first address byte can be at any location. The address  
is automatically increased to the next higher address after each byte data is shifted out, so the whole memory can  
be read out at a single READ instruction. The address counter rolls over to 0 when the highest address has been  
reached.  
The sequence of issuing READ instruction is: CS# goes low→ sending READ instruction code→3-byte address on  
SI→ data out on SO→ to end READ operation can use CS# to high at any time during data out. (see Figure 15)  
(9) Read Data Bytes at Higher Speed (FAST_READ)  
The FAST_READ instruction is for quickly reading data out. The address is latched on rising edge of SCLK, and  
data of each bit shifts out on the falling edge of SCLK at a maximum frequency fC. The first address byte can be at  
any location. The address is automatically increased to the next higher address after each byte data is shifted out,  
so the whole memory can be read out at a single FAST_READ instruction. The address counter rolls over to 0 when  
the highest address has been reached.  
The sequence of issuing FAST_READ instruction is: CS# goes low→sending FAST_READ instruction code→3-byte  
address on SI→1-dummy byte (default) address on SI→data out on SO→to end FAST_READ operation can use  
CS# to high at any time during data out. (see Figure 17)  
While Program/Erase/Write Status Register cycle is in progress, FAST_READ instruction is rejected without any im-  
pact on the Program/Erase/Write Status Register current cycle.  
(10) 2 x I/O Read Mode (2READ)  
The 2READ instruction enable double throughput of Serial Flash in read mode. The address is latched on rising  
edge of SCLK, and data of every two bits(interleave on 2 I/O pins) shift out on the falling edge of SCLK at a maxi-  
mum frequency fT. The first address byte can be at any location. The address is automatically increased to the next  
higher address after each byte data is shifted out, so the whole memory can be read out at a single 2READ instruc-  
tion. The address counter rolls over to 0 when the highest address has been reached. Once writing 2READ instruc-  
tion, the following address/dummy/data out will perform as 2-bit instead of previous 1-bit.  
The sequence of issuing 2READ instruction is: CS# goes low→sending 2READ instruction→24-bit address inter-  
leave on SIO1 & SIO0→ 4-bit dummy cycle on SIO1 & SIO0→data out interleave on SIO1 & SIO0→to end 2READ  
operation can use CS# to high at any time during data out (see Figure 18 for 2 x I/O Read Mode Timing Waveform).  
While Program/Erase/Write Status Register cycle is in progress, 2READ instruction is rejected without any impact  
on the Program/Erase/Write Status Register current cycle.  
P/N: PM1430  
REV. 1.3, NOV. 03, 2010  
20  
MX25L1655D  
(11) Dual Read Mode (DREAD)  
The DREAD instruction enable double throughput of Serial Flash in read mode. The address is latched on rising  
edge of SCLK, and data of every two bits (interleave on 2 I/O pins) shift out on the falling edge of SCLK at a maxi-  
mum frequency fT. The first address byte can be at any location. The address is automatically increased to the next  
higher address after each byte data is shifted out, so the whole memory can be read out at a single DREAD instruc-  
tion. The address counter rolls over to 0 when the highest address has been reached. Once writing DREAD instruc-  
tion, the following data out will perform as 2-bit instead of previous 1-bit.  
The sequence of issuing DREAD instruction is: CS# goes low  
sending DREAD instruction  
3-byte address on  
SI  
8-bit dummy cycle  
data out interleave on SO1 & SO0  
to end DREAD operation can use CS# to high at  
any time during data out (Please refer to Figure 19 for Dual Read Mode Timing Waveform).  
While Program/Erase/Write Status Register cycle is in progress, DREAD instruction is rejected without any impact  
on the Program/Erase/Write Status Register current cycle.  
(12) 4 x I/O Read Mode (4READ)  
The 4READ instruction enable quad throughput of Serial Flash in read mode. The address is latched on rising edge  
of SCLK, and data of every four bits(interleave on 4 I/O pins) shift out on the falling edge of SCLK at a maximum  
frequency fQ. The first address byte can be at any location. The address is automatically increased to the next  
higher address after each byte data is shifted out, so the whole memory can be read out at a single 4READ instruc-  
tion. The address counter rolls over to 0 when the highest address has been reached. Once writing 4READ instruc-  
tion, the following address/dummy/data out will perform as 4-bit instead of previous 1-bit.  
The sequence of issuing 4READ instruction is: CS# goes low→ sending 4READ instruction→24-bit address inter-  
leave on SIO3, SIO2, SIO1 & SIO0→ 6 dummy cycles → data out interleave on SIO3, SIO2, SIO1 & SIO0→to end  
4READ operation can use CS# to high at any time during data out (see Figure 20 for 4 x I/O Read Mode Timing  
Waveform).  
Another sequence of issuing 4 READ instruction especially useful in random access is : CS# goes low→ sending 4  
READ instruction→ 3-bytes address interleave on SIO3, SIO2, SIO1 & SIO0 → performance enhance toggling bit  
P[7:0]→ 4 dummy cycles → data out still CS# goes high → CS# goes low (reduce 4 Read instruction) → 24-bit ran-  
dom access address (see Figure 22 for 4x I/O read enhance performance mode timing waveform).  
In the performance-enhancing mode, P[7:4] must be toggling with P[3:0] ; likewise P[7:0]=A5h,5Ah,F0h or 0Fh can  
make this mode continue and reduce the next 4READ instruction. Once P[7:4] is no longer toggling with P[3:0];  
likewise P[7:0]=FFh,00h,AAh or 55h. And afterwards CS# is raised or issuing FF command(CS# goes high→CS#  
goes low→sending 0xFF→ CS# goes high) instead of no toggling,the system then will escape from performance  
enhance mode and return to normal opertaion.In these cases, tSHSL=15ns(min) will be specified.  
While Program/Erase cycle is in progress, 4READ instruction is rejected without any impact on the Program/Erase  
current cycle.  
P/N: PM1430  
REV. 1.3, NOV. 03, 2010  
21  
MX25L1655D  
(13) Quad Read Mode (QREAD)  
The QREAD instruction enable quad throughput of Serial Flash in read mode. The address is latched on rising edge  
of SCLK, and data of every four bits (interleave on 4 I/O pins) shift out on the falling edge of SCLK at a maximum  
frequency fQ. The first address byte can be at any location. The address is automatically increased to the next high-  
er address after each byte data is shifted out, so the whole memory can be read out at a single QREAD instruction.  
The address counter rolls over to 0 when the highest address has been reached. Once writing QREAD instruction,  
the following data out will perform as 4-bit instead of previous 1-bit.  
The sequence of issuing QREAD instruction is: CS# goes low  
sending QREAD instruction → 3-byte address on  
SI  
8-bit dummy cycle  
data out interleave on SO3, SO2, SO1 & SO0  
to end QREAD operation can use  
CS# to high at any time during data out (Please refer to Figure 21 for Quad Read Mode Timing Waveform).  
While Program/Erase/Write Status Register cycle is in progress, QREAD instruction is rejected without any impact  
on the Program/Erase/Write Status Register current cycle.  
(14) Sector Erase (SE)  
The Sector Erase (SE) instruction is for erasing the data of the chosen sector to be "1". The instruction is used for  
any 4K-byte sector. A Write Enable (WREN) instruction must execute to set the Write Enable Latch (WEL) bit before  
sending the Sector Erase (SE). Any address of the sector (see table 3) is a valid address for Sector Erase (SE)  
instruction. The CS# must go high exactly at the byte boundary (the latest eighth of address byte been latched-in);  
otherwise, the instruction will be rejected and not executed.  
Address bits [Am-A12] (Am is the most significant address) select the sector address.  
The sequence of issuing SE instruction is: CS# goes low → sending SE instruction code→ 3-byte address on SI  
→CS# goes high. (see Figure 26)  
The self-timed Sector Erase Cycle time (tSE) is initiated as soon as Chip Select (CS#) goes high. The Write in  
Progress (WIP) bit still can be check out during the Sector Erase cycle is in progress. The WIP sets 1 during the  
tSE timing, and sets 0 when Sector Erase Cycle is completed, and the Write Enable Latch (WEL) bit is reset. If the  
sector is protected, the Sector Erase (SE) instruction will not be executed on the sector.  
(15) Block Erase (BE)  
The Block Erase (BE) instruction is for erasing the data of the chosen block to be "1". The instruction is used for  
64K-byte block erase operation. A Write Enable (WREN) instruction must execute to set the Write Enable Latch (WEL)  
bit before sending the Block Erase (BE). Any address of the block (see table 3) is a valid address for Block Erase (BE)  
instruction. The CS# must go high exactly at the byte boundary (the latest eighth of address byte been latched-in);  
otherwise, the instruction will be rejected and not executed.  
The sequence of issuing BE instruction is: CS# goes low→sending BE instruction code→3-byte address on  
SI→CS# goes high. (see Figure 27)  
The self-timed Block Erase Cycle time (tBE) is initiated as soon as Chip Select (CS#) goes high. The Write in  
Progress (WIP) bit still can be check out during the Sector Erase cycle is in progress. The WIP sets 1 during the  
tBE timing, and sets 0 when Sector Erase Cycle is completed, and the Write Enable Latch (WEL) bit is reset. If the  
block is protected, the Block Erase (BE) instruction will not be executed on the block.  
P/N: PM1430  
REV. 1.3, NOV. 03, 2010  
22  
MX25L1655D  
(16) Chip Erase (CE)  
The Chip Erase (CE) instruction is for erasing the data of the whole chip to be "1". A Write Enable (WREN) instruc-  
tion must execute to set the Write Enable Latch (WEL) bit before sending the Chip Erase (CE). The CS# must go  
high exactly at the byte boundary, otherwise the instruction will be rejected and not executed.  
The sequence of issuing CE instruction is: CS# goes low→sending CE instruction code→CS# goes high. (see Fig-  
ure 28)  
The self-timed Chip Erase Cycle time (tCE) is initiated as soon as Chip Select (CS#) goes high. The Write in  
Progress (WIP) bit still can be check out during the Chip Erase cycle is in progress. The WIP sets 1 during the tCE  
timing, and sets 0 when Chip Erase Cycle is completed, and the Write Enable Latch (WEL) bit is reset.  
(17) Page Program (PP)  
The Page Program (PP) instruction is for programming the memory to be "0". A Write Enable (WREN) instruction  
must execute to set the Write Enable Latch (WEL) bit before sending the Page Program (PP). The device pro-  
grams only the last 256 data bytes sent to the device. If the entire 256 data bytes are going to be programmed, A7-  
A0 (The eight least significant address bits) should be set to 0. If the eight least significant address bits (A7-A0) are  
not all 0, all transmitted data going beyond the end of the current page are programmed from the start address of  
the same page (from the address A7-A0 are all 0). If more than 256 bytes are sent to the device, the data of the  
last 256-byte is programmed at the request page and previous data will be disregarded. If less than 256 bytes are  
sent to the device, the data is programmed at the requested address of the page without effect on other address of  
the same page.  
The sequence of issuing PP instruction is: CS# goes low→ sending PP instruction code→ 3-byte address on SI→  
at least 1-byte on data on SI→CS# goes high. (see Figure 23)  
The CS# must be kept to low during the whole Page Program cycle; The CS# must go high exactly at the byte boundary (  
the latest eighth bit of data being latched in), otherwise the instruction will be rejected and will not be executed.  
The self-timed Page Program Cycle time (tPP) is initiated as soon as Chip Select (CS#) goes high. The Write in  
Progress (WIP) bit still can be check out during the Page Program cycle is in progress. The WIP sets 1 during the  
tPP timing, and sets 0 when Page Program Cycle is completed, and the Write Enable Latch (WEL) bit is reset. If the  
page is protected, the Page Program (PP) instruction will not be executed.  
(18) 4 x I/O Page Program (4PP)  
The Quad Page Program (4PP) instruction is for programming the memory to be "0". A Write Enable (WREN) in-  
struction must execute to set the Write Enable Latch (WEL) bit. The Quad Page Programming takes four pins:  
SIO0, SIO1, SIO2, and SIO3 as address and data input, which can improve programer performance and the ef-  
fectiveness of application of lower clock less than 20MHz. For system with faster clock, the Quad page program  
cannot provide more actual favors, because the required internal page program time is far more than the time data  
flows in. Therefore, we suggest that while executing this command (especially during sending data), user can slow  
the clock speed down to 20MHz below. The other function descriptions are as same as standard page program.  
The sequence of issuing 4PP instruction is: CS# goes low→sending 4PP instruction code→3-byte address on  
SIO[3:0]→ at least 1-byte on data on SIO[3:0]→CS# goes high. (see Figure 24)  
P/N: PM1430  
REV. 1.3, NOV. 03, 2010  
23  
MX25L1655D  
(19) Continuously program mode (CP mode)  
The CP mode may enhance program performance by automatically increasing address to the next higher address  
after each byte data has been programmed.  
The Continuously program (CP) instruction is for multiple byte program to Flash. A write Enable (WREN) instruction  
must execute to set the Write Enable Latch(WEL) bit before sending the Continuously program (CP) instruction.  
CS# requires to go high before CP instruction is executing. After CP instruction and address input, two bytes of  
data is input sequentially from MSB(bit7) to LSB(bit0). The first byte data will be programmed to the initial address  
range with A0=0 and second byte data with A0=1. If only one byte data is input, the CP mode will not process. If  
more than two bytes data are input, the additional data will be ignored and only two byte data are valid. The CP  
program instruction will be ignored and not affect the WEL bit if it is applied to a protected memory area. Any byte to  
be programmed should be in the erase state (FF) first. It will not roll over during the CP mode, once the last unpro-  
tected address has been reached, the chip will exit CP mode and reset write Enable Latch bit (WEL) as "0" and CP  
mode bit as "0". Please check the WIP bit status if it is not in write progress before entering next valid instruction.  
During CP mode, the valid commands are CP command (AD hex), WRDI command (04 hex), RDSR command (05  
hex), and RDSCUR command (2B hex). And the WRDI command is valid after completion of a CP programming  
cycle, which means the WIP bit=0.  
The sequence of issuing CP instruction is : CS# high to low→ sending CP instruction code→3-byte address on SI→  
Data Byte on SI→CS# goes high to low→sending CP instruction......→ last desired byte programmed or sending  
Write Disable (WRDI) instruction to end CP mode→ sending RDSR instruction to verify if CP mode is ended. (see  
Figure 25 of CP mode timing waveform)  
Three methods to detect the completion of a program cycle during CP mode:  
1) Software method-I: by checking WIP bit of Status Register to detect the completion of CP mode.  
2) Software method-II: by waiting for a tBP time out to determine if it may load next valid command or not.  
3) Hardware method: by writing ESRY (enable SO to output RY/BY#) instruction to detect the completion of a  
program cycle during CP mode. The ESRY instruction must be executed before CP mode execution. Once it is  
enable in CP mode, the CS# goes low will drive out the RY/BY# status on SO, "0" indicates busy stage, "1" indi-  
cates ready stage, SO pin outputs tri-state if CS# goes high. DSRY (disable SO to output RY/BY#) instruction to  
disable the SO to output RY/BY# and return to status register data output during CP mode. Please note that the  
ESRY/DSRY command are not accepted unless the completion of CP mode.  
(20) Deep Power-down (DP)  
The Deep Power-down (DP) instruction is for setting the device on the minimizing the power consumption (to enter-  
ing the Deep Power-down mode), the standby current is reduced from ISB1 to ISB2). The Deep Power-down mode  
requires the Deep Power-down (DP) instruction to enter, during the Deep Power-down mode, the device is not ac-  
tive and all Write/Program/Erase instruction are ignored. When CS# goes high, it's only in standby mode not deep  
power-down mode. It's different from Standby mode.  
The sequence of issuing DP instruction is: CS# goes low→sending DP instruction code→CS# goes high. (see Fig-  
ure 29)  
Once the DP instruction is set, all instruction will be ignored except the Release from Deep Power-down mode (RDP)  
and Read Electronic Signature (RES) instruction. (those instructions allow the ID being reading out). When Power-  
P/N: PM1430  
REV. 1.3, NOV. 03, 2010  
24  
MX25L1655D  
down, the deep power-down mode automatically stops, and when power-up, the device automatically is in standby  
mode. For RDP instruction the CS# must go high exactly at the byte boundary (the latest eighth bit of instruction  
code been latched-in); otherwise, the instruction will not executed. As soon as Chip Select (CS#) goes high, a delay  
of tDP is required before entering the Deep Power-down mode and reducing the current to ISB2.  
(21) Release from Deep Power-down (RDP), Read Electronic Signature (RES)  
The Release from Deep Power-down (RDP) instruction is terminated by driving Chip Select (CS#) High. When Chip  
Select (CS#) is driven High, the device is put in the Stand-by Power mode. If the device was not previously in the  
Deep Power-down mode, the transition to the Stand-by Power mode is immediate. If the device was previously in  
the Deep Power-down mode, though, the transition to the Stand-by Power mode is delayed by tRES2, and Chip  
Select (CS#) must remain High for at least tRES2(max), as specified in Table 6. Once in the Stand-by Power mode,  
the device waits to be selected, so that it can receive, decode and execute instructions.  
RES instruction is for reading out the old style of 8-bit Electronic Signature, whose values are shown as table of ID  
Definitions. This is not the same as RDID instruction. It is not recommended to use for new design. For new design,  
please use RDID instruction. Even in Deep power-down mode, the RDP and RES are also allowed to be executed,  
only except the device is in progress of program/erase/write cycle; there's no effect on the current program/erase/  
write cycle in progress.  
The sequence is shown as Figure 30, Figure 31.  
The RES instruction is ended by CS# goes high after the ID been read out at least once. The ID outputs repeat-  
edly if continuously send the additional clock cycles on SCLK while CS# is at low. If the device was not previously  
in Deep Power-down mode, the device transition to standby mode is immediate. If the device was previously in  
Deep Power-down mode, there's a delay of tRES2 to transit to standby mode, and CS# must remain to high at least  
tRES2(max). Once in the standby mode, the device waits to be selected, so it can be receive, decode, and execute  
instruction.  
The RDP instruction is for releasing from Deep Power Down Mode.  
(22) Read Electronic Manufacturer ID & Device ID (REMS), (REMS2), (REMS4)  
The REMS, REMS2 & REMS4 instruction is an alternative to the Release from Power-down/Device ID instruction  
that provides both the JEDEC assigned manufacturer ID and the specific device ID.  
The REMS, REMS2 & REMS4 instruction is very similar to the Release from Power-down/Device ID instruction. The  
instruction is initiated by driving the CS# pin low and shift the instruction code "90h" or "EFh" or "DFh" followed by  
two dummy bytes and one bytes address (A7~A0). After which, the Manufacturer ID for MXIC (C2h) and the Device  
ID are shifted out on the falling edge of SCLK with most significant bit (MSB) first as shown in Figure 32. The Device  
ID values are listed in Table of ID Definitions. If the one-byte address is initially set to 01h, then the device ID will be  
read first and then followed by the Manufacturer ID. The Manufacturer and Device IDs can be read continuously, al-  
ternating from one to the other. The instruction is completed by driving CS# high.  
P/N: PM1430  
REV. 1.3, NOV. 03, 2010  
25  
MX25L1655D  
Table 5. ID Definitions  
manufacturer ID  
C2  
memory type  
memory density  
15  
RDID Command  
26  
electronic ID  
26  
RES Command  
manufacturer ID  
C2  
device ID  
26  
REMS/REMS2/REMS4/  
Command  
(23) Enter Secured OTP (ENSO)  
The ENSO instruction is for entering the additional 512-bit secured OTP mode. The additional 512-bit secured OTP  
is independent from main array, which may use to store unique serial number for system identifier. After entering the  
Secured OTP mode, and then follow standard read or program, procedure to read out the data or update data. The  
Secured OTP data cannot be updated again once it is lock-down.  
The sequence of issuing ENSO instruction is: CS# goes low→sending ENSO instruction to enter Secured OTP  
mode→CS# goes high.  
Please note that WRSCUR commands is not acceptable during the access of secure OTP region, once security  
OTP is lock down, only read related commands are valid.  
(24) Exit Secured OTP (EXSO)  
The EXSO instruction is for exiting the additional 512-bit secured OTP mode.  
The sequence of issuing EXSO instruction is: CS# goes low→sending EXSO instruction to exit Secured OTP  
mode→CS# goes high.  
P/N: PM1430  
REV. 1.3, NOV. 03, 2010  
26  
MX25L1655D  
(25) Read Security Register (RDSCUR)  
The RDSCUR instruction is for reading the value of Security Register bits. The Read Security Register can be read  
at any time (even in program/erase/write status register/write parameter register/write security register condition)  
and continuously.  
The sequence of issuing RDSCUR instruction is : CS# goes low→ send ing RDSCUR instruction→Security Register  
data out on SO→CS# goes high.  
The definition of the Security Register bits is as below:  
Secured OTP Indicator bit. The Secured OTP indicator bit shows the chip is locked by factory before ex- factory or  
not. When it is "0", it indicates non- factory lock; "1" indicates factory- lock.  
Lock-down Secured OTP (LDSO) bit. By writing WRSCUR instruction, the LDSO bit may be set to "1" for custom-  
er lock-down purpose. However, once the bit is set to "1" (lock-down), the LDSO bit and the 512-bit Secured OTP  
area cannot be update any more. While it is in 512-bit secured OTP mode, array access is not allowed.  
Continuously Program Mode (CP mode) bit. The Continuously Program Mode bit indicates the status of CP  
mode, "0" indicates not in CP mode; "1" indicates in CP mode.  
Table 6. Security Register Definition  
bit7  
bit6  
bit5  
bit4  
bit3  
bit2  
bit1  
bit0  
Continuously  
Program mode  
(CP mode)  
LDSO  
(indicate if  
lock-down  
Secrured OTP  
indicator bit  
x
x
x
x
x
0 = not lock-  
down  
1 = lock-down  
(cannot  
program/erase  
OTP)  
0 = non-factory  
lock  
0=normal  
Program mode  
1=CP mode  
(default=0)  
reserved  
reserved  
reserved  
reserved  
reserved  
1 = factory  
lock  
volatile bit volatile bit volatile bit  
volatile bit  
volatile bit  
volatile bit non-volatile bit non-volatile bit  
(26) Write Security Register (WRSCUR)  
The WRSCUR instruction is for changing the values of Security Register Bits. The WREN instruction is not required  
before sending WRSCUR instruction. The WRSCUR instruction may change the values of bit1 (LDSO bit) for cus-  
tomer to lock-down the 512-bit Secured OTP area. Once the LDSO bit is set to "1", the Secured OTP area cannot  
be updated any more.  
The sequence of issuing WRSCUR instruction is :CS# goes low→ sending WRSCUR instruction→CS# goes high.  
The CS# must go high exactly at the boundary; otherwise, the instruction will be rejected and not executed.  
P/N: PM1430  
REV. 1.3, NOV. 03, 2010  
27  
MX25L1655D  
POWER-ON STATE  
The device is at below states when power-up:  
- Standby mode ( please note it is not deep power-down mode)  
- Write Enable Latch (WEL) bit is reset  
The device must not be selected during power-up and power-down stage unless the VCC achieves below correct  
level:  
- VCC minimum at power-up stage and then after a delay of tVSL  
- GND at power-down  
Please note that a pull-up resistor on CS# may ensure a safe and proper power-up/down level.  
An internal power-on reset (POR) circuit may protect the device from data corruption and inadvertent data change  
during power up state.  
For further protection on the device, if the VCC does not reach the VCC minimum level, the correct operation is not  
guaranteed. The read, write, erase, and program commands should be sent after the time delay:  
- tVSL after VCC reached VCC minimum level  
The device can accept read command after VCC reached VCC minimum and a time delay of tVSL.  
Please refer to the figure of "power-up timing".  
Note:  
- To stabilize the VCC level, the VCC rail decoupled by a suitable capacitor close to package pins is recommend-  
ed. (generally around 0.1uF)  
P/N: PM1430  
REV. 1.3, NOV. 03, 2010  
28  
MX25L1655D  
ELECTRICAL SPECIFICATIONS  
ABSOLUTE MAXIMUM RATINGS  
RATING  
VALUE  
Industrial grade  
-40°C to 85°C  
-65°C to 150°C  
-0.5V to 4.6V  
-0.5V to 4.6V  
-0.5V to 4.6V  
Ambient Operating Temperature  
Storage Temperature  
Applied Input Voltage  
Applied Output Voltage  
VCC to Ground Potential  
NOTICE:  
1. Stresses greater than those listed under ABSOLUTE MAXIMUM RATINGS may cause permanent damage to  
the device. This is stress rating only and functional operational sections of this specification is not implied. Ex-  
posure to absolute maximum rating conditions for extended period may affect reliability.  
2. Specifications contained within the following tables are subject to change.  
3. During voltage transitions, all pins may overshoot Vss to -2.0V and Vcc to +2.0V for periods up to 20ns, see Figure 2,  
Figure 3.  
Figure 3. Maximum Positive Overshoot Waveform  
Figure 2.Maximum Negative Overshoot Waveform  
20ns  
20ns  
20ns  
Vss  
Vcc + 2.0V  
Vss-2.0V  
Vcc  
20ns  
20ns  
20ns  
CAPACITANCE TA = 25°C, f = 1.0 MHz  
SYMBOL PARAMETER  
MIN.  
TYP  
MAX.  
UNIT  
pF  
CONDITIONS  
VIN = 0V  
CIN  
Input Capacitance  
6
8
COUT Output Capacitance  
pF  
VOUT = 0V  
P/N: PM1430  
REV. 1.3, NOV. 03, 2010  
29  
MX25L1655D  
Figure 4. INPUT TEST WAVEFORMS AND MEASUREMENT LEVEL  
Input timing referance level  
Output timing referance level  
0.8VCC  
0.2VCC  
0.7VCC  
0.3VCC  
AC  
Measurement  
Level  
0.5VCC  
Note: Input pulse rise and fall time are <5ns  
Figure 5. OUTPUT LOADING  
DEVICE UNDER  
TEST  
2.7K ohm  
+3.3V  
CL  
6.2K ohm  
DIODES=IN3064  
OR EQUIVALENT  
CL=30pF Including jig capacitance  
P/N: PM1430  
REV. 1.3, NOV. 03, 2010  
30  
MX25L1655D  
Table 7. DC CHARACTERISTICS (Temperature = -40°C to 85°C for Industrial grade, VCC = 2.7V ~ 3.6V)  
SYMBOL PARAMETER  
NOTES  
MIN.  
MAX.  
± 2  
± 2  
20  
UNITS TEST CONDITIONS  
ILI  
Input Load Current  
1
1
1
uA VCC = VCC Max, VIN = VCC or GND  
uA VCC = VCC Max, VIN = VCC or GND  
uA VIN = VCC or GND, CS# = VCC  
uA VIN = VCC or GND, CS# = VCC  
ILO  
Output Leakage Current  
ISB1 VCC Standby Current  
ISB2 Deep Power-down  
Current  
20  
f=104MHz, fQ=75MHz (4 x I/O read)  
SCLK=0.1VCC/0.9VCC, SO=Open  
25  
20  
10  
mA  
f=66MHz, fT=75MHz (2 x I/O read)  
SCLK=0.1VCC/0.9VCC, SO=Open  
ICC1 VCC Read  
1
1
mA  
f=33MHz, SCLK=0.1VCC/0.9VCC,  
SO=Open  
mA  
VCC Program Current  
ICC2  
(PP)  
20  
20  
mA Program in Progress, CS# = VCC  
VCC Sector Erase  
ICC4  
1
1
mA Erase in Progress, CS#=VCC  
mA Erase in Progress, CS#=VCC  
Current (SE)  
VCC Chip Erase Current  
ICC5  
(CE)  
20  
VIL  
VIH  
VOL  
Input Low Voltage  
Input High Voltage  
Output Low Voltage  
-0.5  
0.3VCC  
V
V
0.7VCC VCC+0.4  
0.4  
V
V
IOL = 1.6mA  
IOH = -100uA  
VOH Output High Voltage  
VCC-0.2  
Notes :  
1. Typical values at VCC = 3.3V, T = 25°C. These currents are valid for all product versions (package and speeds).  
2. Typical value is calculated by simulation.  
P/N: PM1430  
REV. 1.3, NOV. 03, 2010  
31  
MX25L1655D  
Table 8. AC CHARACTERISTICS (Temperature = -40°C to 85°C for Industrial grade, VCC = 2.7V ~ 3.6V)  
Speed Option  
Symbol  
Alt. Parameter  
Min.  
Typ.  
1655D-12G  
Max.  
1655D-10G Unit  
Max.  
Clock Frequency for the following instructions:  
fSCLK  
fC FAST_READ, SE, BE, CE, DP, RES, RDP,  
WREN, WRDI, RDID, RDSR, WRSR  
D.C.  
86  
104  
MHz  
D.C.  
D.C.  
86  
20  
33  
75  
75  
86  
20  
33  
75  
75  
MHz  
MHz  
MHz  
MHz  
MHz  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
V/ns  
V/ns  
ns  
ns  
ns  
fP Clock Frequency for PP instructions  
f4P Clock Frequency for 4PP instructions  
fR Clock Frequency for READ instructions  
fT Clock Frequency for 2READ instructions  
fQ Clock Frequency for 4READ instructions  
fC=86MHz  
tCLH Clock High Time (1635D-12G)  
fR=33MHz  
fC=86MHz  
tCLL Clock Low Time (1635D-12G)  
fR=33MHz  
fC=104MHz  
tCLH Clock High Time (1635D-10G)  
fR=33MHz  
fC=104MHz  
tCLL Clock Low Time (1635D-10G)  
fR=33MHz  
Clock Rise Time (3) (peak to peak)  
Clock Fall Time (3) (peak to peak)  
tCSS CS# Active Setup Time (relative to SCLK)  
CS# Not Active Hold Time (relative to SCLK)  
tDSU Data In Setup Time  
fPSCLK  
fRSCLK  
fTSCLK  
5.5  
13  
5.5  
13  
4.7  
13  
4.7  
13  
0.1  
0.1  
5
5
2
5
5
5
15  
50  
tCH(1)  
tCL(1)  
tCH(1)  
tCL(1)  
tCLCH(2)  
tCHCL(2)  
tSLCH  
tCHSL  
tDVCH  
tCHDX  
tCHSH  
tSHCH  
tDH Data In Hold Time  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
CS# Active Hold Time (relative to SCLK)  
CS# Not Active Setup Time (relative to SCLK)  
Read  
tSHSL(3) tCSH CS# Deselect Time  
Write/Erase/Program  
2.7V-3.6V  
3.0V-3.6V  
10  
8
10  
8
tSHQZ(2) tDIS Output Disable Time  
Clock Low to Output Valid  
2.7V-3.6V  
3.0V-3.6V  
10/8  
8/6  
10/8  
8/6  
ns  
tCLQV  
tV  
Loading: 30pF/15pF  
tHO Output Hold Time  
Write Protect Setup Time  
Write Protect Hold Time  
ns  
ns  
ns  
ns  
us  
tCLQX  
tWHSL  
tSHWL  
tDP(2)  
0
20  
100  
CS# High to Deep Power-down Mode  
10  
10  
CS# High to Standby Mode without Electronic  
Signature Read  
CS# High to Standby Mode with Electronic Signature  
Read  
tRES1(2)  
tRES2(2)  
8.8  
8.8  
us  
us  
8.8  
8.8  
tW  
40  
9
1.4  
60  
0.7  
14  
100  
300  
5
300  
2
100  
300  
5
300  
2
ms  
us  
ms  
ms  
s
Write Status Register Cycle Time  
Byte-Program  
Page Program Cycle Time  
Sector Erase Cycle Time  
Block Erase Cycle Time  
Chip Erase Cycle Time  
tBP  
tPP  
tSE  
tBE  
tCE  
30  
30  
s
Notes:  
1. tCH + tCL must be greater than or equal to 1/ f (fC or fR).  
2. Value guaranteed by characterization, not 100% tested in production.  
3. tSHSL=15ns from read instruction, tSHSL=50ns from Write/Erase/Program instruction.  
4. Test condition is shown as Figure 4, Figure 5.  
P/N: PM1430  
REV. 1.3, NOV. 03, 2010  
32  
MX25L1655D  
Timing Analysis  
Figure 6. Serial Input Timing  
tSHSL  
tSHCH  
tCHCL  
CS#  
tCHSL  
tSLCH  
tCHSH  
SCLK  
tDVCH  
tCHDX  
tCLCH  
MSB  
LSB  
SI  
High-Z  
SO  
Figure 7. Output Timing  
CS#  
tCH  
SCLK  
tCLQV  
tCLQV  
tCL  
tSHQZ  
tCLQX  
SO  
tCLQX  
LSB  
ADDR.LSB IN  
SI  
P/N: PM1430  
REV. 1.3, NOV. 03, 2010  
33  
MX25L1655D  
Figure 8. WP# Setup Timing and Hold Timing  
WP#  
tSHWL  
tWHSL  
CS#  
0
1
2
3
4
5
6
7
8
9
10 11 12  
13 14  
15  
SCLK  
01  
SI  
High-Z  
SO  
Figure 9. Write Enable (WREN) Sequence (Command 06)  
CS#  
0
1
2
3
4
5
6
7
SCLK  
Command  
06  
SI  
High-Z  
SO  
Figure 10. Write Disable (WRDI) Sequence (Command 04)  
CS#  
0
1
2
3
4
5
6
7
SCLK  
Command  
04  
SI  
High-Z  
SO  
P/N: PM1430  
REV. 1.3, NOV. 03, 2010  
34  
MX25L1655D  
Figure 11. Read Identification (RDID) Sequence (Command 9F)  
CS#  
0
1
2
3
4
5
6
7
8
9
10 11 12 13 14 15 16 17 18  
28 29 30 31  
SCLK  
SI  
Command  
9F  
Manufacturer Identification  
Device Identification  
High-Z  
SO  
7
6
5
3
2
1
0
15 14 13  
MSB  
3
2
1
0
MSB  
Figure 12. Read Status Register (RDSR) Sequence (Command 05)  
CS#  
0
1
2
3
4
5
6
7
8
9 10 11 12 13 14 15  
SCLK  
SI  
command  
05  
Status Register Out  
Status Register Out  
High-Z  
SO  
7
6
5
4
3
2
1
0
7
6
5
4
3
2
1
0
7
MSB  
MSB  
Figure 13. Block Write Lock Protection (BLOCKP) Sequence (Command E2)  
CS#  
0
1
2
3
4
5
6
7
8
9
29 30 31  
SCLK  
Command  
E2  
24 Bit Address  
SI  
23 22  
MSB  
2
1
0
P/N: PM1430  
REV. 1.3, NOV. 03, 2010  
35  
MX25L1655D  
Figure 14. Chip Unprotect (UNLOCK) Sequence (Command F3)  
CS#  
0
1
2
3
4
5
6
7
SCLK  
SI  
Command  
F3  
Figure 15. Read Data Bytes (READ) Sequence (Command 03)  
CS#  
0
1
2
3
4
5
6
7
8
9
10  
28 29 30 31 32 33 34 35 36 37 38 39  
SCLK  
command  
03  
24-Bit Address  
23 22 21  
MSB  
3
2
1
0
SI  
Data Out 1  
Data Out 2  
High-Z  
2
7
6
5
4
3
1
7
0
SO  
MSB  
Figure 16. Read Block Protection Lock Status (RDBLOCK) Sequence (Command FB)  
CS#  
0
1
2
3
4
5
6
7
8
9
10  
28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47  
SCLK  
Command  
FB  
3 Address Bytes  
1 Dummy Bytes  
Dummy  
SI  
23 22 21  
MSB  
3
2
1
0
Block Protection Lock status out  
High-Z  
7
6
5
4
3
2
0
1
SO  
MSB  
P/N: PM1430  
REV. 1.3, NOV. 03, 2010  
36  
MX25L1655D  
Figure 17. Read at Higher Speed (FAST_READ) Sequence (Command 0B)  
CS#  
0
1
2
3
4
5
6
7
8
9
10  
28 29 30 31  
SCLK  
Command  
0B  
24 BIT ADDRESS  
SI  
23 22 21  
3
2
1
0
High-Z  
SO  
CS#  
47  
32 33 34 35 36 37 38 39 40 41 42 43 44 45 46  
SCLK  
Configurable  
Dummy Cycle  
7
6
5
4
3
2
0
1
SI  
DATA OUT 2  
DATA OUT 1  
7
6
5
4
3
2
1
0
7
7
6
5
4
3
2
0
1
SO  
MSB  
MSB  
MSB  
P/N: PM1430  
REV. 1.3, NOV. 03, 2010  
37  
MX25L1655D  
Figure 18. 2 x I/O Read Mode Sequence (Command BB)  
CS#  
0
1
2
3
4
5
6
7
8
9
10 11  
18 19 20 21 22 23 24 25 26 27  
SCLK  
4 dummy  
cycle  
8 Bit Instruction  
12 BIT Address  
Data Output  
data  
address  
BB(hex)  
dummy  
dummy  
SI/SIO0  
bit6, bit4, bit2...bit0, bit6, bit4....  
bit22, bit20, bit18...bit0  
High Impedance  
address  
bit23, bit21, bit19...bit1  
data  
SO/SIO1  
bit7, bit5, bit3...bit1, bit7, bit5....  
Figure 19. Dual Read Mode Sequence (Command 3B)  
CS#  
30 31 32  
39 40 41 42 43 44 45  
0
1
2
3
4
5
6
7
8
9
SCLK  
Data Out  
Data Out  
1
8 dummy  
cycle  
Command  
24 ADD Cycle  
2
D4 D2  
D6 D4  
D7 D5  
A23 A22  
A2 A0  
3B  
D6  
D7  
D0  
SI/SIO0  
High Impedance  
D1  
D5 D3  
SO/SIO1  
P/N: PM1430  
REV. 1.3, NOV. 03, 2010  
38  
MX25L1655D  
Figure 20. 4 x I/O Read Mode Sequence (Command EB)  
CS#  
0
1
2
3
4
5
6
7
8
9
10 11 12 13 14 15 16 17 18 19 20 21 22 23  
n
SCLK  
4 dummy  
cycles  
8 Bit Instruction  
6 Address cycles  
Data Output  
Performance  
enhance  
indicator (Note)  
data  
address  
P4 P0  
P5 P1  
P6 P2  
P7 P3  
EB(hex)  
SI/SIO0  
bit4, bit0, bit4....  
bit20, bit16..bit0  
High Impedance  
High Impedance  
High Impedance  
address  
bit21, bit17..bit1  
data  
bit5 bit1, bit5....  
SO/SIO1  
WP#/SIO2  
NC/SIO3  
address  
bit22, bit18..bit2  
data  
bit6 bit2, bit6....  
address  
bit23, bit19..bit3  
data  
bit7 bit3, bit7....  
Notes:  
1. Hi-impedance is inhibited for the two clock cycles.  
2. P7≠P3, P6≠P2, P5≠P1 & P4≠P0 (Toggling) is inhibited.  
Figure 21. Quad Read Mode Sequence (Command 6B)  
CS#  
29 30 31 32 33  
38 39 40 41 42  
0
1
2
3
4
5
6
7
8
9
SCLK  
Data  
Out 2  
Data  
Out 3  
Command  
6B  
8 dummy cycles  
24 ADD Cycles  
Data  
Out 1  
A23A22  
A2 A1 A0  
D4 D0 D4 D0 D4  
SI/SO0  
High Impedance  
High Impedance  
High Impedance  
SO/SO1  
WP#/SO2  
NC/SO3  
D5 D1 D5 D1 D5  
D6 D2 D6 D2 D6  
D7 D3 D7 D3 D7  
P/N: PM1430  
REV. 1.3, NOV. 03, 2010  
39  
MX25L1655D  
Figure 22. 4 x I/O Read enhance performance Mode Sequence (Command EB)  
CS#  
0
1
2
3
4
5
6
7
8
9
10 11 12 13 14 15 16 17 18 19 20 21 22 23  
n
SCLK  
4 dummy  
cycles  
8 Bit Instruction  
6 Address cycles  
Data Output  
Performance  
enhance  
indicator (Note)  
data  
address  
P4 P0  
P5 P1  
P6 P2  
P7 P3  
EB(hex)  
SI/SIO0  
bit4, bit0, bit4....  
bit20, bit16..bit0  
High Impedance  
High Impedance  
High Impedance  
address  
bit21, bit17..bit1  
data  
bit5 bit1, bit5....  
SO/SIO1  
WP#/SIO2  
NC/SIO3  
address  
bit22, bit18..bit2  
data  
bit6 bit2, bit6....  
address  
bit23, bit19..bit3  
data  
bit7 bit3, bit7....  
CS#  
n+1  
...........  
n+7......n+9 ........... n+13  
...........  
SCLK  
4 dummy  
cycles  
6 Address cycles  
Data Output  
Performance  
enhance  
indicator (Note)  
data  
address  
P4 P0  
P5 P1  
P6 P2  
P7 P3  
SI/SIO0  
bit4, bit0, bit4....  
bit20, bit16..bit0  
address  
bit21, bit17..bit1  
data  
bit5 bit1, bit5....  
SO/SIO1  
WP#/SIO2  
NC/SIO3  
address  
bit22, bit18..bit2  
data  
bit6 bit2, bit6....  
address  
bit23, bit19..bit3  
data  
bit7 bit3, bit7....  
Note: Performance enhance mode, if P7=P3 & P6=P2 & P5=P1 & P4=P0 (Toggling), ex: A5, 5A, 0F  
Reset the performance enhance mode, if P7=P3 or P6=P2 or P5=P1 or P4=P0, ex: AA, 00, FF  
P/N: PM1430  
REV. 1.3, NOV. 03, 2010  
40  
MX25L1655D  
Figure 23. Page Program (PP) Sequence (Command 02)  
CS#  
0
1
2
3
4
5
6
7
8
9
10  
28 29 30 31 32 33 34 35 36 37 38 39  
SCLK  
Command  
02  
24-Bit Address  
Data Byte 1  
23 22 21  
MSB  
3
2
1
0
7
6
5
4
3
2
0
1
SI  
MSB  
CS#  
40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55  
SCLK  
Data Byte 2  
Data Byte 3  
Data Byte 256  
7
6
5
4
3
2
0
7
6
5
4
3
2
0
7
6
5
4
3
2
0
1
1
1
SI  
MSB  
MSB  
MSB  
Figure 24. 4 x I/O Page Program (4PP) Sequence (Command 38)  
CS#  
10 11 12 13 14 15 16 17 18 19 20 21  
Data Data Data Data  
0
1
2
3
4
5
6
7
8
9
SCLK  
Command  
38  
6 Address cycle  
Byte 1 Byte 2 Byte 3 Byte 4  
16 12  
8
9
4
0
20  
4
0
4
0
4
0
4
0
SI/SIO0  
21 17 13  
5
6
7
1
2
3
SO/SIO1  
WP#/SIO2  
NC/SIO3  
5
6
7
1
2
3
5
6
7
1
2
3
5
6
7
1
2
3
5
6
7
1
2
3
22 18 14 10  
23 19 15 11  
P/N: PM1430  
REV. 1.3, NOV. 03, 2010  
41  
MX25L1655D  
Figure 25. Continously Program (CP) Mode Sequence with Hardware Detection (Command AD)  
CS#  
20 2122 23 24  
0
1
30 31 31 32  
47 48  
0
7
7
8
0
6
7 8  
0
1
6 7 8 9  
SCLK  
Command  
AD (hex)  
data in  
Byte n-1, Byte n  
Valid  
Command (1)  
data in  
Byte 0, Byte1  
04 (hex)  
05 (hex)  
24-bit address  
SI  
high impedance  
status (2)  
S0  
Notes: (1) During CP mode, the valid commands are CP command (AD hex), WRDI command (04 hex), RDSR  
command (05 hex), and RDSCUR command (2B hex).  
(2) Once an internal programming operation begins, CS# goes low will drive the status on the SO pin and  
CS# goes high will return the SO pin to tri-state.  
(3) To end the CP mode, either reaching the highest unprotected address or sending Write Disable (WRDI)  
command (04 hex) may achieve it and then it is recommended to send RDSR command (05 hex) to verify if  
CP mode is ended.  
Figure 26. Sector Erase (SE) Sequence (Command 20)  
CS#  
0
1
2
3
4
5
6
7
8
9
29 30 31  
SCLK  
Command  
20  
24 Bit Address  
SI  
23 22  
MSB  
2
1
0
Note: SE command is 20(hex).  
Figure 27. Block Erase (BE) Sequence (Command D8)  
CS#  
0
1
2
3
4
5
6
7
8
9
29 30 31  
SCLK  
Command  
D8  
24 Bit Address  
SI  
23 22  
MSB  
2
0
1
Note: BE command is D8(hex).  
P/N: PM1430  
REV. 1.3, NOV. 03, 2010  
42  
MX25L1655D  
Figure 28. Chip Erase (CE) Sequence (Command 60 or C7)  
CS#  
0
1
2
3
4
5
6
7
SCLK  
SI  
Command  
60 or C7  
Note: CE command is 60(hex) or C7(hex).  
Figure 29. Deep Power-down (DP) Sequence (Command B9)  
CS#  
t
DP  
0
1
2
3
4
5
6
7
SCLK  
SI  
Command  
B9  
Stand-by Mode  
Deep Power-down Mode  
Figure 30. Release from Deep Power-down and Read Electronic Signature (RES) Sequence (Command AB)  
CS#  
0
1
2
3
4
5
6
7
8
9
10  
28 29 30 31 32 33 34 35 36 37 38  
SCLK  
Command  
AB  
t
3 Dummy Bytes  
RES2  
SI  
23 22 21  
MSB  
3
2
1
0
Electronic Signature Out  
High-Z  
7
6
5
4
3
2
0
1
SO  
MSB  
Deep Power-down Mode  
Stand-by Mode  
P/N: PM1430  
REV. 1.3, NOV. 03, 2010  
43  
MX25L1655D  
Figure 31. Release from Deep Power-down (RDP) Sequence (Command AB)  
CS#  
t
RES1  
0
1
2
3
4
5
6
7
SCLK  
Command  
AB  
SI  
High-Z  
SO  
Deep Power-down Mode  
Stand-by Mode  
Figure 32. Read Electronic Manufacturer & Device ID (REMS) Sequence (Command 90 or EF or DF)  
CS#  
0
1
2
3
4
5
6
7
8
9 10  
SCLK  
Command  
90  
2 Dummy Bytes  
SI  
15 14 13  
3
2
1
0
High-Z  
SO  
CS#  
47  
28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46  
SCLK  
ADD (1)  
7
6
5
4
3
2
0
1
SI  
Manufacturer ID  
Device ID  
7
6
5
4
3
2
1
0
7
7
6
5
4
3
2
0
1
X
SO  
MSB  
MSB  
MSB  
Notes:  
(1) ADD=00H will output the manufacturer's ID first and ADD=01H will output device ID first  
(2) Instruction is either 90(hex) or EF(hex) or DF(hex).  
P/N: PM1430  
REV. 1.3, NOV. 03, 2010  
44  
MX25L1655D  
Figure 33. Power-up Timing  
V
CC  
V
(max)  
CC  
Chip Selection is Not Allowed  
V
(min)  
CC  
Device is fully accessible  
tVSL  
time  
Note: VCC (max.) is 3.6V and VCC (min.) is 2.7V.  
Table 9. Power-Up Timing  
Symbol  
Parameter  
Min.  
Max.  
Unit  
tVSL(1)  
VCC(min) to CS# low  
200  
us  
Note: 1. The parameter is characterized only.  
INITIAL DELIVERY STATE  
The device is delivered with the memory array erased: all bits are set to 1 (each byte contains FFh). The Status  
Register contains 00h (all Status Register bits are 0).  
P/N: PM1430  
REV. 1.3, NOV. 03, 2010  
45  
MX25L1655D  
OPERATING CONDITIONS  
At Device Power-Up and Power-Down  
AC timing illustrated in Figure 34 and Figure 35 are for the supply voltages and the control signals at device power-  
up and power-down. If the timing in the figures is ignored, the device will not operate correctly.  
During power-up and power-down, CS# needs to follow the voltage applied on VCC to keep the device not to be  
selected. The CS# can be driven low when VCC reach Vcc(min.) and wait a period of tVSL.  
Figure 34. AC Timing at Device Power-Up  
VCC(min)  
VCC  
GND  
tVR  
tSHSL  
CS#  
tSHCH  
tSLCH  
tCHSL  
tCHSH  
SCLK  
tDVCH  
tCHCL  
tCHDX  
tCLCH  
MSB IN  
LSB IN  
SI  
High Impedance  
SO  
Symbol  
tVR  
Parameter  
VCC Rise Time  
Notes  
Min.  
20  
Max.  
500000  
Unit  
us/V  
1
Notes :  
1. Sampled, not 100% tested.  
2. For AC spec tCHSL, tSLCH, tDVCH, tCHDX, tSHSL, tCHSH, tSHCH, tCHCL, tCLCH in the figure, please refer to  
"AC CHARACTERISTICS" table.  
P/N: PM1430  
REV. 1.3, NOV. 03, 2010  
46  
MX25L1655D  
Figure 35. Power-Down Sequence  
During power-down, CS# needs to follow the voltage drop on VCC to avoid mis-operation.  
VCC  
CS#  
SCLK  
P/N: PM1430  
REV. 1.3, NOV. 03, 2010  
47  
MX25L1655D  
ERASE AND PROGRAMMING PERFORMANCE  
PARAMETER  
Min.  
TYP. (1)  
Max. (2)  
100  
300  
2
UNIT  
ms  
ms  
s
Chip Unprotect  
40  
60  
Sector Erase Cycle Time  
Block Erase Cycle Time  
0.7  
14  
Chip Erase Cycle Time  
30  
s
Byte Program Time (via page program command)  
Page Program Cycle Time  
Erase/Program Cycle  
9
300  
5
us  
1.4  
100,000  
9
ms  
cycles  
us  
Block Write Lock Protection  
300  
Notes:  
1. Typical program and erase time assumes the following conditions: 25°C, 3.3V, and checker board pattern.  
2. Under worst conditions of 85°C and 2.7V.  
3. System-level overhead is the time required to execute the first-bus-cycle sequence for the programming com-  
mand.  
DATA RETENTION  
PARAMETER  
Condition  
Min.  
Max.  
UNIT  
Data retention  
55˚C  
20  
years  
LATCH-UP CHARACTERISTICS  
MIN.  
MAX.  
Input Voltage with respect to GND on all power pins, SI, CS#  
Input Voltage with respect to GND on SO  
Current  
-1.0V  
-1.0V  
2 VCCmax  
VCC + 1.0V  
+100mA  
-100mA  
Includes all pins except VCC. Test conditions: VCC = 3.0V, one pin at a time.  
P/N: PM1430  
REV. 1.3, NOV. 03, 2010  
48  
MX25L1655D  
ORDERING INFORMATION  
OPERATING  
CURRENT  
MAX. (mA)  
STANDBY  
CURRENT  
MAX. (uA)  
CLOCK  
(MHz)  
PART NO.  
TEMPERATURE PACKAGE  
Remark  
8-SOP  
(200mil)  
24-TFBGA  
(6x8mm)  
RoHS  
compliant  
RoHS  
MX25L1655DM2I-12G  
MX25L1655DXCI-10G  
86  
25  
25  
20  
20  
-40°C~85°C  
-40°C~85°C  
104  
compliant  
P/N: PM1430  
REV. 1.3, NOV. 03, 2010  
49  
MX25L1655D  
PART NAME DESCRIPTION  
MX 25 L 1655D M2  
I
12 G  
OPTION:  
G: RoHS compliant  
SPEED:  
12: 86MHz  
10: 104MHz  
TEMPERATURE RANGE:  
I: Industrial (-40°C to 85°C)  
PACKAGE:  
M2: 200mil 8-SOP  
XC: 6x8mm 24-ball TFBGA  
DENSITY & MODE:  
1655D: 16Mb Security type  
TYPE:  
L: 3V  
DEVICE:  
25: Serial Flash  
P/N: PM1430  
REV. 1.3, NOV. 03, 2010  
50  
MX25L1655D  
PACKAGE INFORMATION  
P/N: PM1430  
REV. 1.3, NOV. 03, 2010  
51  
MX25L1655D  
P/N: PM1430  
REV. 1.3, NOV. 03, 2010  
52  
MX25L1655D  
REVISION HISTORY  
Revision No. Description  
Page  
Date  
1.1  
1. Added 24-ball BGA package  
P6,8,44,45 JAN/23/2009  
P47  
2. Added Read Block Write Lock status (RDBLOCK)  
P15,17,33  
3. Modified Figure 19. 4 x I/O Read Mode Sequence (Command EB) P35  
4. Modified HARDWARE FEATURES: 24-ball BGA note  
5. Added QE bit/SRWD bit status register information  
6. Revised tCH/tCL Min. value and Notes 3 in Table 10.  
7. Changed VWI parameter description  
P6,8,44,45  
P17  
P29  
P41  
1.2  
1.3  
1. Removed "Low Vcc write inhibit" function  
2. Removed QE bit/SRWD bit status register information  
3. Removed ICC3  
4. Modified tCH/tCL from 4.5/4.5ns to 4.7/4.7ns  
5. Modified data retention from 10 years to 20 years  
1. Added 4READ and 2READ conditions  
P5,10,25,41 MAR/11/2009  
P17,20  
P29  
P5  
P7,15,16  
20-22,38-39  
P8,10,49,50  
P29  
NOV/3/2010  
2. Added 24-ball BGA package  
3. Modified storage temperature  
4. Removed loading from clock rate in Figure 5. OUTPUT LOADING P7,30,32  
and Table 8. AC CHARACTERISTICS  
5. Revised Figure 7. OUTPUT TIMING  
6. Revised Figure 26. Sector Erase  
7. Revised Figure 34. AC Timing at Device Power-up  
and added Figure 35. Power-Down Sequence  
P33  
P42  
P46  
P47  
8. Revised notes of ERASE AND PROGRAMMING PERFORMANCE P48  
9. Added DATA RETENTION table P48  
10. Revised Modified environmental hazzardous substance wording P8,49, 50  
P/N: PM1430  
REV. 1.3, NOV. 03, 2010  
53  
MX25L1655D  
Macronix's products are not designed, manufactured, or intended for use for any high risk applications in which  
the failure of a single component could cause death, personal injury, severe physical damage, or other substan-  
tial harm to persons or property, such as life-support systems, high temperature automotive, medical, aircraft  
and military application. Macronix and its suppliers will not be liable to you and/or any third party for any claims,  
injuries or damages that may be incurred due to use of Macronix's products in the prohibited applications.  
Copyright© Macronix International Co., Ltd. 2006~2010. All Rights Reserved. Macronix, MXIC, MXIC Logo,  
MX Logo, are trademarks or registered trademarks of Macronix International Co., Ltd.. The names and brands  
of other companies are for identification purposes only and may be claimed as the property of the respective  
companies.  
For the contact and order information, please visit Macronix’s Web site at: http://www.macronix.com  
MACRONIX INTERNATIONAL CO., LTD. reserves the right to change product and specifications without notice.  
54  

相关型号:

SI9130DB

5- and 3.3-V Step-Down Synchronous Converters

Warning: Undefined variable $rtag in /www/wwwroot/website_ic37/www.icpdf.com/pdf/pdf/index.php on line 217
-
VISHAY

SI9135LG-T1

SMBus Multi-Output Power-Supply Controller

Warning: Undefined variable $rtag in /www/wwwroot/website_ic37/www.icpdf.com/pdf/pdf/index.php on line 217
-
VISHAY

SI9135LG-T1-E3

SMBus Multi-Output Power-Supply Controller

Warning: Undefined variable $rtag in /www/wwwroot/website_ic37/www.icpdf.com/pdf/pdf/index.php on line 217
-
VISHAY

SI9135_11

SMBus Multi-Output Power-Supply Controller

Warning: Undefined variable $rtag in /www/wwwroot/website_ic37/www.icpdf.com/pdf/pdf/index.php on line 217
-
VISHAY

SI9136_11

Multi-Output Power-Supply Controller

Warning: Undefined variable $rtag in /www/wwwroot/website_ic37/www.icpdf.com/pdf/pdf/index.php on line 217
-
VISHAY

SI9130CG-T1-E3

Pin-Programmable Dual Controller - Portable PCs

Warning: Undefined variable $rtag in /www/wwwroot/website_ic37/www.icpdf.com/pdf/pdf/index.php on line 217
-
VISHAY

SI9130LG-T1-E3

Pin-Programmable Dual Controller - Portable PCs

Warning: Undefined variable $rtag in /www/wwwroot/website_ic37/www.icpdf.com/pdf/pdf/index.php on line 217
-
VISHAY

SI9130_11

Pin-Programmable Dual Controller - Portable PCs

Warning: Undefined variable $rtag in /www/wwwroot/website_ic37/www.icpdf.com/pdf/pdf/index.php on line 217
-
VISHAY

SI9137

Multi-Output, Sequence Selectable Power-Supply Controller for Mobile Applications

Warning: Undefined variable $rtag in /www/wwwroot/website_ic37/www.icpdf.com/pdf/pdf/index.php on line 217
-
VISHAY

SI9137DB

Multi-Output, Sequence Selectable Power-Supply Controller for Mobile Applications

Warning: Undefined variable $rtag in /www/wwwroot/website_ic37/www.icpdf.com/pdf/pdf/index.php on line 217
-
VISHAY

SI9137LG

Multi-Output, Sequence Selectable Power-Supply Controller for Mobile Applications

Warning: Undefined variable $rtag in /www/wwwroot/website_ic37/www.icpdf.com/pdf/pdf/index.php on line 217
-
VISHAY

SI9122E

500-kHz Half-Bridge DC/DC Controller with Integrated Secondary Synchronous Rectification Drivers

Warning: Undefined variable $rtag in /www/wwwroot/website_ic37/www.icpdf.com/pdf/pdf/index.php on line 217
-
VISHAY