FQP6N70 [ONSEMI]

700V,N 沟道 QFET®;
FQP6N70
型号: FQP6N70
厂家: ONSEMI    ONSEMI
描述:

700V,N 沟道 QFET®

局域网 开关 脉冲 晶体管
文件: 总10页 (文件大小:837K)
中文:  中文翻译
下载:  下载PDF数据表文档文件
Is Now Part of  
To learn more about ON Semiconductor, please visit our website at  
www.onsemi.com  
Please note: As part of the Fairchild Semiconductor integration, some of the Fairchild orderable part numbers  
will need to change in order to meet ON Semiconductor’s system requirements. Since the ON Semiconductor  
product management systems do not have the ability to manage part nomenclature that utilizes an underscore  
(_), the underscore (_) in the Fairchild part numbers will be changed to a dash (-). This document may contain  
device numbers with an underscore (_). Please check the ON Semiconductor website to verify the updated  
device numbers. The most current and up-to-date ordering information can be found at www.onsemi.com. Please  
email any questions regarding the system integration to Fairchild_questions@onsemi.com.  
ON Semiconductor and the ON Semiconductor logo are trademarks of Semiconductor Components Industries, LLC dba ON Semiconductor or its subsidiaries in the United States and/or other countries. ON Semiconductor owns the rights to a number  
of patents, trademarks, copyrights, trade secrets, and other intellectual property. A listing of ON Semiconductor’s product/patent coverage may be accessed at www.onsemi.com/site/pdf/Patent-Marking.pdf. ON Semiconductor reserves the right  
to make changes without further notice to any products herein. ON Semiconductor makes no warranty, representation or guarantee regarding the suitability of its products for any particular purpose, nor does ON Semiconductor assume any liability  
arising out of the application or use of any product or circuit, and specifically disclaims any and all liability, including without limitation special, consequential or incidental damages. Buyer is responsible for its products and applications using ON  
Semiconductor products, including compliance with all laws, regulations and safety requirements or standards, regardless of any support or applications information provided by ON Semiconductor. “Typical” parameters which may be provided in ON  
Semiconductor data sheets and/or specifications can and do vary in different applications and actual performance may vary over time. All operating parameters, including “Typicals” must be validated for each customer application by customer’s  
technical experts. ON Semiconductor does not convey any license under its patent rights nor the rights of others. ON Semiconductor products are not designed, intended, or authorized for use as a critical component in life support systems or any FDA  
Class 3 medical devices or medical devices with a same or similar classification in a foreign jurisdiction or any devices intended for implantation in the human body. Should Buyer purchase or use ON Semiconductor products for any such unintended  
or unauthorized application, Buyer shall indemnify and hold ON Semiconductor and its officers, employees, subsidiaries, affiliates, and distributors harmless against all claims, costs, damages, and expenses, and reasonable attorney fees arising out  
of, directly or indirectly, any claim of personal injury or death associated with such unintended or unauthorized use, even if such claim alleges that ON Semiconductor was negligent regarding the design or manufacture of the part. ON Semiconductor  
is an Equal Opportunity/Affirmative Action Employer. This literature is subject to all applicable copyright laws and is not for resale in any manner.  
ꢀꢁꢂꢃꢄꢅꢆꢇꢇꢇ  
ꢀꢁ  
QFET  
ꢀꢁꢂꢃꢄꢅꢆ  
ꢀꢁꢁꢂꢃꢄꢅꢆꢇꢈꢉꢉꢊꢋꢃꢃꢌꢍꢎꢏꢐꢑ  
ꢀꢁꢂꢁꢃꢄꢅꢆꢇꢁꢈꢉꢃꢊꢋꢌꢊꢍꢂ  
ꢎꢁꢄꢌꢏꢃꢁꢈ  
ꢀꢁꢂꢃꢂꢄ ꢅꢆꢇꢁꢈꢉꢉꢂꢊꢄ ꢂꢉꢁꢈꢉꢋꢂꢌꢂꢉꢍꢄ ꢌꢎꢏꢂꢄ ꢐꢎꢑꢂꢒꢄ ꢓꢔꢂꢊꢏꢄ ꢂꢓꢓꢂꢋꢍ  
ꢍꢒꢈꢉꢃꢔꢃꢍꢎꢒꢃꢄ ꢈꢒꢂꢄ ꢐꢒꢎꢏꢕꢋꢂꢏꢄ ꢕꢃꢔꢉꢖꢄ ꢗꢈꢔꢒꢋꢁꢔꢊꢏꢘꢃꢄ ꢐꢒꢎꢐꢒꢔꢂꢍꢈꢒꢙꢚ  
ꢐꢊꢈꢉꢈꢒꢄꢃꢍꢒꢔꢐꢂꢚꢄꢛꢜꢝꢞꢄꢍꢂꢋꢁꢉꢎꢊꢎꢖꢙ  
$
$
$
$
$
$
% &'ꢚꢄ())*ꢚꢄ+  
ꢄ,ꢄ- .ꢄꢄ/ꢄ* ꢄ,ꢄ-)ꢄ*  
ꢀꢁꢂꢃꢄꢅ ꢆꢁ  
0ꢎꢑꢄꢖꢈꢍꢂꢄꢋꢁꢈꢒꢖꢂꢄ1ꢄꢍꢙꢐꢔꢋꢈꢊꢄꢄ2)ꢄꢉꢇ3  
0ꢎꢑꢄꢇꢒꢃꢃꢄ1ꢄꢍꢙꢐꢔꢋꢈꢊꢄꢄ-.ꢄꢐꢗ3  
ꢗꢈꢃꢍꢄꢃꢑꢔꢍꢋꢁꢔꢉꢖ  
ꢀꢁꢔꢃꢄꢈꢏ!ꢈꢉꢋꢂꢏꢄꢍꢂꢋꢁꢉꢎꢊꢎꢖꢙꢄꢁꢈꢃꢄ"ꢂꢂꢉꢄꢂꢃꢐꢂꢋꢔꢈꢊꢊꢙꢄꢍꢈꢔꢊꢎꢒꢂꢏꢄꢍꢎ  
ꢌꢔꢉꢔꢌꢔ#ꢂꢄ ꢎꢉꢆꢃꢍꢈꢍꢂꢄ ꢒꢂꢃꢔꢃꢍꢈꢉꢋꢂꢚꢄ ꢐꢒꢎ!ꢔꢏꢂꢄ ꢃꢕꢐꢂꢒꢔꢎꢒꢄ ꢃꢑꢔꢍꢋꢁꢔꢉꢖ  
ꢐꢂꢒꢓꢎꢒꢌꢈꢉꢋꢂꢚꢄ ꢈꢉꢏꢄ ꢑꢔꢍꢁꢃꢍꢈꢉꢏꢄ ꢁꢔꢖꢁꢄ ꢂꢉꢂꢒꢖꢙꢄ ꢐꢕꢊꢃꢂꢄ ꢔꢉꢄ ꢍꢁꢂ  
ꢈ!ꢈꢊꢈꢉꢋꢁꢂꢄꢈꢉꢏꢄꢋꢎꢌꢌꢕꢍꢈꢍꢔꢎꢉꢄꢌꢎꢏꢂ ꢄꢀꢁꢂꢃꢂꢄꢏꢂ!ꢔꢋꢂꢃꢄꢈꢒꢂꢄꢑꢂꢊꢊ  
ꢃꢕꢔꢍꢂꢏꢄꢓꢎꢒꢄꢁꢔꢖꢁꢄꢂꢓꢓꢔꢋꢔꢂꢉꢋꢙꢄꢃꢑꢔꢍꢋꢁꢄꢌꢎꢏꢂꢄꢐꢎꢑꢂꢒꢄꢃꢕꢐꢐꢊꢙ  
-))4ꢄꢈ!ꢈꢊꢈꢉꢋꢁꢂꢄꢍꢂꢃꢍꢂꢏ  
5ꢌꢐꢒꢎ!ꢂꢏꢄꢏ!6ꢏꢍꢄꢋꢈꢐꢈ"ꢔꢊꢔꢍꢙ  
!
"
! "  
"
"
 !  
ꢀꢁꢂꢃꢄꢄꢅ  
ꢀꢁꢂꢃꢄꢅꢆꢇꢅꢈ  
!
ꢒꢓꢔꢕꢋꢖꢗꢊꢃꢌꢈꢘꢙꢚꢖꢚꢃꢛꢈꢗꢙꢉꢜꢔꢀꢀꢀꢁ ꢀꢂꢀꢃꢄꢅꢆꢀꢇꢈꢉꢊꢋꢋꢀꢌꢍꢎꢊꢏꢐꢑꢋꢊꢀꢈꢌꢍꢊꢒ  
ꢂꢃꢄꢅꢆꢇ  
ꢈꢉꢊꢉꢄꢋꢌꢋꢊ  
ꢍꢎꢈꢏꢐꢑꢒ  
())  
ꢓꢔꢕꢌꢖ  
*
*
5
ꢛꢒꢈꢔꢉꢆꢞꢎꢕꢒꢋꢂꢄ*ꢎꢊꢍꢈꢖꢂ  
ꢀꢁꢁ  
ꢆꢄꢇꢎꢉꢍꢔꢉꢕꢎꢕꢃꢄ1ꢀ ꢄ,ꢄ&.7ꢇ3  
ꢛꢒꢈꢔꢉꢄꢇꢕꢒꢒꢂꢉꢍ  
% &  
'
ꢆꢄꢇꢎꢉꢍꢔꢉꢕꢎꢕꢃꢄ1ꢀ ꢄ,ꢄ-))7ꢇ3  
2 8  
'
5
ꢓꢔꢌꢍꢊꢀꢕꢖ  
ꢛꢒꢈꢔꢉꢄꢇꢕꢒꢒꢂꢉꢍ  
ꢆꢄ9ꢕꢊꢃꢂꢏ  
&: ;  
'
ꢀꢈ  
*
=
5
<ꢈꢍꢂꢆꢞꢎꢕꢒꢋꢂꢄ*ꢎꢊꢍꢈꢖꢂ  
±2)  
*
ꢆꢁꢁ  
ꢉꢁ  
ꢓꢔꢌꢍꢊꢀꢃꢖ  
ꢓꢔꢌꢍꢊꢀꢕꢖ  
ꢓꢔꢌꢍꢊꢀꢕꢖ  
ꢓꢔꢌꢍꢊꢀꢗꢖ  
ꢞꢔꢉꢖꢊꢂꢄ9ꢕꢊꢃꢂꢏꢄ'!ꢈꢊꢈꢉꢋꢁꢂꢄ=ꢉꢂꢒꢖꢙ  
'!ꢈꢊꢈꢉꢋꢁꢂꢄꢇꢕꢒꢒꢂꢉꢍ  
%))  
ꢌ>  
'
% &  
ꢉꢊ  
=
+ꢂꢐꢂꢍꢔꢍꢔ!ꢂꢄ'!ꢈꢊꢈꢉꢋꢁꢂꢄ=ꢉꢂꢒꢖꢙ  
9ꢂꢈ?ꢄꢛꢔꢎꢏꢂꢄ+ꢂꢋꢎ!ꢂꢒꢙꢄꢏ!6ꢏꢍ  
-: &  
ꢌ>  
ꢉꢊ  
ꢏ!6ꢏꢍ  
: .  
*ꢉꢃ  
@
9
9ꢎꢑꢂꢒꢄꢛꢔꢃꢃꢔꢐꢈꢍꢔꢎꢉꢄꢄ1ꢀ ꢄ,ꢄ&.7ꢇ3  
-:&  
ꢆꢄꢛꢂꢒꢈꢍꢂꢄꢈ"ꢎ!ꢂꢄ&.7ꢇ  
ꢝꢐꢂꢒꢈꢍꢔꢉꢖꢄꢈꢉꢏꢄꢞꢍꢎꢒꢈꢖꢂꢄꢌꢐꢂꢒꢈꢍꢕꢒꢂꢄ+ꢈꢉꢖꢂ  
- -:  
@67ꢇ  
7ꢇ  
 ꢚꢄꢀ  
ꢆ..ꢄꢍꢎꢄA-.)  
ꢁꢌꢆ  
ꢜꢈBꢔꢌꢕꢌꢄꢊꢂꢈꢏꢄꢍꢂꢌꢐꢂꢒꢈꢍꢕꢒꢂꢄꢓꢎꢒꢄꢃꢎꢊꢏꢂꢒꢔꢉꢖꢄꢐꢕꢒꢐꢎꢃꢂꢃꢚ  
2))  
7ꢇ  
-6;ꢄꢓꢒꢎꢌꢄꢋꢈꢃꢂꢄꢓꢎꢒꢄ.ꢄꢃꢂꢋꢎꢉꢏꢃ  
ꢑꢇꢊꢝꢚꢈꢋꢃꢆꢇꢈꢝꢈꢞꢗꢊꢝꢙꢔꢗꢙꢞꢔꢃ  
ꢂꢃꢄꢅꢆꢇ  
ꢈꢉꢊꢉꢄꢋꢌꢋꢊ  
ꢀꢃꢗ  
ꢆꢆ  
ꢁꢉꢘ  
) ;;  
ꢆꢆ  
ꢓꢔꢕꢌꢖ  
7ꢇ@  
7ꢇ@  
7ꢇ@  
+
+
+
ꢀꢁꢂꢒꢌꢈꢊꢄ+ꢂꢃꢔꢃꢍꢈꢉꢋꢂꢚꢄ>ꢕꢉꢋꢍꢔꢎꢉꢆꢍꢎꢆꢇꢈꢃꢂ  
ꢀꢁꢂꢒꢌꢈꢊꢄ+ꢂꢃꢔꢃꢍꢈꢉꢋꢂꢚꢄꢇꢈꢃꢂꢆꢍꢎꢆꢞꢔꢉ?  
θꢋꢇ  
) .  
ꢆꢆ  
θꢇꢁ  
ꢀꢁꢂꢒꢌꢈꢊꢄ+ꢂꢃꢔꢃꢍꢈꢉꢋꢂꢚꢄ>ꢕꢉꢋꢍꢔꢎꢉꢆꢍꢎꢆ'ꢌ"ꢔꢂꢉꢍ  
%& .  
θꢋꢉ  
ꢀꢁꢂꢂꢂꢃꢄꢅꢆꢇꢈꢉꢆꢊꢋꢃꢌꢍꢎꢆꢈꢏꢐꢋꢑꢈꢒꢏꢇꢃꢓꢐꢒꢍꢇꢐꢅꢒꢆꢏꢐꢅꢊ  
ꢔꢍꢕꢖꢃꢗꢘꢃꢗꢙꢇꢆꢊꢃꢁꢂꢂꢂ  
ꢐꢋꢊꢞꢗꢝꢙꢞꢈꢋꢃꢆꢇꢈꢝꢈꢞꢗꢊꢝꢙꢔꢗꢙꢞꢔꢀꢀꢀꢀꢀ ꢀꢂꢀꢃꢄꢅꢆꢀꢇꢈꢉꢊꢋꢋꢀꢌꢍꢎꢊꢏꢐꢑꢋꢊꢀꢈꢌꢍꢊꢒ  
ꢂꢃꢄꢅꢆꢇ  
ꢈꢉꢊꢉꢄꢋꢌꢋꢊ  
ꢀꢋꢖꢌꢛꢜꢆꢔꢝꢕꢌꢕꢆꢔꢖ  
ꢁꢕꢔ  
 
ꢁꢉꢘ  
ꢓꢔꢕꢌꢖ  
ꢐꢑꢑꢆꢒꢓꢄꢃꢄꢉꢌꢁꢃꢊꢈꢌꢊꢉꢈ  
C*  
*
ꢄ,ꢄ)ꢄ*ꢚꢄ5 ꢄ,ꢄ&.)ꢄµ'  
ꢆꢁ   
ꢛꢒꢈꢔꢉꢆꢞꢎꢕꢒꢋꢂꢄCꢒꢂꢈ?ꢏꢎꢑꢉꢄ*ꢎꢊꢍꢈꢖꢂꢄ  
())  
ꢆꢆ  
ꢆꢆ  
ꢆꢆ  
ꢆꢆ  
*
ꢀꢁꢁ  
C*  
Cꢒꢂꢈ?ꢏꢎꢑꢉꢄ*ꢎꢊꢍꢈꢖꢂꢄꢌꢐꢂꢒꢈꢍꢕꢒꢂꢄ  
ꢇꢎꢂꢓꢓꢔꢋꢔꢂꢉꢍꢄ  
ꢀꢁꢁ  
5 ꢄ,ꢄ&.)ꢄµ'ꢚꢄ+ꢂꢓꢂꢒꢂꢉꢋꢂꢏꢄꢍꢎꢄ&.7ꢇ  
) (;  
*67ꢇ  
6ꢄꢄꢄ  
5
*
*
*
*
ꢄ,ꢄ())ꢄ*ꢄ* ꢄ,ꢄ)ꢄ*  
ꢆꢆ  
ꢆꢆ  
ꢆꢆ  
ꢆꢆ  
ꢆꢆ  
ꢆꢆ  
ꢆꢆ  
ꢆꢆ  
-)  
-))  
-))  
ꢆ-))  
µ'  
µ'  
ꢉ'  
ꢉ'  
ꢀꢁꢁ  
ꢀꢁ  
ꢀꢁ  
ꢆꢁ  
ꢆꢁ  
ꢆꢁ  
Dꢂꢒꢎꢄ<ꢈꢍꢂꢄ*ꢎꢊꢍꢈꢖꢂꢄꢛꢒꢈꢔꢉꢄꢇꢕꢒꢒꢂꢉꢍ  
ꢄ,ꢄ.%)ꢄ*ꢄꢀ ꢄ,ꢄ-&.7ꢇ  
5
ꢄ,ꢄ2)ꢄ*ꢚꢄ* ꢄ,ꢄ)ꢄ*ꢄ  
ꢀꢁ  
<ꢈꢍꢂꢆCꢎꢏꢙꢄ0ꢂꢈ?ꢈꢖꢂꢄꢇꢕꢒꢒꢂꢉꢍꢚꢄꢗꢎꢒꢑꢈꢒꢏ  
<ꢈꢍꢂꢆCꢎꢏꢙꢄ0ꢂꢈ?ꢈꢖꢂꢄꢇꢕꢒꢒꢂꢉꢍꢚꢄ+ꢂ!ꢂꢒꢃꢂ  
ꢆꢁꢁꢎ  
5
ꢄ,ꢄꢆ2)ꢄ*ꢄ* ꢄ,ꢄ)ꢄ*ꢄ  
ꢀꢁ  
ꢆꢁꢁꢊ  
ꢐꢂꢆꢒꢓꢄꢃꢄꢉꢌꢁꢃꢊꢈꢌꢊꢉꢈꢆꢆꢆ  
*
*
*
*
ꢄ,ꢄꢄ* ꢚꢄꢄ5 ꢄ,ꢄ&.)ꢄµ'  
<ꢈꢍꢂꢄꢀꢁꢒꢂꢃꢁꢎꢊꢏꢄ*ꢎꢊꢍꢈꢖꢂꢄ  
2 )  
ꢆꢆ  
ꢆꢆ  
. )  
- .  
ꢆꢆ  
*
ꢆꢁꢂꢏꢐꢅ  
ꢀꢁ  
ꢆꢁ  
ꢀꢁ  
ꢆꢁ  
+
ꢞꢍꢈꢍꢔꢋꢄꢛꢒꢈꢔꢉꢆꢞꢎꢕꢒꢋꢂꢄ  
ꢝꢉꢆ+ꢂꢃꢔꢃꢍꢈꢉꢋꢂ  
ꢀꢁꢂꢃꢄꢅ  
ꢄ,ꢄ-)ꢄ*ꢚꢄ5 ꢄ,ꢄ2 -ꢄ'  
- -%  
% :  
ꢄ,ꢄ.)ꢄ*ꢚꢄ5 ꢄ,ꢄ2 -ꢄ'  
ꢀꢓꢔꢌꢍꢊꢀꢘꢖ  
ꢗꢎꢒꢑꢈꢒꢏꢄꢀꢒꢈꢉꢃꢋꢎꢉꢏꢕꢋꢍꢈꢉꢋꢂ  
ꢆꢆ  
ꢎꢁ  
ꢇꢔꢂꢄꢕꢊꢉꢆꢒꢓꢄꢃꢄꢉꢌꢁꢃꢊꢈꢌꢊꢉꢈ  
5ꢉꢐꢕꢍꢄꢇꢈꢐꢈꢋꢔꢍꢈꢉꢋꢂ  
ꢆꢆ  
ꢆꢆ  
ꢆꢆ  
--))  
-&.  
-.  
-:))  
-.)  
ꢐꢗ  
ꢐꢗ  
ꢐꢗ  
ꢑꢒꢒ  
*
ꢄ,ꢄ&.ꢄ*ꢚꢄ* ꢄ,ꢄ)ꢄ*ꢚꢄ  
ꢆꢁ  
ꢀꢁ  
ꢝꢕꢍꢐꢕꢍꢄꢇꢈꢐꢈꢋꢔꢍꢈꢉꢋꢂ  
ꢓꢄ,ꢄ- )ꢄꢜE#  
ꢃꢒꢒ  
ꢓꢒꢒ  
+ꢂ!ꢂꢒꢃꢂꢄꢀꢒꢈꢉꢃꢓꢂꢒꢄꢇꢈꢐꢈꢋꢔꢍꢈꢉꢋꢂ  
-&)  
ꢖꢗꢊꢌꢉꢓꢊꢂꢘꢆꢒꢓꢄꢃꢄꢉꢌꢁꢃꢊꢈꢌꢊꢉꢈꢆꢆꢆ  
ꢀꢕꢒꢉꢆꢝꢉꢄꢛꢂꢊꢈꢙꢄꢀꢔꢌꢂ  
ꢀꢕꢒꢉꢆꢝꢉꢄ+ꢔꢃꢂꢄꢀꢔꢌꢂ  
ꢀꢕꢒꢉꢆꢝꢓꢓꢄꢛꢂꢊꢈꢙꢄꢀꢔꢌꢂ  
ꢀꢕꢒꢉꢆꢝꢓꢓꢄꢗꢈꢊꢊꢄꢀꢔꢌꢂ  
ꢍꢈꢊꢄ<ꢈꢍꢂꢄꢇꢁꢈꢒꢖꢂ  
<ꢈꢍꢂꢆꢞꢎꢕꢒꢋꢂꢄꢇꢁꢈꢒꢖꢂ  
<ꢈꢍꢂꢆꢛꢒꢈꢔꢉꢄꢇꢁꢈꢒꢖꢂ  
ꢆꢆ  
ꢆꢆ  
ꢆꢆ  
ꢆꢆ  
ꢆꢆ  
ꢆꢆ  
ꢆꢆ  
&.  
()  
..  
.)  
2)  
% .  
-2  
%)  
-.)  
-&)  
--)  
:)  
ꢉꢃ  
ꢉꢃ  
ꢔꢂꢃꢄꢅ  
*
ꢄ,ꢄ2.)ꢄ*ꢚꢄ5 ꢄ,ꢄ% &ꢄ'ꢚ  
ꢀꢀ  
+ ꢄ,ꢄ&.ꢄΩ  
ꢉꢃ  
ꢔꢂꢃꢕꢕꢅ  
ꢀꢓꢔꢌꢍꢊꢀꢘꢙꢀꢄꢖ  
ꢀꢓꢔꢌꢍꢊꢀꢘꢙꢀꢄꢖ  
ꢉꢃ  
F
F
F
ꢉꢇ  
ꢉꢇ  
ꢉꢇ  
*
*
ꢄ,ꢄ.%)ꢄ*ꢄ5 ꢄ,ꢄ% &ꢄ'ꢚ  
ꢀꢁ  
ꢄ,ꢄ-)ꢄ*  
ꢆꢆ  
ꢖꢒ  
ꢖꢔ  
ꢆꢁ  
ꢆꢆ  
ꢇꢃꢄꢊꢂꢙꢖꢍꢏꢃꢉꢁꢆꢇꢊꢍꢚꢁꢆꢒꢓꢄꢃꢄꢉꢌꢁꢃꢊꢈꢌꢊꢉꢈꢆꢄꢂꢚꢆꢛꢄꢜꢊꢕꢏꢕꢆꢝꢄꢌꢊꢂꢘꢈ  
5
ꢜꢈBꢔꢌꢕꢌꢄꢇꢎꢉꢍꢔꢉꢕꢎꢕꢃꢄꢛꢒꢈꢔꢉꢆꢞꢎꢕꢒꢋꢂꢄꢛꢔꢎꢏꢂꢄꢗꢎꢒꢑꢈꢒꢏꢄꢇꢕꢒꢒꢂꢉꢍ  
ꢜꢈBꢔꢌꢕꢌꢄ9ꢕꢊꢃꢂꢏꢄꢛꢒꢈꢔꢉꢆꢞꢎꢕꢒꢋꢂꢄꢛꢔꢎꢏꢂꢄꢗꢎꢒꢑꢈꢒꢏꢄꢇꢕꢒꢒꢂꢉꢍ  
ꢆꢆ  
ꢆꢆ  
ꢆꢆ  
ꢆꢆ  
ꢆꢆ  
ꢆꢆ  
ꢆꢆ  
% &  
&: ;  
- :  
ꢆꢆ  
'
'
5
ꢁꢈ  
*
*
*
ꢄ,ꢄ)ꢄ*ꢚꢄ5 ꢄ,ꢄ% &ꢄ'ꢄꢄ  
ꢛꢒꢈꢔꢉꢆꢞꢎꢕꢒꢋꢂꢄꢛꢔꢎꢏꢂꢄꢗꢎꢒꢑꢈꢒꢏꢄ*ꢎꢊꢍꢈꢖꢂ  
+ꢂ!ꢂꢒꢃꢂꢄ+ꢂꢋꢎ!ꢂꢒꢙꢄꢀꢔꢌꢂ  
ꢆꢆ  
*
ꢁꢀ  
ꢆꢁ  
ꢆꢁ  
ꢄ,ꢄ)ꢄ*ꢚꢄ5 ꢄ,ꢄ% &ꢄ'ꢚ  
2:)  
& (  
ꢉꢃ  
µ  
ꢓꢓ  
ꢀꢓꢔꢌꢍꢊꢀꢘꢖ  
ꢏ5 ꢄ6ꢄꢏꢍꢄ,ꢄ-))ꢄ'6µꢃꢄ  
F
+ꢂ!ꢂꢒꢃꢂꢄ+ꢂꢋꢎ!ꢂꢒꢙꢄꢇꢁꢈꢒꢖꢂ  
ꢆꢆ  
ꢓꢓ  
ꢀꢁꢂꢃꢄꢅ  
ꢕꢚꢀꢛꢊꢜꢊꢍꢑꢍꢑꢝꢊꢀꢛꢞꢍꢑꢈ ꢀ!ꢀ"ꢇꢉꢋꢊꢀꢐꢑꢒꢍꢎꢀꢉꢑ#ꢑꢍꢊꢒꢀ$%ꢀ#ꢞ&ꢑ#ꢇ#ꢀ'ꢇꢈ(ꢍꢑꢌꢈꢀꢍꢊ#ꢜꢊꢏꢞꢍꢇꢏꢊ  
ꢃꢚꢀ)ꢀꢂꢀꢃ*#+ꢙꢀ, ꢀꢂꢀ-ꢚꢃ.ꢙꢀ/ ꢀꢂꢀꢄ0/ꢙꢀꢛ ꢀꢂꢀꢃꢄꢀΩ, 1ꢍꢞꢏꢍꢑꢈ ꢀꢀꢁ ꢀꢂꢀꢃꢄꢅꢆ  
ꢁꢂ  
ꢃꢃ  
1ꢍꢞꢏꢍꢑꢈ ꢀꢀꢁ ꢀꢂꢀꢃꢄꢅꢆꢀꢀ  
ꢗꢚꢀ, ꢀ-ꢚꢃ.ꢙꢀꢒꢑ2ꢒꢍꢀꢀꢃ00.2µꢋꢙꢀ/ ꢀ3/  
ꢂꢃ  
ꢃꢃ  
ꢃꢂꢂꢆꢇ  
ꢘꢚꢀ"ꢇꢉꢋꢊꢀꢁꢊꢋꢍꢀ!ꢀ"ꢇꢉꢋꢊꢀꢐꢑꢒꢍꢎꢀꢗ00µꢋꢙꢀ4ꢇꢍ%ꢀ(%(ꢉꢊꢃ5  
ꢄꢚꢀ6ꢋꢋꢊꢈꢍꢑꢞꢉꢉ%ꢀꢑꢈꢒꢊꢜꢊꢈꢒꢊꢈꢍꢀꢌ7ꢀꢌꢜꢊꢏꢞꢍꢑꢈ ꢀꢍꢊ#ꢜꢊꢏꢞꢍꢇꢏꢊ  
ꢀꢁꢂꢂꢂꢃꢄꢅꢆꢇꢈꢉꢆꢊꢋꢃꢌꢍꢎꢆꢈꢏꢐꢋꢑꢈꢒꢏꢇꢃꢓꢐꢒꢍꢇꢐꢅꢒꢆꢏꢐꢅꢊ  
ꢔꢍꢕꢖꢃꢗꢘꢃꢗꢙꢇꢆꢊꢃꢁꢂꢂꢂ  
ꢑ !ꢙꢞꢈꢋꢃꢆꢇꢈꢝꢈꢞꢗꢊꢝꢙꢔꢗꢙꢞꢔ  
ꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢕꢈ  
ꢛꢂꢝꢀꢅꢀꢀꢀꢀꢀꢀꢀꢔꢜꢉꢖꢀꢕ  
ꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢔꢖꢉꢖꢀꢕ  
ꢈꢉꢁ  
ꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢞꢉꢖꢀꢕ  
ꢆꢀ  
ꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀ ꢉꢖꢀꢕ  
ꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢈꢉꢜꢀꢕ  
ꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢀꢈꢉꢖꢀꢕ  
!ꢂꢃꢃꢂ"ꢀꢅꢀꢀꢀꢀꢜꢉꢜꢀꢕ  
ꢆꢇꢀ  
ꢈꢉꢂ  
ꢆꢀ  
ꢂꢇꢀ  
ꢈꢇꢇꢀ  
ꢀꢁꢂꢃꢄꢏꢀꢅ  
ꢂꢃꢄꢅꢀꢆ  
ꢀꢀꢀꢔꢉꢀꢊꢜꢖ ꢏꢀ#$%ꢏꢄꢀꢛꢄꢏꢃ  
ꢀꢀꢀꢇꢈꢀꢉꢀꢊꢀꢍꢋꢉ  
ꢀꢀꢀꢌꢈꢀꢌꢍꢋ ꢅꢀꢎꢏꢐꢅꢄꢀꢑꢄꢅꢃ  
ꢀꢁ  
ꢈꢉ  
ꢀꢀꢀꢊꢉꢀꢛꢀꢇꢀꢊꢜ  
ꢆꢇ  
ꢆꢀ  
ꢀꢁ  
ꢈꢉ  
ꢈꢉꢂ  
ꢈꢉꢁ  
ꢆꢀ  
ꢁꢂꢁꢓꢋꢏꢈꢉꢃꢄꢅꢆꢇꢈꢁꢀꢄꢎꢏꢋꢐꢈꢁꢁꢑꢀꢒ  
ꢘꢙꢀꢓꢚꢑꢄꢛꢁꢃꢜꢓꢝꢞꢙꢗꢃ ꢏꢚꢖꢞꢙ!ꢗ"  
ꢀꢁꢂꢃꢄꢅꢆ'ꢈꢆꢔꢎꢕꢖꢅꢂꢁꢘꢎꢆꢉꢏꢊꢄꢊꢌꢍꢅꢄꢁꢐꢍꢁꢌꢐ  
ꢀꢁꢂꢃꢄꢅꢆ%ꢈꢆ#ꢄꢊꢎꢐ&ꢅꢄꢆꢉꢏꢊꢄꢊꢌꢍꢅꢄꢁꢐꢍꢁꢌꢐ  
ꢆꢀ  
ꢁꢂꢁꢆꢅꢀ  
ꢉꢁ  
ꢁꢂꢁꢉꢅꢀ  
ꢉꢁ  
ꢆꢀ  
ꢆꢇꢀꢀ  
ꢂꢇꢀ  
ꢀꢁꢂꢃꢄꢅꢀꢆ  
ꢀꢀꢀꢇꢈꢀꢉꢀꢊꢀꢋꢉ  
ꢀꢀꢀꢌꢈꢀꢌꢍꢋ ꢅꢀꢎꢏꢐꢅꢄꢀꢑꢄꢅꢃ  
ꢀꢁꢂꢃꢄꢀꢅꢀꢛ ꢀꢇꢀꢊꢜ  
ꢆꢇ  
ꢆꢀ  
ꢂꢃ  
ꢂꢆ  
ꢃꢀ  
ꢀꢁꢂ  
ꢀꢁꢃ  
ꢀꢁꢄ  
ꢀꢁꢅ  
ꢆꢁꢀ  
ꢆꢁꢂ  
ꢆꢁꢃ  
 ꢁꢂꢒꢌꢆꢓꢏꢂꢊꢔꢌꢌꢉꢏꢅꢂꢎꢕꢐ  
ꢁꢂꢁꢃꢄꢅꢆꢇꢈꢉꢊꢆꢋꢌꢍꢁꢀꢄꢎꢏꢋꢐꢈꢁꢁꢑꢀꢒ  
ꢀꢁꢂꢃꢄꢅꢆꢓꢈꢆꢔꢎꢕꢖꢅꢐꢁꢐꢍꢊꢎꢌꢅꢆꢗꢊꢄꢁꢊꢍꢁꢘꢎꢆꢆꢙꢐꢈ  
ꢚꢄꢊꢁꢎꢆꢉꢃꢄꢄꢅꢎꢍꢆꢊꢎꢛꢆꢒꢊꢍꢅꢆꢗꢘꢜꢍꢊꢂꢅ  
ꢀꢁꢂꢃꢄꢅꢆꢝꢈꢆꢞꢘꢛ ꢆꢚꢁꢘꢛꢅꢆꢀꢘꢄ!ꢊꢄꢛꢆꢗꢘꢜꢍꢊꢂꢅꢆ  
ꢗꢊꢄꢁꢊꢍꢁꢘꢎꢆꢙꢐꢈꢆ"ꢘꢃꢄꢌꢅꢆꢉꢃꢄꢄꢅꢎꢍꢆꢊꢎꢛꢆ  
#ꢅ$ꢋꢅꢄꢊꢍꢃꢄꢅ  
ꢌꢉꢉꢉ  
ꢂꢃ  
ꢁꢂꢂꢀꢇꢀꢌꢀꢍꢀꢌꢀꢎꢌꢀꢇꢀꢏꢐꢂꢑꢃꢄꢒꢓ  
ꢅꢂꢂꢀꢇꢀꢌꢀꢍꢀꢌ  
ꢃꢄ  
ꢀ ꢁꢂꢁꢆꢇꢅꢀ  
ꢀꢁ  
ꢆꢂꢂꢀꢇꢀꢌ  
ꢃꢄ  
ꢂꢀ  
ꢁꢂꢁꢃꢄꢅꢀ  
ꢀꢁ  
ꢈꢍꢉꢉ  
ꢈꢌꢉꢉ  
ꢋꢉꢉ  
ꢊꢉꢉ  
ꢁꢂꢁꢄꢈꢅꢀ  
ꢅꢃꢃ  
ꢀꢁ  
ꢄꢃꢃ  
ꢀꢁꢂꢃꢄꢏꢀꢅ  
ꢀꢀꢀꢔꢉꢀꢕꢀꢇꢀꢖꢀꢕ  
ꢀꢀꢀꢊꢉꢀꢗꢀꢇꢀꢔꢀꢘꢙꢚ  
ꢂꢃꢃ  
ꢀꢁꢂꢃꢄꢀꢅꢀꢆꢀꢇꢀꢈꢉꢊꢀꢋ  
ꢂꢀ  
ꢂꢁ  
ꢃꢀ  
ꢃꢁ  
ꢄꢀ  
ꢀꢁ  
ꢈꢉ  
ꢈꢉ  
ꢈꢉ  
 ꢁꢂꢃꢄꢅꢆꢇꢂꢈꢆꢅꢉꢂꢊꢋꢆꢌꢍꢉꢂꢎꢏꢊꢐ  
ꢘꢙꢀꢓꢚꢑꢄꢛꢁꢃꢜꢓꢝꢞꢙꢗꢃ ꢏꢚꢖꢞꢙ!ꢗ"  
ꢀꢁꢂꢃꢄꢅꢆꢇꢈꢆꢉꢊꢋꢊꢌꢁꢍꢊꢎꢌꢅꢆꢉꢏꢊꢄꢊꢌꢍꢅꢄꢁꢐꢍꢁꢌꢐ  
ꢀꢁꢂꢃꢄꢅꢆꢑꢈꢆꢒꢊꢍꢅꢆꢆꢉꢏꢊꢄꢂꢅꢆꢉꢏꢊꢄꢊꢌꢍꢅꢄꢁꢐꢍꢁꢌꢐ  
ꢀꢁꢂꢂꢂꢃꢄꢅꢆꢇꢈꢉꢆꢊꢋꢃꢌꢍꢎꢆꢈꢏꢐꢋꢑꢈꢒꢏꢇꢃꢓꢐꢒꢍꢇꢐꢅꢒꢆꢏꢐꢅꢊ  
ꢔꢍꢕꢖꢃꢗꢘꢃꢗꢙꢇꢆꢊꢃꢁꢂꢂꢂ  
ꢑ !ꢙꢞꢈꢋꢃꢆꢇꢈꢝꢈꢞꢗꢊꢝꢙꢔꢗꢙꢞꢔꢃꢀꢀꢀꢀꢓꢆꢌꢈꢍꢑꢈꢇꢊꢒꢖ  
ꢑꢐꢉ  
ꢌꢐꢏ  
ꢌꢐꢉ  
ꢈꢐꢏ  
ꢈꢐꢉ  
ꢉꢐꢏ  
ꢉꢐꢉ  
ꢆꢏꢉ  
ꢆꢏꢆ  
ꢆꢏꢅ  
ꢉꢊꢋꢌꢍꢎꢉꢏ  
ꢅꢏꢑ  
ꢅꢏꢐ  
ꢉꢉꢉꢂꢐꢉꢑ ꢉꢒꢉꢀꢉꢑ  
ꢅꢆ  
ꢀꢁꢂꢃꢄꢅꢀꢆ  
ꢉꢉꢉꢃꢐꢉꢓ ꢉꢒꢉꢃꢁꢀꢉ  
ꢀꢀꢀꢇꢈꢀꢉꢇꢈꢀꢊꢀꢇꢋꢀꢉ  
ꢀꢀꢀꢌꢈꢀꢒꢀꢊꢀꢓꢈꢇꢀꢔ  
ꢎꢆꢅꢅ  
ꢎꢄꢅ  
ꢄꢅ  
ꢆꢅꢅ  
ꢆꢄꢅ  
ꢉꢅꢅ  
ꢎꢈꢉꢉ  
ꢎꢏꢉ  
ꢏꢉ  
ꢈꢉꢉ  
ꢈꢏꢉ  
ꢌꢉꢉ  
ꢁꢕꢅꢍꢇꢏꢌꢄꢍꢁꢔꢈꢖꢗꢈꢆꢋꢏꢅꢆꢈꢁꢑꢒ  
ꢃꢛꢔꢑꢕꢘꢋꢆꢑꢃꢚꢍꢈꢜꢍꢇꢉꢘꢔꢇꢍꢃꢝ  
%
ꢀꢁꢂꢃꢄꢅꢆ-ꢈꢆꢞꢄꢅꢊ.ꢛꢘ!ꢎꢆꢗꢘꢜꢍꢊꢂꢅꢆꢗꢊꢄꢁꢊꢍꢁꢘꢎ  
ꢙꢐꢈꢆ#ꢅ$ꢋꢅꢄꢊꢍꢃꢄꢅ  
ꢀꢁꢂꢃꢄꢅꢆ/ꢈꢆꢔꢎꢕꢖꢅꢐꢁꢐꢍꢊꢎꢌꢅꢆꢗꢊꢄꢁꢊꢍꢁꢘꢎ  
ꢙꢐꢈꢆ#ꢅ$ꢋꢅꢄꢊꢍꢃꢄꢅ  
ꢂꢀ  
ꢀꢁꢂꢃꢄꢅꢆꢇꢈꢉꢆꢈꢉꢊꢋꢆꢌꢉꢍꢃꢂꢄꢉ  
ꢆꢌꢉꢎꢆꢏꢆꢅꢂꢐꢉꢑꢒꢉꢓꢉ  
ꢁꢂꢃꢄꢅꢆ  
ꢆꢅꢁ ꢊ  
µ
ꢂꢀ  
ꢆꢅꢅꢁ ꢊ  
µ
ꢆꢁꢍꢊ  
ꢆꢅꢁꢍꢊ  
ꢋꢌ  
ꢂꢀ  
ꢄꢁ  
ꢂꢀ  
ꢉꢔꢇꢅꢂꢌꢉꢕ  
ꢉꢉꢉꢖꢗꢉꢊꢉꢘꢉꢙꢚꢉ  
ꢉꢉꢉꢙꢗꢉꢊꢉꢘꢉꢖꢚꢜꢉ  
ꢉꢉꢉꢝꢗꢉꢞꢆꢈ !ꢂꢉ"#!ꢌꢂ  
ꢄꢂ  
ꢂꢀ  
ꢃꢁ  
ꢁꢀ  
ꢈꢁ  
ꢂꢀꢀ  
ꢂꢃꢁ  
ꢂꢁꢀ  
ꢂꢀ  
ꢂꢀ  
ꢂꢀ  
ꢂꢀ  
 ꢁꢂꢊꢆꢞꢉꢂꢃꢉ !ꢉꢌꢆꢅꢔꢌꢉꢂꢎ  
ꢁꢂꢒꢌꢆꢓꢏꢗꢘꢄꢔꢌꢙꢉꢂꢖꢄꢇꢅꢆꢍꢉꢂꢎꢖꢐ  
ꢁꢂ  
ꢀꢁꢂꢃꢄꢅꢆ(ꢈꢆ)ꢊ*ꢁ$ꢃ$ꢆ"ꢊ&ꢅꢆꢔꢋꢅꢄꢊꢍꢁꢎꢂꢆ+ꢄꢅꢊ  
ꢀꢁꢂꢃꢄꢅꢆ',ꢈꢆ)ꢊ*ꢁ$ꢃ$ꢆꢚꢄꢊꢁꢎꢆꢉꢃꢄꢄꢅꢎꢍ  
ꢙꢐꢈꢆꢆꢉꢊꢐꢅꢆ#ꢅ$ꢋꢅꢄꢊꢍꢃꢄꢅ  
ꢂ ꢀ  
ꢊ ꢋ ꢌ ꢎ  
ꢀꢁ     ꢀꢅ  
ꢀꢀꢀꢔ ꢉꢀ&   ꢀꢇ ꢀꢖ ꢉꢞ   '( ꢀꢘ ) *   
ꢏ  
ꢀꢀꢀꢊ ꢉꢀ+ $  , ꢀ- ) .    /ꢀ+   'ꢃ ꢌ  
ꢀꢁ  
ꢂ ꢀ  
ꢐ  
ꢀꢀꢀ0 ꢉꢀꢛ ꢊ ꢍ ꢀ1ꢀꢛ ꢀꢇ ꢀ# ꢀ ꢍ ꢀ2 ꢀ&  
   
ꢌ ꢎ  
"
ꢁꢂ  
ꢌ ꢏ  
ꢌ ꢐ  
ꢀ  
ꢃ ꢄ ꢅꢆ ꢇꢈ ꢉ ꢅꢁ ꢆ  
ꢃ  
ꢀꢆ  
ꢂ ꢀ  
ꢀꢃ  
ꢀꢄ  
ꢀꢅ  
ꢀꢆ  
ꢀꢁ  
ꢂ ꢀ  
ꢂ ꢀ  
ꢂ ꢀ  
ꢂ ꢀ  
ꢂ ꢀ  
ꢂ ꢀ  
ꢂ ꢀ  
ꢁꢂ               ꢇꢞ  ꢂꢒ    ꢅꢓꢄ  ꢂꢎꢞ     
ꢀꢁꢂꢃꢄꢅꢆ''ꢈꢆ#ꢄꢊꢎꢐꢁꢅꢎꢍꢆ#ꢏꢅꢄ$ꢊꢜꢆꢖꢅꢐꢋꢘꢎꢐꢅꢆꢉꢃꢄꢙꢅ  
ꢀꢁꢂꢂꢂꢃꢄꢅꢆꢇꢈꢉꢆꢊꢋꢃꢌꢍꢎꢆꢈꢏꢐꢋꢑꢈꢒꢏꢇꢃꢓꢐꢒꢍꢇꢐꢅꢒꢆꢏꢐꢅꢊ  
ꢔꢍꢕꢖꢃꢗꢘꢃꢗꢙꢇꢆꢊꢃꢁꢂꢂꢂ  
ꢆꢒꢊꢍꢅꢆꢉꢏꢊꢄꢂꢅꢆ#ꢅꢐꢍꢆꢉꢁꢄꢌꢃꢁꢍꢆ0ꢆ1ꢊꢙꢅ&ꢘꢄ$  
81  
ꢍꢂꢎꢅꢏꢌꢐꢑꢅ  
ꢂꢒꢏꢊꢋꢌ  
ꢄ0:  
 
ꢕꢃ/  
ꢃ00ꢈ9  
ꢇꢈꢆ  
ꢗ00ꢈ9  
41  
81  
 ꢒ  
 ꢋ  
ꢊꢋꢌ  
ꢗ#.  
ꢀꢁꢂꢃꢄꢅ  
ꢖꢅꢐꢁꢐꢍꢁꢙꢅꢆ"!ꢁꢍꢌꢏꢁꢎꢂꢆ#ꢅꢐꢍꢆꢉꢁꢄꢌꢃꢁꢍꢆ0ꢆ1ꢊꢙꢅ&ꢘꢄ$ꢐ  
)  
41  
*05  
41  
44  
81  
8  
ꢕ05  
81  
ꢊꢋꢌ  
ꢇꢈꢆ  
ꢄꢅꢆꢇꢈ  
ꢉ  
ꢄꢅꢆꢊꢊꢈ  
ꢊ  
 ꢆꢇ  
 ꢆꢊꢊ  
ꢆ2ꢎꢌꢜꢊ$ꢋꢅꢛꢆ3ꢎꢛꢃꢌꢍꢁꢙꢅꢆ"!ꢁꢍꢌꢏꢁꢎꢂꢆ#ꢅꢐꢍꢆꢉꢁꢄꢌꢃꢁꢍꢆ0ꢆ1ꢊꢙꢅ&ꢘꢄ$ꢐ  
ꢚꢆ411  
ꢘꢘꢘꢘꢘꢘꢘꢘꢘꢘꢘꢘꢘꢘꢘꢘꢘꢘꢘꢘ  
ꢚꢆ411  44  
ꢘꢘꢘꢘ  
.1ꢀ  
 .1  
41  
ꢚꢆ411  
.1  
 4  
8  
44  
4ꢀꢛꢜꢝ  
44  
41ꢀꢛꢜꢝ  
ꢊꢋꢌ  
ꢇꢈꢆ  
ꢍꢀꢋ  
ꢍꢀꢋ  
ꢌꢞꢎꢅ  
ꢀꢁꢂꢂꢂꢃꢄꢅꢆꢇꢈꢉꢆꢊꢋꢃꢌꢍꢎꢆꢈꢏꢐꢋꢑꢈꢒꢏꢇꢃꢓꢐꢒꢍꢇꢐꢅꢒꢆꢏꢐꢅꢊ  
ꢔꢍꢕꢖꢃꢗꢘꢃꢗꢙꢇꢆꢊꢃꢁꢂꢂꢂ  
ꢆ4ꢅꢊ.ꢆꢚꢁꢘꢛꢅꢆꢖꢅꢌꢘꢙꢅꢄ ꢆꢛꢙ5ꢛꢍꢆ#ꢅꢐꢍꢆꢉꢁꢄꢌꢃꢁꢍꢆ0ꢆ1ꢊꢙꢅ&ꢘꢄ$ꢐ  
 
ꢊꢋꢌ  
41  
!
 14  
ꢊꢃꢞ"ꢅꢃ  
8  
ꢓꢉꢈꢍꢃꢚ!ꢜꢍꢃ  
ꢉ"ꢃꢐ#ꢚ  
44  
81  
 ꢁꢂꢃꢁꢄ ꢅꢆꢇꢄꢈꢆꢉꢉꢊꢁꢋꢌꢍꢋꢋꢎꢀ  
 ꢁꢂ ꢅꢆꢇꢄꢈꢆꢉꢉꢊꢁꢋꢌꢍꢋꢐꢑꢉꢒꢊꢋꢐꢊꢈꢓꢆꢁꢋ  
ꢞꢘꢄꢊꢋ  ꢑꢉꢒꢊꢋ!ꢓꢁꢄ"  
##########################  
ꢞꢘꢄꢊꢋ  ꢑꢉꢒꢊꢋ  ꢊꢈꢓꢆꢁ  
ꢆꢇ  
ꢕꢋꢝ  
ꢇꢈꢆ  
ꢇꢀꢈꢉꢊꢋꢌꢉꢀꢍ  
ꢃꢄ ꢛꢋꢔꢆꢁꢍꢋꢕꢓꢆꢁꢊꢋꢖꢆꢈꢗꢘꢈꢁꢋꢜꢑꢈꢈꢊꢇꢄ  
 ꢇꢈ  
ꢁꢓꢃꢁꢄ  
ꢇꢀꢈꢏꢁꢀꢍ  
ꢛ;  
ꢔꢆꢁꢍꢋꢕꢓꢆꢁꢊꢋꢎꢊꢂꢊꢈꢒꢊꢋꢜꢑꢈꢈꢊꢇꢄ  
ꢔꢆꢁꢍꢋꢕꢓꢆꢁꢊꢋꢎꢊꢅꢆꢂꢊꢈꢍ ꢁꢂꢃꢁꢄ  
14  
ꢈꢇ  
ꢇꢀꢈꢏꢁꢀꢍ  
44  
ꢔꢆꢁꢍꢋꢕꢓꢆꢁꢊ  
ꢖꢆꢈꢗꢘꢈꢁꢋꢙꢆꢉꢄꢘꢚꢊꢋꢕꢈꢆꢐ  
ꢀꢁꢂꢂꢂꢃꢄꢅꢆꢇꢈꢉꢆꢊꢋꢃꢌꢍꢎꢆꢈꢏꢐꢋꢑꢈꢒꢏꢇꢃꢓꢐꢒꢍꢇꢐꢅꢒꢆꢏꢐꢅꢊ  
ꢔꢍꢕꢖꢃꢗꢘꢃꢗꢙꢇꢆꢊꢃꢁꢂꢂꢂ  
ꢞꢄꢉ ꢄꢘꢁꢆꢇꢊꢕꢁꢂꢈꢊꢍꢂꢈ  
ꢀꢁꢂꢃꢃꢄ  
4.50 ±0.20  
9.90 ±0.20  
(8.70)  
+0.10  
–0.05  
1.30  
ø3.60 ±0.10  
1.27 ±0.10  
1.52 ±0.10  
0.80 ±0.10  
+0.10  
–0.05  
0.50  
2.40 ±0.20  
2.54TYP  
2.54TYP  
[2.54 ±0.20]  
[2.54 ±0.20]  
10.00 ±0.20  
ꢀꢁꢂꢂꢂꢃꢄꢅꢆꢇꢈꢉꢆꢊꢋꢃꢌꢍꢎꢆꢈꢏꢐꢋꢑꢈꢒꢏꢇꢃꢓꢐꢒꢍꢇꢐꢅꢒꢆꢏꢐꢅꢊ  
ꢔꢍꢕꢖꢃꢗꢘꢃꢗꢙꢇꢆꢊꢃꢁꢂꢂꢂ  
ꢁꢐꢑꢈꢒꢓꢑꢐꢔꢕ  
ꢀꢁꢂꢄꢓꢎꢊꢊꢎꢑꢔꢉꢖꢄꢈꢒꢂꢄꢒꢂꢖꢔꢃꢍꢂꢒꢂꢏꢄꢈꢉꢏꢄꢕꢉꢒꢂꢖꢔꢃꢍꢂꢒꢂꢏꢄꢍꢒꢈꢏꢂꢌꢈꢒ?ꢃꢄꢗꢈꢔꢒꢋꢁꢔꢊꢏꢄꢞꢂꢌꢔꢋꢎꢉꢏꢕꢋꢍꢎꢒꢄꢎꢑꢉꢃꢄꢎꢒꢄꢔꢃꢄꢈꢕꢍꢁꢎꢒꢔ#ꢂꢏꢄꢍꢎꢄꢕꢃꢂꢄꢈꢉꢏꢄꢔꢃ  
ꢉꢎꢍꢄꢔꢉꢍꢂꢉꢏꢂꢏꢄꢍꢎꢄ"ꢂꢄꢈꢉꢄꢂBꢁꢈꢕꢃꢍꢔ!ꢂꢄꢊꢔꢃꢍꢄꢎꢓꢄꢈꢊꢊꢄꢃꢕꢋꢁꢄꢍꢒꢈꢏꢂꢌꢈꢒ?ꢃ  
ꢀꢈꢉꢊꢋ  
ꢝꢃꢖꢐꢈꢋ  
ꢖꢛꢁꢐꢂꢖꢕꢓꢋ'*  
ꢖ+$%ꢒꢉꢓꢋ  
ꢓꢃ$+ꢘꢍ,ꢃ%ꢋ  
-ꢝꢈꢋ  
ꢌꢍꢎꢎꢍꢏꢄꢐꢑꢑꢋ  
ꢈꢍꢍꢄꢒꢉꢓꢋ  
ꢈꢔꢕꢖꢖꢗꢕꢘꢓꢋ  
&ꢈꢙꢕꢖꢋ  
ꢒꢀꢈꢓꢋ  
ꢞꢖꢕ ꢘꢀ!ꢀꢔꢋ  
ꢙꢞꢈꢔꢕ"ꢞꢔꢉꢋ  
 ꢕ ꢋ  
 ꢍ#ꢐꢂꢓꢂꢐ$%&G  
ꢚꢒꢉꢓꢋ  
ꢚꢖꢋ  
ꢗꢈ.ꢋ  
ꢒꢀꢈꢓꢅꢚꢛꢃꢐꢎꢅꢖꢐꢂꢃꢐꢑꢋ  
ꢒꢀꢖꢓG  
ꢒꢀꢖꢓꢂꢋ  
ꢚꢛꢃꢐꢎꢅꢖꢐꢂꢃꢐꢑꢋ  
ꢖꢛꢁꢐꢂꢖꢕꢓꢋ'(  
ꢖꢛꢁꢐꢂꢖꢕꢓꢋ')  
ꢜꢓꢕꢋ  
ꢈꢎꢕꢖꢗꢑꢎꢓꢒꢐ  
ꢗ'5+ꢇE50ꢛꢄꢞ=ꢜ5ꢇꢝꢅꢛHꢇꢀꢝ+ꢄ+=ꢞ=+*=ꢞꢄꢀE=ꢄ+5<Eꢀꢄꢀꢝꢄꢜ'I=ꢄꢇE'ꢅ<=ꢞꢄ@5ꢀEꢝHꢀꢄꢗH+ꢀE=+ꢄꢅꢝꢀ5ꢇ=ꢄꢀꢝꢄ'ꢅJ  
9+ꢝꢛHꢇꢀꢞE=+=5ꢅꢀꢝꢄ5ꢜ9+ꢝ*=ꢄ+=05'C505ꢀJꢚꢄꢗHꢅꢇꢀ5ꢝꢅꢄꢝ+ꢄꢛ=ꢞ5<ꢅ ꢄꢗ'5+ꢇE50ꢛꢄꢛꢝ=ꢞꢄꢅꢝꢀꢄ'ꢞꢞHꢜ=ꢄ'ꢅJ  
05'C505ꢀJ'+5ꢞ5ꢅ<ꢄꢝHꢀꢄꢝꢗꢄꢀE=ꢄ'9905ꢇ'ꢀ5ꢝꢅꢄꢝ+ꢄHꢞ=ꢄꢝꢗꢄ'ꢅJꢄ9+ꢝꢛHꢇꢀꢄꢝ+ꢄꢇ5+ꢇH5ꢀꢄꢛ=ꢞꢇ+5C=ꢛꢄE=+=5ꢅK  
ꢅ=5ꢀE=+ꢄꢛꢝ=ꢞꢄ5ꢀꢄꢇꢝꢅ*=Jꢄ'ꢅJꢄ05ꢇ=ꢅꢞ=ꢄHꢅꢛ=+ꢄ5ꢀꢞꢄ9'ꢀ=ꢅꢀꢄ+5<Eꢀꢞꢚꢄꢅꢝ+ꢄꢀE=ꢄ+5<EꢀꢞꢄꢝꢗꢄꢝꢀE=+ꢞ  
ꢗꢎꢘꢒꢀꢕꢏꢙꢙꢂꢐꢁꢀꢙꢂꢗꢎꢖꢚ  
ꢗ'5+ꢇE50ꢛꢘꢞꢄ 9+ꢝꢛHꢇꢀꢞꢄ '+=ꢄ ꢅꢝꢀꢄ 'HꢀEꢝ+5D=ꢛꢄ ꢗꢝ+ꢄ Hꢞ=ꢄ 'ꢞꢄ ꢇ+5ꢀ5ꢇ'0ꢄ ꢇꢝꢜ9ꢝꢅ=ꢅꢀꢞꢄ 5ꢅꢄ 05ꢗ=ꢄ ꢞH99ꢝ+ꢀ  
ꢛ=*5ꢇ=ꢞꢄ ꢝ+ꢄ ꢞJꢞꢀ=ꢜꢞꢄ @5ꢀEꢝHꢀꢄ ꢀE=ꢄ =L9+=ꢞꢞꢄ @+5ꢀꢀ=ꢅꢄ '99+ꢝ*'0ꢄ ꢝꢗꢄ ꢗ'5+ꢇE50ꢛꢄ ꢞ=ꢜ5ꢇꢝꢅꢛHꢇꢀꢝ+  
5ꢅꢀ=+ꢅ'ꢀ5ꢝꢅ'0  
'ꢃꢄꢕꢃꢂꢏꢄꢁꢂꢒꢂꢔꢉM  
ꢒꢂꢃꢕꢊꢍꢄꢔꢉꢄꢃꢔꢖꢉꢔꢓꢔꢋꢈꢉꢍꢄꢔꢉNꢕꢒꢙꢄꢍꢎꢄꢍꢁꢂꢄꢕꢃꢂꢒ  
- ꢄ0ꢔꢓꢂꢄꢃꢕꢐꢐꢎꢒꢍꢄꢏꢂ!ꢔꢋꢂꢃꢄꢎꢒꢄꢃꢙꢃꢍꢂꢌꢃꢄꢈꢒꢂꢄꢏꢂ!ꢔꢋꢂꢃꢄꢎꢒꢄꢃꢙꢃꢍꢂꢌꢃ  
ꢑꢁꢔꢋꢁꢚꢄ1ꢈ3ꢄꢈꢒꢂꢄꢔꢉꢍꢂꢉꢏꢂꢏꢄꢓꢎꢒꢄꢃꢕꢒꢖꢔꢋꢈꢊꢄꢔꢌꢐꢊꢈꢉꢍꢄꢔꢉꢍꢎꢄꢍꢁꢂꢄ"ꢎꢏꢙꢚ  
ꢎꢒꢄ1"3ꢄꢃꢕꢐꢐꢎꢒꢍꢄꢎꢒꢄꢃꢕꢃꢍꢈꢔꢉꢄꢊꢔꢓꢂꢚꢄꢎꢒꢄ1ꢋ3ꢄꢑꢁꢎꢃꢂꢄꢓꢈꢔꢊꢕꢒꢂꢄꢍꢎꢄꢐꢂꢒꢓꢎꢒꢌ  
ꢑꢁꢂꢉꢄꢐꢒꢎꢐꢂꢒꢊꢙꢄꢕꢃꢂꢏꢄꢔꢉꢄꢈꢋꢋꢎꢒꢏꢈꢉꢋꢂꢄꢑꢔꢍꢁꢄꢔꢉꢃꢍꢒꢕꢋꢍꢔꢎꢉꢃꢄꢓꢎꢒꢄꢕꢃꢂ  
ꢐꢒꢎ!ꢔꢏꢂꢏꢉꢄ ꢍꢁꢂꢄ ꢊꢈ"ꢂꢊꢔꢉꢖꢚꢈꢉꢄ "ꢂꢂꢈꢃꢎꢉꢈ"ꢊꢙꢄ ꢂBꢐꢂꢋꢍꢂꢏ  
& ꢄ'ꢄꢋꢒꢔꢍꢔꢋꢈꢊꢄꢋꢎꢌꢐꢎꢉꢂꢉꢍꢄꢔꢃꢄꢈꢉꢙꢄꢋꢎꢌꢐꢎꢉꢂꢉꢍꢄꢎꢓꢄꢈꢄꢊꢔꢓꢂꢄꢃꢕꢐꢐꢎꢒꢍ  
ꢏꢂ!ꢔꢋꢂꢄ ꢎꢒꢄ ꢃꢙꢃꢍꢂꢌꢄ ꢑꢁꢎꢃꢂꢄ ꢓꢈꢔꢊꢕꢒꢂꢄ ꢍꢎꢄ ꢐꢂꢒꢓꢎꢒꢌꢄ ꢋꢈꢉꢄ "ꢂ  
ꢒꢂꢈꢃꢎꢉꢈ"ꢊꢙꢄꢂBꢐꢂꢋꢍꢂꢏꢄꢍꢎꢄꢋꢈꢕꢃꢂꢄꢍꢁꢂꢄꢓꢈꢔꢊꢕꢒꢂꢄꢎꢓꢄꢍꢁꢂꢄꢊꢔꢓꢂꢄꢃꢕꢐꢐꢎꢒꢍ  
ꢏꢂ!ꢔꢋꢂꢄꢎꢒꢄꢃꢙꢃꢍꢂꢌꢚꢄꢎꢒꢄꢍꢎꢄꢈꢓꢓꢂꢋꢍꢄꢔꢍꢃꢄꢃꢈꢓꢂꢍꢙꢄꢎꢒꢄꢂꢓꢓꢂꢋꢍꢔ!ꢂꢉꢂꢃꢃ  
ꢙꢐꢂꢈꢏꢖꢁꢀꢕꢁꢑꢁꢏꢕꢀꢈꢒꢘꢎꢛꢎꢁꢎꢂꢛꢕ  
ꢈꢌꢜꢊꢝꢊꢞꢊ ꢝꢀ ꢜꢀꢁꢌꢉ!"  
ꢙꢉꢌꢉꢖꢞꢋꢋꢌꢛ ꢝꢋꢔꢌꢕ!ꢕ"ꢉꢌꢕꢆꢔ  
ꢈꢊꢆꢝ#"ꢌꢛꢂꢌꢉꢌ#ꢖ  
ꢙꢋ!ꢕꢔꢕꢌꢕꢆꢔ  
'ꢏ!ꢈꢉꢋꢂꢄ5ꢉꢓꢎꢒꢌꢈꢍꢔꢎꢉ  
ꢗꢎꢒꢌꢈꢍꢔ!ꢂꢄꢎꢒꢄ5ꢉꢄ  
ꢛꢂꢃꢔꢖꢉ  
ꢀꢁꢔꢃꢄꢏꢈꢍꢈꢃꢁꢂꢂꢍꢄꢋꢎꢉꢍꢈꢔꢉꢃꢄꢍꢁꢂꢄꢏꢂꢃꢔꢖꢉꢄꢃꢐꢂꢋꢔꢓꢔꢋꢈꢍꢔꢎꢉꢃꢄꢓꢎꢒ  
ꢐꢒꢎꢏꢕꢋꢍꢄꢏꢂ!ꢂꢊꢎꢐꢌꢂꢉꢍ ꢄꢞꢐꢂꢋꢔꢓꢔꢋꢈꢍꢔꢎꢉꢃꢄꢌꢈꢙꢄꢋꢁꢈꢉꢖꢂꢄꢔꢉ  
ꢈꢉꢙꢄꢌꢈꢉꢉꢂꢒꢄꢑꢔꢍꢁꢎꢕꢍꢄꢉꢎꢍꢔꢋꢂ  
9ꢒꢂꢊꢔꢌꢔꢉꢈꢒꢙ  
ꢗꢔꢒꢃꢍꢄ9ꢒꢎꢏꢕꢋꢍꢔꢎꢉ  
ꢀꢁꢔꢃꢄꢏꢈꢍꢈꢃꢁꢂꢂꢍꢄꢋꢎꢉꢍꢈꢔꢉꢃꢄꢐꢒꢂꢊꢔꢌꢔꢉꢈꢒꢙꢄꢏꢈꢍꢈꢚꢄꢈꢉꢏ  
ꢃꢕꢐꢐꢊꢂꢌꢂꢉꢍꢈꢒꢙꢄꢏꢈꢍꢈꢄꢑꢔꢊꢊꢄ"ꢂꢄꢐꢕ"ꢊꢔꢃꢁꢂꢏꢄꢈꢍꢄꢈꢄꢊꢈꢍꢂꢒꢄꢏꢈꢍꢂ  
ꢗꢈꢔꢒꢋꢁꢔꢊꢏꢄꢞꢂꢌꢔꢋꢎꢉꢏꢕꢋꢍꢎꢒꢄꢒꢂꢃꢂꢒ!ꢂꢃꢄꢍꢁꢂꢄꢒꢔꢖꢁꢍꢄꢍꢎꢄꢌꢈ?ꢂ  
ꢋꢁꢈꢉꢖꢂꢃꢄꢈꢍꢄꢈꢉꢙꢄꢍꢔꢌꢂꢄꢑꢔꢍꢁꢎꢕꢍꢄꢉꢎꢍꢔꢋꢂꢄꢔꢉꢄꢎꢒꢏꢂꢒꢄꢍꢎꢄꢔꢌꢐꢒꢎ!ꢂ  
ꢏꢂꢃꢔꢖꢉ  
ꢅꢎꢄ5ꢏꢂꢉꢍꢔꢓꢔꢋꢈꢍꢔꢎꢉꢄꢅꢂꢂꢏꢂꢏ  
ꢗꢕꢊꢊꢄ9ꢒꢎꢏꢕꢋꢍꢔꢎꢉ  
ꢀꢁꢔꢃꢄꢏꢈꢍꢈꢃꢁꢂꢂꢍꢄꢋꢎꢉꢍꢈꢔꢉꢃꢄꢓꢔꢉꢈꢊꢄꢃꢐꢂꢋꢔꢓꢔꢋꢈꢍꢔꢎꢉꢃ ꢄꢗꢈꢔꢒꢋꢁꢔꢊꢏ  
ꢞꢂꢌꢔꢋꢎꢉꢏꢕꢋꢍꢎꢒꢄꢒꢂꢃꢂꢒ!ꢂꢃꢄꢍꢁꢂꢄꢒꢔꢖꢁꢍꢄꢍꢎꢄꢌꢈ?ꢂꢄꢋꢁꢈꢉꢖꢂꢃꢄꢈꢍ  
ꢈꢉꢙꢄꢍꢔꢌꢂꢄꢑꢔꢍꢁꢎꢕꢍꢄꢉꢎꢍꢔꢋꢂꢄꢔꢉꢄꢎꢒꢏꢂꢒꢄꢍꢎꢄꢔꢌꢐꢒꢎ!ꢂꢄꢏꢂꢃꢔꢖꢉ  
ꢝ"ꢃꢎꢊꢂꢍꢂ  
ꢅꢎꢍꢄ5ꢉꢄ9ꢒꢎꢏꢕꢋꢍꢔꢎꢉ  
ꢀꢁꢔꢃꢄꢏꢈꢍꢈꢃꢁꢂꢂꢍꢄꢋꢎꢉꢍꢈꢔꢉꢃꢄꢃꢐꢂꢋꢔꢓꢔꢋꢈꢍꢔꢎꢉꢃꢄꢎꢉꢄꢈꢄꢐꢒꢎꢏꢕꢋꢍ  
ꢍꢁꢈꢍꢄꢁꢈꢃꢄ"ꢂꢂꢉꢄꢏꢔꢃꢋꢎꢉꢍꢔꢉꢕꢂꢏꢄ"ꢙꢄꢗꢈꢔꢒꢋꢁꢔꢊꢏꢄꢃꢂꢌꢔꢋꢎꢉꢏꢕꢋꢍꢎꢒ  
ꢀꢁꢂꢄꢏꢈꢍꢈꢃꢁꢂꢂꢍꢄꢔꢃꢄꢐꢒꢔꢉꢍꢂꢏꢄꢓꢎꢒꢄꢒꢂꢓꢂꢒꢂꢉꢋꢂꢄꢔꢉꢓꢎꢒꢌꢈꢍꢔꢎꢉꢄꢎꢉꢊꢙ  
ꢀꢁꢂꢂꢂꢃꢄꢅꢆꢇꢈꢉꢆꢊꢋꢃꢌꢍꢎꢆꢈꢏꢐꢋꢑꢈꢒꢏꢇꢃꢓꢐꢒꢍꢇꢐꢅꢒꢆꢏꢐꢅꢊ  
ꢔꢍꢕꢖꢃꢗꢘꢃꢚꢅꢐꢑꢅꢇꢛꢃꢁꢂꢂꢂ  
ON Semiconductor and  
are trademarks of Semiconductor Components Industries, LLC dba ON Semiconductor or its subsidiaries in the United States and/or other countries.  
ON Semiconductor owns the rights to a number of patents, trademarks, copyrights, trade secrets, and other intellectual property. A listing of ON Semiconductor’s product/patent  
coverage may be accessed at www.onsemi.com/site/pdf/PatentMarking.pdf. ON Semiconductor reserves the right to make changes without further notice to any products herein.  
ON Semiconductor makes no warranty, representation or guarantee regarding the suitability of its products for any particular purpose, nor does ON Semiconductor assume any liability  
arising out of the application or use of any product or circuit, and specifically disclaims any and all liability, including without limitation special, consequential or incidental damages.  
Buyer is responsible for its products and applications using ON Semiconductor products, including compliance with all laws, regulations and safety requirements or standards,  
regardless of any support or applications information provided by ON Semiconductor. “Typical” parameters which may be provided in ON Semiconductor data sheets and/or  
specifications can and do vary in different applications and actual performance may vary over time. All operating parameters, including “Typicals” must be validated for each customer  
application by customer’s technical experts. ON Semiconductor does not convey any license under its patent rights nor the rights of others. ON Semiconductor products are not  
designed, intended, or authorized for use as a critical component in life support systems or any FDA Class 3 medical devices or medical devices with a same or similar classification  
in a foreign jurisdiction or any devices intended for implantation in the human body. Should Buyer purchase or use ON Semiconductor products for any such unintended or unauthorized  
application, Buyer shall indemnify and hold ON Semiconductor and its officers, employees, subsidiaries, affiliates, and distributors harmless against all claims, costs, damages, and  
expenses, and reasonable attorney fees arising out of, directly or indirectly, any claim of personal injury or death associated with such unintended or unauthorized use, even if such  
claim alleges that ON Semiconductor was negligent regarding the design or manufacture of the part. ON Semiconductor is an Equal Opportunity/Affirmative Action Employer. This  
literature is subject to all applicable copyright laws and is not for resale in any manner.  
PUBLICATION ORDERING INFORMATION  
LITERATURE FULFILLMENT:  
N. American Technical Support: 8002829855 Toll Free  
USA/Canada  
Europe, Middle East and Africa Technical Support:  
Phone: 421 33 790 2910  
Japan Customer Focus Center  
Phone: 81358171050  
ON Semiconductor Website: www.onsemi.com  
Order Literature: http://www.onsemi.com/orderlit  
Literature Distribution Center for ON Semiconductor  
19521 E. 32nd Pkwy, Aurora, Colorado 80011 USA  
Phone: 3036752175 or 8003443860 Toll Free USA/Canada  
Fax: 3036752176 or 8003443867 Toll Free USA/Canada  
Email: orderlit@onsemi.com  
For additional information, please contact your local  
Sales Representative  
© Semiconductor Components Industries, LLC  
www.onsemi.com  

相关型号:

SI9130DB

5- and 3.3-V Step-Down Synchronous Converters

Warning: Undefined variable $rtag in /www/wwwroot/website_ic37/www.icpdf.com/pdf/pdf/index.php on line 217
-
VISHAY

SI9135LG-T1

SMBus Multi-Output Power-Supply Controller

Warning: Undefined variable $rtag in /www/wwwroot/website_ic37/www.icpdf.com/pdf/pdf/index.php on line 217
-
VISHAY

SI9135LG-T1-E3

SMBus Multi-Output Power-Supply Controller

Warning: Undefined variable $rtag in /www/wwwroot/website_ic37/www.icpdf.com/pdf/pdf/index.php on line 217
-
VISHAY

SI9135_11

SMBus Multi-Output Power-Supply Controller

Warning: Undefined variable $rtag in /www/wwwroot/website_ic37/www.icpdf.com/pdf/pdf/index.php on line 217
-
VISHAY

SI9136_11

Multi-Output Power-Supply Controller

Warning: Undefined variable $rtag in /www/wwwroot/website_ic37/www.icpdf.com/pdf/pdf/index.php on line 217
-
VISHAY

SI9130CG-T1-E3

Pin-Programmable Dual Controller - Portable PCs

Warning: Undefined variable $rtag in /www/wwwroot/website_ic37/www.icpdf.com/pdf/pdf/index.php on line 217
-
VISHAY

SI9130LG-T1-E3

Pin-Programmable Dual Controller - Portable PCs

Warning: Undefined variable $rtag in /www/wwwroot/website_ic37/www.icpdf.com/pdf/pdf/index.php on line 217
-
VISHAY

SI9130_11

Pin-Programmable Dual Controller - Portable PCs

Warning: Undefined variable $rtag in /www/wwwroot/website_ic37/www.icpdf.com/pdf/pdf/index.php on line 217
-
VISHAY

SI9137

Multi-Output, Sequence Selectable Power-Supply Controller for Mobile Applications

Warning: Undefined variable $rtag in /www/wwwroot/website_ic37/www.icpdf.com/pdf/pdf/index.php on line 217
-
VISHAY

SI9137DB

Multi-Output, Sequence Selectable Power-Supply Controller for Mobile Applications

Warning: Undefined variable $rtag in /www/wwwroot/website_ic37/www.icpdf.com/pdf/pdf/index.php on line 217
-
VISHAY

SI9137LG

Multi-Output, Sequence Selectable Power-Supply Controller for Mobile Applications

Warning: Undefined variable $rtag in /www/wwwroot/website_ic37/www.icpdf.com/pdf/pdf/index.php on line 217
-
VISHAY

SI9122E

500-kHz Half-Bridge DC/DC Controller with Integrated Secondary Synchronous Rectification Drivers

Warning: Undefined variable $rtag in /www/wwwroot/website_ic37/www.icpdf.com/pdf/pdf/index.php on line 217
-
VISHAY