LP5866MDBTR [TI]

LP5860 11 × 18 LED Matrix Driver with 8-bit Analog and 8-/16-bit PWM Dimming;
LP5866MDBTR
型号: LP5866MDBTR
厂家: TEXAS INSTRUMENTS    TEXAS INSTRUMENTS
描述:

LP5860 11 × 18 LED Matrix Driver with 8-bit Analog and 8-/16-bit PWM Dimming

文件: 总57页 (文件大小:2244K)
中文:  中文翻译
下载:  下载PDF数据表文档文件
LP5860  
SNVSBU8 – MAY 2021  
LP5860 11 × 18 LED Matrix Driver with 8-bit Analog and 8-/16-bit PWM Dimming  
1 Features  
3 Description  
LED matrix topology:  
– 18 constant current sinks with 11 scan switches  
for 198 LED dots  
– Configurable for 1 to 11 scan switches  
Operating voltage range:  
Electronic devices are becoming smarter, requiring  
to use larger quantity of LEDs for animation and  
indication purposes and high performance LED matrix  
driver is required to improve user experience with  
small solution size.  
– VCC/VLED range: 2.7 V to 5.5 V  
– Logic pins compatible with 1.8 V, 3.3 V, and 5 V  
18 constant current sinks with high precision:  
– 0.1–50 mA per current sink when VCC ≥ 3.3 V  
– Device-to-device error: ±5%  
– Channel-to-channel error: ±5%  
– Phase-shift for balanced transient power  
Ultra-low power consumption:  
– Shutdown mode: ICC ≤ 2 uA when EN = Low  
– Standby mode: ICC ≤ 10 uA when EN = High  
and CHIP_EN = 0 (data retained)  
– Active Mode: ICC = 3 mA (typ.) when channel  
current = 5 mA  
The LP586x devices are a family of high performance  
LED matrix drivers. It integrates 18 constant current  
sinks with N (N = 1/2/4/6/8/11) switching MOSFETs  
to support N×18 LED dots or N × 6 RGB LEDs. The  
LP5860 integrates 11 MOSFETs for up to 198 LED  
dots or 66 RGB LEDs.  
The LP5860 supports both analog dimming and  
PWM dimming methods. For analog dimming, each  
LED dot can be adjusted with 256 steps. For PWM  
dimming, the integrated 8-bit or 16-bit configurable  
PWM generators enable smooth and audible-noise-  
free dimming control. Each LED dot can also be  
arbitrarily mapped into 8-bit Group PWM to achieve  
dimming control together.  
Flexible dimming options:  
– Individual ON/OFF control for each LED dot  
– Analog dimming (current gain control)  
The LP5860 device implements full addressable  
SRAM to minimize the data traffic. The ghost-  
cancellation circuitry is integrated to eliminate both  
upside and downside ghosting. The LP5860 also  
supports LED open and short detection functions.  
Both 1-MHz (max.) I2C and 12-MHz (max.) SPI are  
available in LP5860.  
Global 3-bit Maximum Current (MC) setting  
for all LED dots  
3 groups of 7-bit Color Current (CC) setting  
for red, green, and blue  
Individual 8-bit Dot Current (DC) setting for  
each LED dot  
– PWM dimming with audible-noise-free  
frequency  
Device Information  
PART NUMBER  
PACKAGE(1)  
BODY SIZE (NOM)  
Global 8-bit PWM dimming for all LED dots  
3 programmable groups of 8-bit PWM  
dimming for LED dot arbitrary mapping  
Individual 8-bit or 16-bit PWM dimming for  
each LED dot  
LP5860  
VQFN (40)  
5 mm × 5 mm  
(1) For all available packages, see the orderable addendum at  
the end of the data sheet.  
VLED: 2.7 V to 5.5 V  
VCC: 2.7 V to 5.5 V  
VIO: 1.8 V to 5 V  
CVLED  
1 F  
Full addressable SRAM to minimize data traffic  
Individual LED dot open/short detection  
Deghosting and low brightness compensation  
Interface options:  
– 1-MHz (max.) I2C interface when IFS = Low  
– 12-MHz (max.) SPI interface when IFS = High  
CVCC  
1 F  
RPullup  
4.7 kΩ  
VCC  
VLED  
SW0  
SW1  
SW2  
VIO_EN  
CVIO  
1 nF  
SDA_MOSI  
SCL_SCLK  
ADDR1_MISO  
ADDR2_SS  
VSYNC  
SW10  
LP5860  
MCU  
2 Applications  
CS0  
CS1  
LED animation and indication for:  
CS2  
– Keyboard, mouse, and gaming accessories  
– Major and smart home appliances  
VCAP  
CVCAP  
1 µF  
CS17  
IFS  
AGND  
GND  
Optional  
– Smart speaker, wired and wireless speaker  
– Audio mixer, DJ equipment, and broadcast  
– Access equipment, switches, and servers  
Simplified Schematic  
Constant current sinks for optical module  
An IMPORTANT NOTICE at the end of this data sheet addresses availability, warranty, changes, use in safety-critical applications,  
intellectual property matters and other important disclaimers. ADVANCE INFORMATION for preproduction products; subject to change  
without notice.  
 
 
 
LP5860  
SNVSBU8 – MAY 2021  
www.ti.com  
Table of Contents  
1 Features............................................................................1  
2 Applications.....................................................................1  
3 Description.......................................................................1  
4 Revision History.............................................................. 2  
5 Device Comparison.........................................................3  
6 Pin Configuration and Functions...................................4  
7 Specifications.................................................................. 6  
7.1 Absolute Maximum Ratings ....................................... 6  
7.2 ESD Ratings .............................................................. 6  
7.3 Recommended Operating Conditions ........................6  
7.4 Electrical Characteristics ............................................7  
7.5 Thermal Information ...................................................8  
7.6 Timing Requirements .................................................9  
8 Detailed Description......................................................11  
8.1 Overview................................................................... 11  
8.2 Functional Block Diagram......................................... 11  
8.3 Feature Description...................................................11  
8.4 Device Functional Modes..........................................21  
8.5 Programming............................................................ 21  
8.6 Register Maps...........................................................24  
9 Application and Implementation..................................45  
9.1 Application Information............................................. 45  
9.2 Typical Application.................................................... 45  
10 Power Supply Recommendations..............................47  
11 Layout...........................................................................48  
11.1 Layout Guidelines................................................... 48  
11.2 Layout Example...................................................... 48  
12 Device and Documentation Support..........................49  
12.1 Documentation Support.......................................... 49  
12.2 Receiving Notification of Documentation Updates..49  
12.3 Support Resources................................................. 49  
12.4 Trademarks.............................................................49  
12.5 Electrostatic Discharge Caution..............................49  
12.6 Glossary..................................................................49  
13 Mechanical, Packaging, and Orderable  
Information.................................................................... 50  
13.1 Tape and Reel Information......................................51  
4 Revision History  
DATE  
REVISION  
NOTES  
May 2021  
*
Initial Release  
Copyright © 2021 Texas Instruments Incorporated  
2
Submit Document Feedback  
Product Folder Links: LP5860  
 
LP5860  
SNVSBU8 – MAY 2021  
www.ti.com  
5 Device Comparison  
SOFTWARE  
COMPATIBLE  
PART NUMBER  
MATERIAL  
LED DOT #  
PACKAGE(2)  
LP5861  
LP5862  
LP5861RSMR  
18 × 1 = 18  
VQFN-32  
LP5862RSMR  
LP5862DBTR  
VQFN-32  
18 × 2 = 36  
18 × 4 = 72  
TSSOP-38  
LP5864RSMR  
LP5864MRSMR(1)  
LP5866RKPR  
LP5864  
LP5866  
VQFN-32  
VQFN-40  
TSSOP-38  
Yes  
LP5866DBTR  
18 × 6 = 108  
LP5866MDBTR(1)  
LP5868  
LP5860  
LP5868RKPR  
18 × 8 = 144  
18 × 11 = 198  
VQFN-40  
VQFN-40  
LP5860RKPR  
LP5860MRKPR(1)  
(1) Extended Temperature devices, supporting –55°C ~ 125°C operating ambient temperature.  
(2) The same packages are hardware compatible.  
Copyright © 2021 Texas Instruments Incorporated  
Submit Document Feedback  
3
Product Folder Links: LP5860  
 
 
 
 
LP5860  
SNVSBU8 – MAY 2021  
www.ti.com  
6 Pin Configuration and Functions  
CS0  
1
CS17  
CS16  
CS15  
CS14  
CS13  
CS12  
CS11  
CS10  
CS9  
30  
29  
28  
27  
26  
25  
24  
23  
CS1  
CS2  
CS3  
CS4  
CS5  
CS6  
CS7  
CS8  
2
3
4
5
GND  
6
7
8
9
22  
10  
21 SW10  
SW0  
Figure 6-1. LP5860 RKP Package 40-Pin VQFN with Exposed Thermal Pad Top View  
Table 6-1. Pin Functions  
PIN  
I/O  
DESCRIPTION  
NO.  
1
NAME  
CS0  
CS1  
CS2  
CS3  
CS4  
CS5  
CS6  
CS7  
CS8  
SW0  
SW1  
SW2  
SW3  
SW4  
SW5  
VLED  
O
O
Current sink 0. If not used, this pin must be left floating.  
Current sink 1. If not used, this pin must be left floating.  
Current sink 2. If not used, this pin must be left floating.  
Current sink 3. If not used, this pin must be left floating.  
Current sink 4. If not used, this pin must be left floating.  
Current sink 5. If not used, this pin must be left floating.  
Current sink 6. If not used, this pin must be left floating.  
Current sink 7. If not used, this pin must be left floating.  
Current sink 8. If not used, this pin must be left floating.  
2
3
O
4
O
5
O
6
O
7
O
8
O
9
O
10  
11  
12  
13  
14  
15  
16  
O
High-side PMOS switch output for scan line 0. If not used, this pin must be left floating.  
High-side PMOS switch output for scan line 1. If not used, this pin must be left floating.  
High-side PMOS switch output for scan line 2. If not used, this pin must be left floating.  
High-side PMOS switch output for scan line 3. If not used, this pin must be left floating.  
High-side PMOS switch output for scan line 4. If not used, this pin must be left floating.  
High-side PMOS switch output for scan line 5. If not used, this pin must be left floating.  
Power input for high-side switches.  
O
O
O
O
O
Power  
Copyright © 2021 Texas Instruments Incorporated  
4
Submit Document Feedback  
Product Folder Links: LP5860  
 
LP5860  
SNVSBU8 – MAY 2021  
www.ti.com  
Table 6-1. Pin Functions (continued)  
PIN  
I/O  
DESCRIPTION  
NO.  
17  
18  
19  
20  
21  
22  
23  
24  
25  
26  
27  
28  
29  
30  
31  
NAME  
SW6  
O
High-side PMOS switch output for scan line 6. If not used, this pin must be left floating.  
High-side PMOS switch output for scan line 7. If not used, this pin must be left floating.  
High-side PMOS switch output for scan line 8. If not used, this pin must be left floating.  
High-side PMOS switch output for scan line 9. If not used, this pin must be left floating.  
High-side PMOS switch output for scan line 10. If not used, this pin must be left floating.  
Current sink 9. If not used, this pin must be left floating.  
SW7  
O
SW8  
O
SW9  
O
SW10  
CS9  
O
O
CS10  
CS11  
CS12  
CS13  
CS14  
CS15  
CS16  
CS17  
AGND  
O
Current sink 10. If not used, this pin must be left floating.  
O
Current sink 11. If not used, this pin must be left floating.  
O
Current sink 12. If not used, this pin must be left floating.  
O
Current sink 13. If not used, this pin must be left floating.  
O
Current sink 14. If not used, this pin must be left floating.  
O
O
Current sink 15. If not used, this pin must be left floating.  
Current sink 16. If not used, this pin must be left floating.  
O
Current sink 17. If not used, this pin must be left floating.  
Ground  
Analog ground. Must be connected to exposed thermal pad and common ground plane.  
Internal LDO output. An 1-μF capacitor must be connected between this pin with GND.  
Place the capacitor as close to the device as possible.  
32  
33  
VCAP  
IFS  
O
I
Interface type select. I2C is selected when IFS is low. SPI is selected when IFS is high. A  
resistor must be connected between VIO and this pin.  
34  
35  
36  
37  
38  
VSYNC  
I
I
External synchronize signal for display mode 2 and mode 3.  
I2C clock input or SPI clock input. Pull up to VIO when configured as I2C.  
I2C data input or SPI leader output follower input. Pull up to VIO when configured as I2C.  
I2C address select 0 or SPI leader input follower output.  
SCL_SCLK  
SDA_MOSI  
I/O  
I/O  
I
ADDR0_MISO  
ADDR1_SS  
I2C address select 1 or SPI follower select.  
Power supply for digital circuits and chip enable. An 1-nF capacitor must be connected  
between this pin with GND and be placed as close to the device as possible.  
39  
40  
VIO_EN  
VCC  
Power,I  
Power  
Power supply for device. A 1-μF capacitor must be connected between this pin with GND  
and be placed as close to the device as possible.  
Exposed  
Thermal Pad  
GND  
Ground  
Must be connected to AGND and common ground plane.  
Copyright © 2021 Texas Instruments Incorporated  
Submit Document Feedback  
5
Product Folder Links: LP5860  
LP5860  
SNVSBU8 – MAY 2021  
www.ti.com  
7 Specifications  
7.1 Absolute Maximum Ratings  
over operating free-air temperature range (unless otherwise noted)(1)  
MIN  
MAX  
UNIT  
Voltage on  
VCC / VLED /  
VIO / EN / CS /  
SW / SDA /  
SCL / SCLK /  
MOSI / MISO /  
SS / ADDR0 /  
ADDR1 /  
–0.3  
6
V
VSYNC / IFS  
Voltage on  
VCAP  
–0.3  
2
V
Continuous  
power  
Internally limited  
dissipation  
TJ  
Junction temperature  
Storage temperature  
°C  
°C  
Tstg  
–65  
150  
(1) Stresses beyond those listed under Absolute Maximum Rating may cause permanent damage to the device. These are stress  
ratings only, which do not imply functional operation of the device at these or any other conditions beyond those indicated  
under Recommended Operating Condition. Exposure to absolute-maximum-rated conditions for extended periods may affect device  
reliability.  
7.2 ESD Ratings  
VALUE  
UNIT  
Human body model (HBM), per ANSI/ESDA/  
JEDEC JS-001, all pins(1)  
±2000  
V(ESD)  
Electrostatic discharge  
V
Charged device model (CDM), per JEDEC  
specification JESD22-C101, all pins(2)  
±
(1) JEDEC document JEP155 states that 500-V HBM allows safe manufacturing with a standard ESD control process. [Following  
sentence optional; see the wiki.] Manufacturing with less than 500-V HBM is possible with the necessary precautions. [Following  
sentence optional; see the wiki.] Pins listed as ±WWW V and/or ±XXX V may actually have higher performance.  
(2) JEDEC document JEP157 states that 250-V CDM allows safe manufacturing with a standard ESD control process. [Following  
sentence optional; see the wiki.] Manufacturing with less than 250-V CDM is possible with the necessary precautions. [Following  
sentence optional; see the wiki.] Pins listed as ±YYY V and/or ±ZZZ V may actually have higher performance.  
7.3 Recommended Operating Conditions  
over operating free-air temperature range (unless otherwise noted)  
MIN  
NOM  
MAX  
UNIT  
Input  
voltage on Supply voltage  
VCC  
2.7  
5.5  
V
Input  
voltage on High-level output current  
VLED  
2.7  
5.5  
5.5  
V
V
Input  
voltage on  
VIO_EN  
1.65  
Copyright © 2021 Texas Instruments Incorporated  
6
Submit Document Feedback  
Product Folder Links: LP5860  
 
 
 
 
 
 
 
LP5860  
SNVSBU8 – MAY 2021  
www.ti.com  
7.3 Recommended Operating Conditions (continued)  
over operating free-air temperature range (unless otherwise noted)  
MIN  
NOM  
MAX  
VIO  
85  
UNIT  
Voltage on  
SDA /  
SCL /  
SCLK /  
MOSI /  
MISO /  
SS /  
ADDRx /  
VSYNC /  
IFS  
V
TA  
Ambient temperature  
–40  
°C  
7.4 Electrical Characteristics  
VCC = 3.3V, VLED = 3.8V, VIO = 1.8V and TA = –40°C to +85°C; Typical values are at TA = 25°C(unless otherwise specified)  
PARAMETER  
TEST CONDITIONS  
MIN  
2.7  
2
TYP  
MAX  
UNIT  
Power supplies  
VCC  
Device supply voltage  
Undervoltage restart  
Undervoltage shutdown  
5.5  
2.5  
V
V
V
V
VUVR  
VUVF  
VCC rising, Test mode  
VCC falling, Test mode  
VUV_HYS Undervoltage shutdown hysteresis  
Shutdown supply current ISHUTDOWN  
0.2  
VEN = 0V, CHIP_EN = 0 (bit), measure  
the total current from VCC and VLED  
2
µA  
µA  
VEN = 3.3V, CHIP_EN = 0 (bit), measure  
the total current from VCC and VLED  
Standby supply current ISTANDBY  
ICC  
15  
VEN = 3.3V, CHIP_EN = 1 (bit), all  
channels Iout = 5mA(MC = 1, CC = 127,  
DC = 256), measure the current from  
VCC  
Active mode supply current INORMAL  
10  
mA  
VLED  
VVIO  
IVIO  
LED supply voltage  
VIO supply voltage  
VIO supply current  
2.7  
5.5  
5.5  
5
V
V
1.65  
Interface idle  
µA  
Output Stages  
2.7 <= VCC < 3.3V, PWM = 100%  
VCC >= 3.3V PWM = 100%  
1
1
40  
50  
1
mA  
mA  
µA  
Constant current sink output range (CS0  
– CS17)  
ICS  
ILKG  
Leakage current (OUT0 – OUT17)  
channels off, up_deghost = 0, VCS=5V  
0.1  
All channels ON. Current set to 1mA. 1)  
MC=7, CC=2, PWM = 100%, DC=255 2)  
MC=0, CC=127, PWM = 100%, DC=255  
–5  
5
%
All channels ON. Current set to 10mA.  
MC=2 CC=127 DC=255 PWM=100%  
–5  
–5  
–5  
–5  
5
5
5
5
%
%
%
%
Device to device current error,  
IERR_DD=(IAVE-ISET)/ISET×100%  
IERR_DD  
All channels ON. Current set to 25mA.  
MC=7 CC=64 DC=255 PWM=100%  
All channels ON. Current set to 40mA.  
MC=6 CC=127 DC=255 PWM=100%  
All channels ON. Current set to 50mA.  
MC=7 CC=127 DC=255 PWM=100%  
Copyright © 2021 Texas Instruments Incorporated  
Submit Document Feedback  
7
Product Folder Links: LP5860  
 
LP5860  
SNVSBU8 – MAY 2021  
www.ti.com  
7.4 Electrical Characteristics (continued)  
VCC = 3.3V, VLED = 3.8V, VIO = 1.8V and TA = –40°C to +85°C; Typical values are at TA = 25°C(unless otherwise specified)  
PARAMETER  
TEST CONDITIONS  
MIN  
TYP  
MAX  
UNIT  
All channels ON. Current set to 1mA. 1)  
MC=7, CC=2, PWM = 100%, DC=255 2)  
MC=0, CC=127, PWM = 100%, DC=255  
–5  
5
%
All channels ON. Current set to 10mA.  
MC=2 CC=127 DC=255 PWM=100%  
–5  
–5  
–5  
–5  
5
5
5
5
%
%
%
%
Channel to channel current error,  
IERR_CC=(IOUTX-IAVE)/IAVE×100%  
IERR_CC  
All channels ON. Current set to 25mA.  
MC=7 CC=64 DC=255 PWM=100%  
All channels ON. Current set to 40mA.  
MC=6 CC=127 DC=255 PWM=100%  
All channels ON. Current set to 50mA.  
MC=7 CC=127 DC=255 PWM=100%  
PWM_Fre = 1, PWM = 100%  
PWM_Fre = 0, PWM = 100%  
62.5  
125  
KHz  
KHz  
fPWM  
LED PWM frequency  
IOUT = 50mA, decreasing output voltage,  
when the LED current has dropped 5%  
0.5  
0.4  
V
V
V
IOUT = 30mA, decreasing output voltage,  
when the LED current has dropped 5%  
VSAT  
Output saturation voltage  
IOUT = 10mA, decreasing output voltage,  
when the LED current has dropped 5%  
0.35  
VLED = 2.7V, ISW = 200mA  
VLED = 3.8V, ISW = 200mA  
VLED = 5V, ISW = 200mA  
350  
mΩ  
mΩ  
mΩ  
RSW  
High-side PMOS ON resistance  
Logic Interfaces  
Low-level input voltage, SDA, SCL,  
VLOGIC_IL  
0.3 x VIO  
0.4  
V
V
SCLK, MOSI, SS, ADDRx, VSYNC, IFS  
High-level input voltage, SDA, SCL,  
SCLK, MOSI, SS, ADDRx, VSYNC, IFS  
VLOGIC_IH  
0.7 x VIO  
VEN_IL  
VEN_IH  
Low-level input voltage of EN  
High-level input voltage of EN  
V
V
When VCAP powered up  
1.4  
–1  
Input current, SDA, SCL, SCLK, MOSI,  
SS, ADDRx  
ILOGIC_I  
1
µA  
V
VLOGIC_O  
Low-level output voltage, SDA, MISO  
High-level output voltage, MISO  
IPULLUP = 3 mA  
IPULLUP = -3 mA  
0.4  
L
VLOGIC_O  
0.7 x VIO  
V
H
Protection Circuits  
VLOD_TH Threshold for channel open detection  
VLSD_TH Threshold for channel short detection  
0.25  
VLED – 1  
150  
V
V
TTSD  
THYS  
Thermal-shutdown junction temperature  
°C  
Thermal shutdown temperature  
hysteresis  
15  
°C  
7.5 Thermal Information  
LP5860  
THERMAL METRIC  
RKP (VQFN)  
40 PINS  
TBD  
UNIT  
RθJA  
Junction-to-ambient thermal resistance  
°C/W  
°C/W  
°C/W  
RθJC(top)  
RθJB  
Junction-to-case (top) thermal resistance  
Junction-to-board thermal resistance  
TBD  
TBD  
Copyright © 2021 Texas Instruments Incorporated  
8
Submit Document Feedback  
Product Folder Links: LP5860  
 
LP5860  
SNVSBU8 – MAY 2021  
www.ti.com  
7.5 Thermal Information (continued)  
LP5860  
RKP (VQFN)  
40 PINS  
TBD  
THERMAL METRIC  
UNIT  
ΨJT  
Junction-to-top characterization parameter  
Junction-to-board characterization parameter  
Junction-to-case (bottom) thermal resistance  
°C/W  
°C/W  
°C/W  
ΨJB  
TBD  
RθJC(bot)  
TBD  
7.6 Timing Requirements  
MIN  
NOM  
MAX  
UNIT  
MISC. Timing Requirements  
fOSC  
Internal oscillator frequency  
32  
MHz  
fOSC _ERR  
tPOR_H  
tCHIP_EN  
tRISE  
Device to device oscillator frequency error  
Wait time from UVLO disactive to device NORMAL  
Wait time from setting Chip_EN(Register) =1 to device NORMAL  
LED output rise time  
–0.02  
0.02  
500  
100  
µS  
µS  
nS  
nS  
µS  
TBD  
tfall  
LED output fall time  
tVSYNC_H  
The minimum high-level pulse width of VSYNC  
200  
SPI Timing Requirements  
fSCLK SPI Clock frequency  
1
12  
MHz  
nS  
nS  
nS  
nS  
nS  
nS  
nS  
nS  
nS  
nS  
pF  
Cycle time  
83.3  
50  
50  
50  
36  
36  
20  
20  
2
SS active lead-time  
SS active leg time  
SS inactive time  
SCLK low time  
3
4
5
6
SCLK high time  
MOSI set-up time  
MOSI hold time  
MISO disable time  
MISO data valid time  
Bus capacitance  
7
8
9
30  
35  
40  
10  
Cb  
5
I2C Fast Mode Timing Requirements  
fSCL  
1
I2C Clock frequency  
0
600  
1300  
600  
600  
0
400  
kHz  
nS  
nS  
nS  
nS  
nS  
nS  
nS  
nS  
nS  
µS  
Hold time (repeated) START condition  
Clock low time  
2
3
Clock high time  
4
Setup time for a repeated START condition  
Data hold time  
5
6
Data setup time  
100  
7
Rise time of SDA and SCL  
Fall time of SDA and SCL  
Setup time for STOP condition  
Bus free time between a STOP and a START condition  
300  
300  
8
9
600  
1.3  
10  
I2C Fast Mode Plus Timing Requirements  
fSCL  
1
I2C Clock frequency  
0
600  
400  
kHz  
nS  
Hold time (repeated) START condition  
Clock low time  
2
1300  
nS  
Copyright © 2021 Texas Instruments Incorporated  
Submit Document Feedback  
9
Product Folder Links: LP5860  
 
LP5860  
SNVSBU8 – MAY 2021  
www.ti.com  
7.6 Timing Requirements (continued)  
MIN  
600  
600  
0
NOM  
MAX  
UNIT  
nS  
3
Clock high time  
4
Setup time for a repeated START condition  
Data hold time  
nS  
5
nS  
6
Data setup time  
100  
nS  
7
Rise time of SDA and SCL  
Fall time of SDA and SCL  
300  
300  
nS  
8
nS  
9
Setup time for STOP condition  
Bus free time between a STOP and a START condition  
600  
1.3  
nS  
10  
µS  
Copyright © 2021 Texas Instruments Incorporated  
10  
Submit Document Feedback  
Product Folder Links: LP5860  
LP5860  
SNVSBU8 – MAY 2021  
www.ti.com  
8 Detailed Description  
8.1 Overview  
The LP5860 is an 11 × 18 LED matrix driver. It integrates 11 switching FETs with 18 constant current sinks. One  
LP5860 device can drive up to 198 LED dots or 66 RGB pixels by using time-multiplexing matrix scheme.  
The LP5860 supports both analog dimming and PWM dimming methods. For analog dimming, the current gain  
of each individual LED dot can be adjusted with 256 steps through 8-bits dot correction. For PWM dimming,  
the integrated 8-bits or 16-bits configurable, > 20-KHz PWM generators for each LED dot enable smooth, vivid  
animation effects without audible noise. Each LED can also be mapped into a 8-bits group PWM to achieve the  
group control with minimum data traffic.  
The LP5860 device implements full addressable SRAM. It supports entire SRAM data refresh and partial SRAM  
data update on demand to minimize the data traffic. The LP5860 implements the ghost cancellation circuit to  
eliminate both upside and downside ghosting. The LP5860 also utilizes low brightness compensation technology  
to support high density LED pixels. Both 1-MHz (max.) I2C and 12-MHz (max.) SPI interfaces are available in the  
LP5860.  
8.2 Functional Block Diagram  
VCC  
VLED  
Bandgap  
TSD  
ESD  
UVLO  
VCAP  
Internal LDO  
SW0  
32MHz  
oscillator  
VIO_EN  
VSYNC  
Scan line  
control  
Scan line  
drivers  
SW10  
IFS  
Pre-discharge  
ADDR0_MISO  
ADDR1_SS  
Digital interface  
Pre-charge  
LED short detection  
Frame  
control  
Digital core  
LED open detection  
SCL_SCLK  
SDA_MOSI  
CS0  
8-bit/16-bit  
PWM  
control  
Current sink  
drivers  
SRAM  
CS17  
GND  
AGND  
Global 3-Bit  
maximum current  
(MC)  
3 Groups of 7-Bit  
color current  
(CC)  
Individual 8-Bit  
dot correction  
(DC)  
8.3 Feature Description  
8.3.1 Time-Multiplexing Matrix  
The LP5860 device utilizes time-multiplexing matrix scheme to support up to 198 LED dots with a single chip.  
It integrates 18 current sinks with 11 scan lines to drive 18 × 11 = 198 LED dots or 6 × 11 = 66 RGB pixels. In  
matrix control scheme, the device scans from Line 0 to Line 10 sequentially as shown in Figure 8-1. Current gain  
and PWM duty registers are programmable for each LED dot to support individual analog and PWM dimming.  
Copyright © 2021 Texas Instruments Incorporated  
Submit Document Feedback  
11  
Product Folder Links: LP5860  
 
 
 
 
LP5860  
SNVSBU8 – MAY 2021  
www.ti.com  
tSTART  
...  
...  
...  
...  
LED0_0  
LED1_0  
LED0_1  
LED1_1  
LED0_2  
LED1_2  
LED0_15  
LED1_15  
LED0_16  
LED1_16  
LED0_17  
LED1_17  
Scan line 0  
Scan line 1  
...  
...  
...  
...  
...  
LED(N-2)_0  
LED(N-1)_0  
LED(N-2)_1  
LED(N-1)_1  
LED(N-2)_2  
LED(N-1)_2  
LED(N-2)_15  
LED(N-1)_15  
LED(N-2)_16  
LED(N-1)_16  
LED(N-2)_17  
LED(N-1)_17  
Scan line N-2  
Scan line N-1  
tEND  
Figure 8-1. Scan Line Control Scheme  
There are 11 high-side p-channel MOSFETs (PMOS) integrated in LP5860 device. Users can flexibly set  
the active scan numbers from 1 to 11 by configuring the 'Max_Line_Num' in Dev_initial register. The time-  
multiplexing matrix timing sequence follows the Figure 8-2.  
Scan line 0  
Scan line 1  
Scan line (N-2)  
Scan line (N-1)  
PWM  
PWM  
PWM  
PWM  
PWM  
PWM  
PWM  
PWM  
PWM  
CS0  
PWM  
PWM  
PWM  
CS17  
t
Figure 8-2. Time-Multiplexing Matrix Timing Sequence  
One cycle time of the line switching can be calculated as below:  
tline_switch = tPWM + tSW_BLK + 2 × tphase_shift  
(1)  
tPWM is the current sink active time, which equals to 8 us (PWM frequency set at 125 kHz) or 16 us (PWM  
frequency set at 62.5 kHz) by configuring 'PWM_Fre' in Dev_initial register.  
tSW_BLK is the switch blank time, which equals to 1 us or 0.5 us by configuring 'SW_BLK' in Dev_config1  
register.  
tphase_shift is the PWM phase shift time, which equal to 0 or 125 ns by configuring 'PWM_Phase_Shift' in  
Dev_config1 register.  
Total display time for one complete sub-period is tsub_period and it can be calculated by the following equation:  
tsub_period = tline_switch × Scan_line#  
(2)  
Scan_line# is the scan line number determined by 'Max_Line_Num' in Dev_initial register.  
The time-multiplexing matrix scheme time diagram is shown in Figure 8-3. The tCS_ON_Shift is the current sink  
turning on shift by configuring 'CS_ON_Shift' bit in Dev_config1 register.  
Copyright © 2021 Texas Instruments Incorporated  
12  
Submit Document Feedback  
Product Folder Links: LP5860  
 
 
LP5860  
SNVSBU8 – MAY 2021  
www.ti.com  
tsub_period  
tline_switch  
Scan line 0  
Scan line 1  
tCS_ON_Shift  
Scan line (N-1)  
Phase_group0  
PWM  
PWM  
PWM  
Phase_group1  
Phase_group2  
PWM  
PWM  
PWM  
tPWM  
PWM  
PWM  
PWM  
t
tSW_BLK  
2 * tphase_shift  
Figure 8-3. Time-Multiplexing Matrix Timing Diagram  
The LP5860 device implements deghosting and low brightness compensation to remove the side effects of  
matrix topology:  
Deghosting: Both upside deghosting and downside deghosting are implemented to eliminate the LED's  
unexpected weak turn-on.  
– Upside_deghosting: discharge each scan line during its off state. By configuring the 'Up_Deghost' in  
Dev_config3 register, the LP5860 will discharge and clamp the scan line switch to a certain voltage.  
– Downside_deghosting: pre-charge each current sink voltage during its off state. The deghosting capability  
can be adjusted through the 'Down_Deghost' in Dev_config3 register.  
Low Brightness Compensation: 3 groups compensation are implemented to overcome the color-shift and  
non-uniformity in low brightness conditions. The compensation capability can be through 'Comp_Group1',  
'Comp_Group2', and 'Comp_Group3' in Dev_config2 register.  
– Compensation_group 1: CS0, CS3, CS6, CS9, CS12, CS15.  
– Compensation_group 2: CS1, CS4, CS7, CS10, CS13, CS16.  
– Compensation_group 3: CS2, CS5, CS8, CS11, CS14, CS17.  
8.3.2 Analog Dimming (Current Gain Control)  
Analog dimming of LP5860 is achieved by configuring the current gain control. There are several methods to  
control the current gain of each LED.  
Global 3-bits Maximum Current (MC) Setting without External Resistor  
3 Groups of 7-bits Color Current (CC) Setting  
Individual 8-bit Dot Current (DC) Setting  
Global 3-Bits Maximum Current (MC) Setting  
The MC is used to set the maximum current IOUT_MAX for each current sink and this current will be the maximum  
peak current for each LED dot. The MC can be set with 3-bits (8 steps) from 3 mA to 50 mA. When the device is  
powered on, the MC data is set to default value, which is 15 mA.  
For data refresh Mode 1, MC data will be effective immediately once new data updated. For Mode 2 and Mode  
3, to avoid unexpected MC data change during high speed data refreshing, MC data should be changed when  
all channels are off and new MC data will only be updated when the 'Chip_EN' bit in Chip_en register is set to  
0, and after the 'Chip_EN' returns to 1, the new MC data will be effective. 'Down_Deghost' and 'Up_Deghost' in  
Dev_config3 work in the similar way with MC.  
Table 8-1. Maximum Current (MC) Register Setting  
3-BITS MAXIMUM_CURRENT REGISTER  
IOUT_MAX  
Binary  
Decimal  
mA  
000  
0
3
Copyright © 2021 Texas Instruments Incorporated  
Submit Document Feedback  
13  
Product Folder Links: LP5860  
 
LP5860  
SNVSBU8 – MAY 2021  
www.ti.com  
Table 8-1. Maximum Current (MC) Register Setting (continued)  
3-BITS MAXIMUM_CURRENT REGISTER  
IOUT_MAX  
Binary  
001  
Decimal  
mA  
1
5
010  
2
10  
011 (Default)  
100  
3 (Default)  
15 (Default)  
4
5
6
7
20  
30  
40  
50  
101  
110  
111  
3 Groups of 7-Bits Color Current (CC) Setting  
The LP5860 device is able to adjust the output current of three color groups separately. For each color, it has  
7-bits data in 'CC_Group1', 'CC_Group2', and 'CC_Group3'. Thus, all color group currents can be adjusted in  
128 steps from 0% to 100% of the maximum output current, IOUT_MAX  
.
The 18 current sinks have fixed mapping to the three color groups:  
CC-Group 1: CS0, CS3, CS6, CS9, CS12, CS15.  
CC-Group 2: CS1, CS4, CS7, CS10, CS13, CS16.  
CC-Group 3: CS2, CS5, CS8, CS11, CS14, CS17.  
Table 8-2. 3 Groups of 7-bits Color Current (CC) Setting  
7-BITS CC_GROUP1/CC_GROUP2/CC_GROUP3 REGISTER  
RATIO OF OUTPUT CURRENT TO IOUT_MAX  
Binary  
000 0000  
000 0001  
000 0010  
- - -  
Decimal  
%
0
0
0.79  
1
2
1.57  
- - -  
- - -  
100 0000 (default)  
- - -  
64 (default)  
- - -  
50.4 (default)  
- - -  
111 1101  
111 1110  
111 1111  
125  
98.4  
126  
99.2  
127  
100  
Individual 8-bit Dot Current (DC) Setting  
The LP5860 can individually adjust the output current of each LED by using dot current function through  
DC setting. It allows the brightness deviations of the LEDs to adjusted be individually. Each output DC is  
programmed with a 8-bit depth, so the value can be adjusted with 256 steps within the range from 0% to 100%  
of (IOUT_MAX × CC/127).  
Table 8-3. Individual 8-bit Dot Current (DC) Setting  
8-BIT DC REGISTER  
RATIO OF OUTPUT CURRENT TO IOUT_MAX × CC/127  
Binary  
0000 0000  
0000 0001  
0000 0010  
- - -  
Decimal  
%
0
0
0.39  
1
2
- - -  
0.78  
- - -  
1000 0000 (Default)  
- - -  
128 (Default)  
- - -  
50.2 (Default)  
- - -  
Copyright © 2021 Texas Instruments Incorporated  
14  
Submit Document Feedback  
Product Folder Links: LP5860  
LP5860  
SNVSBU8 – MAY 2021  
www.ti.com  
Table 8-3. Individual 8-bit Dot Current (DC) Setting (continued)  
8-BIT DC REGISTER  
RATIO OF OUTPUT CURRENT TO IOUT_MAX × CC/127  
Binary  
Decimal  
253  
%
1111 1101  
1111 1110  
1111 1111  
99.2  
99.6  
100  
254  
255  
In summary, the current gain of each current sink can be calculated as below:  
IOUT (mA) = IOUT_MAX × (CC/127) × (DC/255)  
(3)  
For time-multiplexing scan scheme, if the scan number is N, each LED dot's average current IAVG is shown as  
below:  
IAVG (mA) = IOUT/N = IOUT_MAX × (CC/127) × (DC/255)/N  
(4)  
8.3.3 PWM Dimming  
There are several methods to control the PWM duty cycle of each LED dot.  
Individual 8-bit / 16-bit PWM for Each LED Dot  
Every LED has an individual 8-bit or 16-bit PWM register that is used to change the LED brightness by PWM  
duty. The LP5860 uses an enhanced spectrum PWM (ES-PWM) algoithm to achieve 16-bit depth with high  
refresh rate and this can avoid flicker under high speed camera. Comparing with conventional 8-bit PWM,  
16-bit PWM can help to achieve ultimate high dimming resolution in LED animation applications.  
3 Programmable Groups of 8-bit PWM Dimming  
The group PWM Control is used to select LEDs into 1 to 3 groups where each group has a separate register  
for duty cycle control. Every LED has 2-bit selection in LED_DOT_GROUP Registers (x = 0, 1, … , 54.) to  
select whether it belongs to one of the three groups or not:  
– 00: not a member of any group  
– 01: member of group 1  
– 10: member of group 2  
– 11: member of group 3  
8-bit PWM for Global Dimming  
The Global PWM Control function affects all LEDs simultaneously.  
The final PWM duty cycle can be calculated as below:  
PWM_Final(8-bit) = PWM_Individual(8-bit) × PWM_Group(8-bit) × PWM_ Global(8-bit)  
PWM_Final(16-bit) = PWM_Individual(8-bit) × PWM_Group(8-bit) × PWM_ Global(16-bit)  
(5)  
(6)  
The LP5860 supports 125-kHz or 62.5-kHz PWM output frequency. The PWM frequency is selected by  
configuring the 'PWM_Fre' in Dev_initial register. An internal 32-MHz oscillator is used for generating PWM  
outputs. The oscillator’s high accuracy design (ƒOSC_ERR ≤ ± 2%) enables a better synchronization if multiple  
LP5860 devices are connected together.  
A PWM phase-shifting scheme is implemented in each current sink to avoid the current overshot when turning  
on simultaneously. As the LED drivers are not activated simultaneously, the peak load current from the pre-stage  
power supply is significantly decreased. This scheme also reduces input-current ripple and ceramic-capacitor  
audible ringing. LED drivers are grouped into three different phases. By configuring the 'PWM_Phase_Shift' in  
Dev_config1 register, which is default off, the LP5860 supports tphase_shift = 125-ns shifting time shown in Figure  
8-4.  
Phase 1: CS0, CS3, CS6, CS9, CS12, CS15.  
Copyright © 2021 Texas Instruments Incorporated  
Submit Document Feedback  
15  
Product Folder Links: LP5860  
LP5860  
SNVSBU8 – MAY 2021  
www.ti.com  
Phase 2: CS1, CS4, CS7, CS10, CS13, CS16.  
Phase 3: CS2, CS5, CS8, CS11, CS14, CS17.  
Phase 1  
Phase Shi  
Phase 2  
Phase Shiꢀ  
Phase 3  
Figure 8-4. Phase Shift  
To avoid high current sinks output ripple during line switching, current sinks can be configured to turn on with 1  
clock delay (62.5ns or 31.25ns according to the PWM frequency) after lines turn on, as shown in Figure 8-3. This  
function could be configured by 'CS_ON_Shift' in Dev_config1 register.  
The LP5860 allows users to configure the dimming scale either exponentially (Gamma Correction) or linearly  
through the 'PWM_Scale_Mode' in Dev_config1 register. If a human-eye-friendly dimming curve is desired, using  
the internal fixed exponential scale is an easy approach. If a special dimming curve is desired, using the linear  
scale with software correction is recommended. The LP5860 supports both linear and exponential dimming  
curves under 8-bit and 16-bit PWM depth. Figure 8-5 is an example of 8-bit PWM depth.  
100 %  
80 %  
60 %  
Linear Scale  
40 %  
Exponenal Scale  
20 %  
0 %  
0
32  
64  
96  
128 160 192 224 255  
PWM_Final (8 bits)  
Figure 8-5. Linear and Exponential Dimming Curves  
In summary, the PWM control method is illustrated as Figure 8-6:  
Copyright © 2021 Texas Instruments Incorporated  
16  
Submit Document Feedback  
Product Folder Links: LP5860  
 
 
LP5860  
SNVSBU8 – MAY 2021  
www.ti.com  
PWM_Individual (8-bits)  
8-bits  
PWM_Final (8-bits)  
PWM_Final (16-bits)  
PWM Generator  
PWM_Individual (16-bits)  
16-bits  
Figure 8-6. PWM Control Scheme  
8.3.4 ON/OFF Control  
The LP5860 device supports the individual ON/OFF control of each LED. For indication purpose, users can turn  
on and off the LED directly by writing 1-bit ON/OFF data to the corresponding Dot_onoffx (x = 0, 1, … , 32)  
register.  
8.3.5 Data Refresh Mode  
The LP5860 supports three data refresh modes: Mode 1, Mode 2, and Mode 3, by configuring 'Data_Ref_Mode'  
in Dev_initial register.  
Mode 1: 8-bit PWM data without VSYNC command. Data is sent out for display instantly once received. With  
Mode1, users can refresh the corresponding dots' data only instead of updating the whole SRAM. It is called ‘on  
demand data refresh’, which can save the total data volume effectively. As shown in Figure 8-7, the red LED  
dots can be refreshed after sending the corresponding data while the others kept the same with last frame.  
Frame N  
Frame N-1  
Figure 8-7. On Demand Data Refresh - Mode 1  
Mode 2: 8-bit PWM data with VSYNC command. Data is held and sent out simultaneously by frame after  
receiving the VSYNC command.  
Mode 3: 16-bit PWM data with VSYNC command. Data is held and sent out simultaneously by frame after  
receiving the VSYNC command.  
Frame control is implemented in Mode 2 and Mode 3. Instead of refreshing the output instantly once data  
is received (Mode 1), the device holds the data and refreshes the whole frame data by a fixed frame rate,  
fVSYNC. Usually, 24 Hz, 50 Hz, 60 Hz, 120Hz or even higher frame rate is selected to achieve vivid animation  
effects. Whole SRAM Data Refresh is shown in Figure 8-8, a new frame is updated after receiving the VSYNC  
command.  
Frame N  
Frame N-1  
Figure 8-8. Whole SRAM Data Refresh  
Copyright © 2021 Texas Instruments Incorporated  
Submit Document Feedback  
17  
Product Folder Links: LP5860  
 
 
 
LP5860  
SNVSBU8 – MAY 2021  
www.ti.com  
Comparing with Mode 1, Mode 2 and Mode 3 provide a better synchronization when multiple LP5860 devices  
used together. A high-level pulse width longer than tSYNC_H is required at the beginning of each VSYNC frame.  
Figure 8-9 shows the VSYNC connections and Figure 8-10 shows the timing requirements.  
MCU  
GPIO  
LP586x  
SYNC  
LP586x  
SYNC  
Figure 8-9. Multiple Devices Sync  
t>tVSYNC_H  
fVSYNC  
Figure 8-10. VSYNC Timing  
Table 8-4 is the summary of the 3 data refresh modes.  
Table 8-4. Data Refresh Mode  
MODE TYPE  
PWM RESOLUTION  
PWM OUTPUT  
EXTERNAL VSYNC  
Mode 1  
8 Bits  
8 Bits  
Data update instantly  
No  
Mode 2  
Data update by frame  
Yes  
Mode 3  
16 Bits  
8.3.6 Full Addressable SRAM  
SRAM is implemented inside the LP5860 device to support data writing and reading at the same time.  
Although data refresh mechanisms are not the same for Mode 1 and Mode 2/3, the data writing and  
reading follow the same method. Uses can update partial of the SRAM data only or the whole SRAM page  
simultaneously. The LP5860 supports auto-increment function to minimize data traffic and increase data transfer  
efficiency.  
Please be noted that 16-bit PWM (Mode 3) and 8-bit PWM (Mode 1 and Mode 2) are assigned with different  
SRAM addresses.  
8.3.7 Protections and Diagnostics  
LED Open Detection  
The LP5860 includes LED open detection (LOD) for the fault caused by any opened LED dot. The threshold  
for LED open is 0.25-V typical. LED open detection is only performed when PWM ≥ 25 (Mode 1 and Mode  
2) or PWM ≥ 6400 (Mode 3) and voltage on CSn is detected lower than open threshold for continuously 4  
sub-periods.  
Figure 8-11 shows the detection circuit of LOD function. When open fault is detected, 'Global_LOD' bit in  
Fault_state register is set to 1 and detailed fault state for each LED would also be monitored in register Dot_lodx  
(x = 0, 1, … , 32). All open fault indicator bits can be cleared by setting LOD_clear = 0Fh once the open  
condition is removed.  
LOD removal function can be enabled by setting 'LOD_removal' bit in Dev_config2 register to 1. This function  
turns off the current sink of the open channel when scanning to the line where the opened LED is included.  
Copyright © 2021 Texas Instruments Incorporated  
18  
Submit Document Feedback  
Product Folder Links: LP5860  
 
 
 
LP5860  
SNVSBU8 – MAY 2021  
www.ti.com  
LOD data set  
to 1b  
Global_LOD Bit  
Channel_lodxx Register  
CS17  
Lower than threshold  
for con nuously 4 mes  
CS1  
CS0  
VLODVTH  
VLODVTH  
VLODVTH  
Comparing with  
open threshold  
0.25V  
+
+
+
Channel  
Control  
Channel  
Control  
Channel  
Control  
GND  
Figure 8-11. LOD Circuits  
LED Short Detection  
The LP5860 includes LED short detection (LSD) for the fault caused by any shorted LED. Threshold for channel  
short is (VLED – 1) V typical. LED short detection only performed when PWM ≥ 25 (Mode 1 and Mode 2)  
or PWM ≥ 6400 (Mode 3) and voltage on CSn is detected higher than short threshold for continuously 4  
sub-periods. As there is parasitic capacitance for the current sink, to make sure the LSD result is correct, it is  
recommended to set the LED current higher than 0.5 mA.  
Figure 8-12 shows the detection circuit of LSD function. When short fault is detected, 'Global_LSD bit' in  
Fault_state register is set to 1 and detailed fault state for every channel would also be monitored in register  
Dot_lsdx (x = 0, 1, … , 32). All short fault indicator bits can be cleared by setting LSD_clear = 0Fh once the short  
condition is removed.  
LSD removal function can be enabled by setting 'LSD_removal' bit in Dev_config2 register to 1. This function  
turns off the upside deghosting function of the scan line where short LED is included.  
Copyright © 2021 Texas Instruments Incorporated  
Submit Document Feedback  
19  
Product Folder Links: LP5860  
 
LP5860  
SNVSBU8 – MAY 2021  
www.ti.com  
LSD data set  
Global_LSD Bit  
Channel_lsdxx Register  
to 1b  
CS17  
Higher than threshold  
for con nuously 4 mes  
CS1  
CS0  
VLSDVTH  
VLSDVTH  
VLSDVTH  
Comparing with  
short threshold  
(VLED-1)V  
+
+
+
Channel  
Control  
Channel  
Control  
Channel  
Control  
GND  
Figure 8-12. LSD Circuit  
Thermal Shutdown  
The LP5860 device implements thermal shutdown mechanism to protect the device from damage due to  
overheating. When the junction temperature rises to 160°C (typical) and above, the device switches into  
shutdown mode. The LP5860 exits thermal shutdown when the junction temperature of the device drops to  
145°C (typical) and below.  
UVLO (Under Voltage Lock Out)  
The LP5860 has an internal comparator that monitors the voltage at VCC. When VCC is below VUVF, reset is  
active and the LP5860 will enter INITIALIZATION state.  
Copyright © 2021 Texas Instruments Incorporated  
20  
Submit Document Feedback  
Product Folder Links: LP5860  
 
LP5860  
SNVSBU8 – MAY 2021  
www.ti.com  
8.4 Device Functional Modes  
VCC Power Up  
EN = L  
From all states  
SHUTDOWN  
EN = H  
UVLO = H  
HARDWARE POR  
SOFTWARE RESET  
STANDBY  
From all states  
From all states  
UVLO = L AND t > tPOR_H  
RESET = FF  
Chip_EN = 1 AND t > tCHIP_EN  
TSD=H  
Chip_EN = 0  
THERMAL  
SHUTDOWN  
NORMAL  
TSD=L  
Figure 8-13. Device Functional Modes  
SHUTDOWN: The device enters into SHUTDOWN mode from all states on VCC power up or EN pin is low.  
HARDWARE POR: The device enters into HARDWARE POR when Enable pin is high or VCC fall under VUVF  
causing UVLO=H from all states.  
SOFTWARE RESET: The device enters into SOFTWARE RESET mode when VCC rise higher than VUVR  
with the time t > tPOR_H. In this mode, all the registers are reset. Entry can also be from any state when the  
RESET (register) = FFh or UVLO is low.  
STANDBY: The device enters the STANDBY mode when Chip_EN (register) = 0. In this mode, device enters  
into low power mode, but the I2C/SPI are still available for Chip_EN only and the registers’ data are retained.  
NORMAL: The device enters the NORMAL mode when 'Chip_EN' = 1 with the time t > tCHIP_EN.  
THERMAL SHUTDOWN: The device automatically enters the THERMAL SHUTDOWN mode when the  
junction temperature exceeds 160°C (typical). If the junction temperature decreases below 145°C (typical),  
the device returns to the NORMAL mode.  
8.5 Programming  
Interface Selection  
The LP5860 supports two communication interfaces: I2C and SPI. If IFS is high, it enters into SPI mode. If IFS is  
low, it enters into I2C mode.  
Table 8-5. Interface Selection  
INTERFACE TYPE  
ENTRY CONDITION  
I2C  
IFS = Low  
Copyright © 2021 Texas Instruments Incorporated  
Submit Document Feedback  
21  
Product Folder Links: LP5860  
 
 
LP5860  
SNVSBU8 – MAY 2021  
www.ti.com  
Table 8-5. Interface Selection (continued)  
INTERFACE TYPE  
ENTRY CONDITION  
SPI  
IFS = High  
I2C Interface  
The LP5860 is compatible with I2C standard specification. It supports both Fast Mode (400-KHz maximum) and  
Fast Plus Mode (1-MHz maximum).  
I2C Data Transactions  
The data on SDA line must be stable during the HIGH period of the clock signal (SCL). In other words, state of  
the data line can only be changed when clock signal is LOW. START and STOP conditions classify the beginning  
and the end of the data transfer session. A START condition is defined as the SDA signal transitioning from  
HIGH to LOW while SCL line is HIGH. A STOP condition is defined as the SDA transitioning from LOW to HIGH  
while SCL is HIGH. The bus leader always generates START and STOP conditions. The bus is considered to  
be busy after a START condition and free after a STOP condition. During data transmission, the bus leader can  
generate repeated START conditions. First START and repeated START conditions are functionally equivalent.  
Each byte of data has to be followed by an acknowledge bit. The acknowledge related clock pulse is generated  
by the leader. The leader releases the SDA line (HIGH) during the acknowledge clock pulse. The device pulls  
down the SDA line during the 9th clock pulse, signifying an acknowledge. The device generates an acknowledge  
after each byte has been received.  
There is one exception to the acknowledge after every byte rule. When the leader is the receiver, it must indicate  
to the transmitter an end of data by not acknowledging (negative acknowledge) the last byte clocked out of the  
follower. This negative acknowledge still includes the acknowledge clock pulse (generated by the leader), but the  
SDA line is not pulled down.  
I2C Data Format  
The address and data bits are transmitted MSB first with 8-bits length format in each cycle. Each transmission  
is started with Address Byte 1, which are divided into 5-bits of the chip address, 2 higher bits of the register  
address, and 1 read/write bit. The other 8 lower bits of register address are put in Address Byte 2.The device  
supports both independent mode and broadcast mode. The auto-increment feature allows writing / reading  
several consecutive registers within one transmission. If not consecutive, a new transmission must be started.  
Table 8-6. I2C Data Format  
Address Byte1  
Chip Address  
Register Address  
R/W  
Bit 7  
Bit 6  
Bit 5  
Bit 4  
ADDR1  
0
Bit 3  
ADDR0  
1
Bit 2  
Bit 1  
Bit 0  
Independent  
Broadcast  
1
1
0
0
0
1
9th bit  
8th bit  
R: 1 W: 0  
Register Address  
Address Byte2  
Bit 7  
Bit 6  
Bit 5  
Bit 4  
Bit 3  
Bit 2  
Bit 1  
Bit 0  
7th bit  
6th bit  
5th bit  
4th bit  
3th bit  
2th bit  
1th bit  
0th bit  
2
6
1
5
9
8
8
SCL  
1
3
4
5
7
8
9
2
3
4
6
7
8
1
9
1
9
Data Byte 2  
D7  
Address Byte 1  
CA0  
Data Byte 1  
D7  
Address Byte 2  
RA3  
ACK  
RA7  
RA6  
RA5  
RA4  
RA2  
RA1  
CA4  
CA3  
CA2  
CA1  
RA9  
RA8  
W
RA0  
ACK  
D0  
ACK  
D0  
ACK  
SDA  
Start  
Stop  
Figure 8-14. I2C Write Timming  
Copyright © 2021 Texas Instruments Incorporated  
22  
Submit Document Feedback  
Product Folder Links: LP5860  
LP5860  
SNVSBU8 – MAY 2021  
www.ti.com  
SCL  
1
2
3
4
5
6
7
8
9
1
2
3
4
5
6
7
8
9
10  
1
2
3
4
5
6
7
8
9
1
8
9
1
8
9
Address Byte 1  
CA0  
Address Byte 1  
CA0  
Data Byte 1  
D7  
Data Byte 2  
D7  
Address Byte 2  
RA4  
CA4  
CA3  
CA2  
CA1  
RA9  
RA8  
W
ACK  
RA7  
RA6  
RA5  
RA3  
RA2  
RA1  
RA0  
ACK  
CA4  
CA3  
CA2  
CA1  
RA9  
RA8  
R
ACK  
D0  
ACK  
D0  
NACK  
SDA  
Start  
Restart  
Stop  
Figure 8-15. I2C Read Timing  
Multiple Devices Connection  
The LP5860 enters into I2C mode if IFS is connected to GND. The ADDR0/1 pin is used to select the unique  
I2C follower address for each device. The SCL and SDA lines should each have a pullup resistor (4.7 KΩ  
for 400 KHz, 2 KΩ for 1 MHz) placed somewhere on the line and remain HIGH even when the bus is idle.  
VIO_EN can either be connected with VIO power supply or GPIO. It’s suggested to put one 1nF cap as closer to  
VIO_EN pin as possible. Up to four LP5860 follower devices may share the same I2C bus by the different ADDR  
configurations.  
VIO  
VIO_EN  
SDA  
SCL  
ADDR0  
ADDR1  
Device 0  
MCU  
VSYNC  
IFS  
GND  
VIO  
VIO_EN  
SDA
SCL
Device N  
(N=1,2,3)  
ADDR0
ADDR1
VSYNC  
IFS  
GND  
Figure 8-16. I2C Multiple Devices Connection  
SPI Interface  
The LP5860 is compatible with SPI serial-bus specification, and it operates as a follower. The maximum  
frequency supported by LP5860 is 12 MHz.  
SPI Data Transactions  
MISO output is normally in a high impedance state. When the follower-select pin SS for the device is active  
(low) the MISO output is pulled low for read only. During write cycle MISO stays in high-impedance state. The  
follower-select signal SS must be low during the cycle transmission. SS resets the interface when high. Data is  
clocked in on the rising edge of the SCLK clock signal, while data is clocked out on the falling edge of SCLK.  
SPI Data Format  
The address and data bits are transmitted MSB first with 8-bits length format in each cycle. Each transmission is  
started with Address Byte 1, which contains 8 higher bits of the register address. The Address Byte 2 is started  
with 2 lower bits of the register address and 1 read/write bit. The auto-increment feature allows writing / reading  
several consecutive registers within one transmission. If not consecutive, a new transmission must be started.  
Copyright © 2021 Texas Instruments Incorporated  
Submit Document Feedback  
23  
Product Folder Links: LP5860  
LP5860  
SNVSBU8 – MAY 2021  
www.ti.com  
Table 8-7. SPI Data Format  
Address  
Byte1  
Register Address  
Bit 7  
Bit 6  
Bit 5  
Bit 4  
Bit 3  
Bit 2  
Bit 1  
Bit 0  
9th bit  
8th bit  
7th bit  
6th bit  
5th bit  
4th bit  
3th bit  
2th bit  
Address  
Byte2  
Register Address  
Bit 7  
Bit 6  
Bit 5  
Bit 4  
Bit 3  
Bit 2  
Bit 1  
Bit 0  
1th bit  
0th bit  
R: 1 W: 0  
Don't Care  
SS  
SCLK  
1
2
3
4
5
6
7
8
1
2
3
4
5
6
7
8
1
2
3
4
5
6
7
8
1
2
3
4
5
6
7
8
Address Byte 2  
Data Byte 1  
D3  
Data Byte 2  
D3  
Address Byte 1  
A6 A5  
A9  
A8  
A7  
A4  
A3  
A2  
A1  
A0  
W
D7  
D6  
D5  
D4  
D2  
D1  
D0  
D7  
D6  
D5  
D4  
D2  
D1  
D0  
MOSI  
MISO  
High Impedance  
Figure 8-17. SPI Write Timing  
SS  
SCLK  
1
2
3
4
5
6
7
8
1
2
3
4
5
6
7
8
1
2
3
4
5
6
7
8
1
2
3
4
5
6
7
8
Address Byte 1  
A6 A5  
Address Byte 2  
MOSI  
MISO  
A9  
A8  
A7  
A4  
A3  
A2  
A1  
A0  
R
Data Byte 1  
D4 D3  
Data Byte 2  
D3 D2  
High Impedance  
D7  
D6  
D5  
D2  
D1  
D0  
D7  
D6  
D5  
D4  
D1  
D0  
Figure 8-18. SPI Read Timing  
Multiple Devices Connection  
The device enters into SPI mode if IFS is pulled high to VIO through a pullup resistor(4.7KΩ recommended).  
VIO_EN can either be connected with VIO power supply or GPIO. It’s suggested to put one 1nF cap as closer  
to VIO_EN pin as possible. In SPI mode host can address as many devices as there are follower select pins on  
host.  
VIO  
VIO_EN  
MOSI  
MISO  
SCLK  
Device 0  
MCU  
SS  
SS 0  
SS N  
VSYNC  
IFS  
GND  
VIO  
VIO_EN  
MOSI  
MISO  
SCLK  
Device N  
SS  
VSYNC  
IFS  
GND  
VIO  
Figure 8-19. SPI Multiple Devices Connection  
8.6 Register Maps  
This section provides a summary of the register maps. For detailed register functions and descriptions, please  
refer to LP5860 11x18 LED Matrix Driver Register Maps.  
Copyright © 2021 Texas Instruments Incorporated  
24  
Submit Document Feedback  
Product Folder Links: LP5860  
 
LP5860  
SNVSBU8 – MAY 2021  
www.ti.com  
Table 8-8. Register Section/Block Access Type Codes  
Access Type  
Code  
Description  
Read Type  
R
R
R
C
R
-0  
Read  
RC  
Read  
to Clear  
Read  
R-0  
Returns 0s  
Write Type  
W
W
W
0C  
P
Write  
W0CP  
W
0 to clear  
Requires privileged access  
Reset or Default Value  
-n  
Value after reset or the default value  
Register  
Acronym  
Address Type D7  
D6  
D5  
D4  
D3  
D2  
D1  
D0  
Default  
Chip_en  
000h  
001h  
002h  
R/W Reserved  
R/W Reserved Max_Line_Num  
Chip_EN 00h  
PWM_Fre 5Eh  
Dev_initial  
Dev_config1  
Data_Ref_Mode  
R/W Reserved Reserved Reserved Reserved SW_BLK PWM_Sc PWM_Ph CS_ON_ 00h  
ale_Mode ase_Shift Shift  
Dev_config2  
Dev_config3  
003h  
004h  
R/W Comp_Group3  
Comp_Group2  
Comp_Group1  
LOD_rem LSD_rem 00h  
oval  
oval  
R/W Down_Deghost  
Up_Deghost  
Maximum_Current  
Up_Degh 47h  
ost_enabl  
e
Global_bri  
005h  
006h  
007h  
008h  
009h  
R/W PWM_Global  
FFh  
FFh  
FFh  
FFh  
40h  
40h  
40h  
Group0_bri  
Group1_bri  
Group2_bri  
R_current_set  
R/W PWM_Group1  
R/W PWM_Group2  
R/W PWM_Group3  
R/W Reserved CC_Group1  
R/W Reserved CC_Group2  
R/W Reserved CC_Group3  
R/W Dot L0-CS3 group  
R/W Dot L0-CS7 group  
R/W Dot L0-CS11 group  
R/W Dot L0-CS15 group  
R/W Reserved  
G_current_set 00Ah  
B_current_set  
Dot_grp_sel0  
Dot_grp_sel1  
Dot_grp_sel2  
Dot_grp_sel3  
Dot_grp_sel4  
Dot_grp_sel5  
Dot_grp_sel6  
Dot_grp_sel7  
Dot_grp_sel8  
Dot_grp_sel9  
00Bh  
00Ch  
00Dh  
00Eh  
00Fh  
010h  
011h  
012h  
013h  
014h  
015h  
Dot L0-CS2 group  
Dot L0-CS6 group  
Dot L0-CS10 group  
Dot L0-CS14 group  
Dot L0-CS1 group  
Dot L0-CS5 group  
Dot L0-CS9 group  
Dot L0-CS13 group  
Dot L0-CS17 group  
Dot L1-CS1 group  
Dot L1-CS5 group  
Dot L1-CS9 group  
Dot L1-CS13 group  
Dot L1-CS17 group  
Dot L2-CS1 group  
Dot L2-CS5 group  
Dot L2-CS9 group  
Dot L2-CS13 group  
Dot L2-CS17 group  
Dot L0-CS0 group  
Dot L0-CS4 group  
Dot L0-CS8 group  
Dot L0-CS12 group  
Dot L0-CS16 group  
Dot L1-CS0 group  
Dot L1-CS4 group  
Dot L1-CS8 group  
Dot L1-CS12 group  
Dot L1-CS16 group  
Dot L2-CS0 group  
Dot L2-CS4 group  
Dot L2-CS8 group  
Dot L2-CS12 group  
Dot L2-CS16 group  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
R/W Dot L1-CS3 group  
R/W Dot L1-CS7 group  
R/W Dot L1-CS11 group  
R/W Dot L1-CS15 group  
R/W Reserved  
Dot L1-CS2 group  
Dot L1-CS6 group  
Dot L1-CS10 group  
Dot L1-CS14 group  
Dot_grp_sel10 016h  
Dot_grp_sel11 017h  
Dot_grp_sel12 018h  
Dot_grp_sel13 019h  
Dot_grp_sel14 01Ah  
R/W Dot L2-CS3 group  
R/W Dot L2-CS7 group  
R/W Dot L2-CS11 group  
R/W Dot L2-CS15 group  
R/W Reserved  
Dot L2-CS2 group  
Dot L2-CS6 group  
Dot L2-CS10 group  
Dot L2-CS14 group  
Copyright © 2021 Texas Instruments Incorporated  
Submit Document Feedback  
25  
Product Folder Links: LP5860  
LP5860  
SNVSBU8 – MAY 2021  
www.ti.com  
Dot_grp_sel15 01Bh  
Dot_grp_sel16 01Ch  
Dot_grp_sel17 01Dh  
Dot_grp_sel18 01Eh  
Dot_grp_sel19 01Fh  
Dot_grp_sel20 020h  
Dot_grp_sel21 021h  
Dot_grp_sel22 022h  
Dot_grp_sel23 023h  
Dot_grp_sel24 024h  
Dot_grp_sel25 025h  
Dot_grp_sel26 026h  
Dot_grp_sel27 027h  
Dot_grp_sel28 028h  
Dot_grp_sel29 029h  
Dot_grp_sel30 02Ah  
Dot_grp_sel31 02Bh  
Dot_grp_sel32 02Ch  
Dot_grp_sel33 02Dh  
Dot_grp_sel34 02Eh  
Dot_grp_sel35 02Fh  
Dot_grp_sel36 030h  
Dot_grp_sel37 031h  
Dot_grp_sel38 032h  
Dot_grp_sel39 033h  
Dot_grp_sel40 034h  
Dot_grp_sel41 035h  
Dot_grp_sel42 036h  
Dot_grp_sel43 037h  
Dot_grp_sel44 038h  
Dot_grp_sel45 039h  
Dot_grp_sel46 03Ah  
Dot_grp_sel47 03Bh  
Dot_grp_sel48 03Ch  
Dot_grp_sel49 03Dh  
Dot_grp_sel50 03Eh  
Dot_grp_sel51 03Fh  
Dot_grp_sel52 040h  
Dot_grp_sel53 041h  
Dot_grp_sel54 042h  
R/W Dot L3-CS3 group  
R/W Dot L3-CS7 group  
R/W Dot L3-CS11 group  
R/W Dot L3-CS15 group  
R/W Reserved  
Dot L3-CS2 group  
Dot L3-CS6 group  
Dot L3-CS10 group  
Dot L3-CS14 group  
Dot L3-CS1 group  
Dot L3-CS5 group  
Dot L3-CS9 group  
Dot L3-CS13 group  
Dot L3-CS17 group  
Dot L4-CS1 group  
Dot L4-CS5 group  
Dot L4-CS9 group  
Dot L4-CS13 group  
Dot L4-CS17 group  
Dot L5-CS1 group  
Dot L5-CS5 group  
Dot L5-CS9 group  
Dot L5-CS13 group  
Dot L5-CS17 group  
Dot L6-CS1 group  
Dot L6-CS5 group  
Dot L6-CS9 group  
Dot L6-CS13 group  
Dot L6-CS17 group  
Dot L7-CS1 group  
Dot L7-CS5 group  
Dot L7-CS9 group  
Dot L7-CS13 group  
Dot L7-CS17 group  
Dot L8-CS1 group  
Dot L8-CS5 group  
Dot L8-CS9 group  
Dot L8-CS13 group  
Dot L8-CS17 group  
Dot L9-CS1 group  
Dot L9-CS5 group  
Dot L9-CS9 group  
Dot L9-CS13 group  
Dot L9-CS17 group  
Dot L10-CS1 group  
Dot L10-CS5 group  
Dot L3-CS0 group  
Dot L3-CS4 group  
Dot L3-CS8 group  
Dot L3-CS12 group  
Dot L3-CS16 group  
Dot L4-CS0 group  
Dot L4-CS4 group  
Dot L4-CS8 group  
Dot L4-CS12 group  
Dot L4-CS16 group  
Dot L5-CS0 group  
Dot L5-CS4 group  
Dot L5-CS8 group  
Dot L5-CS12 group  
Dot L5-CS16 group  
Dot L6-CS0 group  
Dot L6-CS4 group  
Dot L6-CS8 group  
Dot L6-CS12 group  
Dot L6-CS16 group  
Dot L7-CS0 group  
Dot L7-CS4 group  
Dot L7-CS8 group  
Dot L7-CS12 group  
Dot L7-CS16 group  
Dot L8-CS0 group  
Dot L8-CS4 group  
Dot L8-CS8 group  
Dot L8-CS12 group  
Dot L8-CS16 group  
Dot L9-CS0 group  
Dot L9-CS4 group  
Dot L9-CS8 group  
Dot L9-CS12 group  
Dot L9-CS16 group  
Dot L10-CS0 group  
Dot L10-CS4 group  
Dot L10-CS8 group  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
R/W Dot L4-CS3 group  
R/W Dot L4-CS7 group  
R/W Dot L4-CS11 group  
R/W Dot L4-CS15 group  
R/W Reserved  
Dot L4-CS2 group  
Dot L4-CS6 group  
Dot L4-CS10 group  
Dot L4-CS14 group  
R/W Dot L5-CS3 group  
R/W Dot L5-CS7 group  
R/W Dot L5-CS11 group  
R/W Dot L5-CS15 group  
R/W Reserved  
Dot L5-CS2 group  
Dot L5-CS6 group  
Dot L5-CS10 group  
Dot L5-CS14 group  
R/W Dot L6-CS3 group  
R/W Dot L6-CS7 group  
R/W Dot L6-CS11 group  
R/W Dot L6-CS15 group  
R/W Reserved  
Dot L6-CS2 group  
Dot L6-CS6 group  
Dot L6-CS10 group  
Dot L6-CS14 group  
R/W Dot L7-CS3 group  
R/W Dot L7-CS7 group  
R/W Dot L7-CS11 group  
R/W Dot L7-CS15 group  
R/W Reserved  
Dot L7-CS2 group  
Dot L7-CS6 group  
Dot L7-CS10 group  
Dot L7-CS14 group  
R/W Dot L8-CS3 group  
R/W Dot L8-CS7 group  
R/W Dot L8-CS11 group  
R/W Dot L8-CS15 group  
R/W Reserved  
Dot L8-CS2 group  
Dot L8-CS6 group  
Dot L8-CS10 group  
Dot L8-CS14 group  
R/W Dot L9-CS3 group  
R/W Dot L9-CS7 group  
R/W Dot L9-CS11 group  
R/W Dot L9-CS15 group  
R/W Reserved  
Dot L9-CS2 group  
Dot L9-CS6 group  
Dot L9-CS10 group  
Dot L9-CS14 group  
R/W Dot L10-CS3 group  
R/W Dot L10-CS7 group  
Dot L10-CS2 group  
Dot L10-CS6 group  
R/W Dot L10-CS11 group Dot L10-CS10 group Dot L10-CS9 group  
R/W Dot L10-CS15 group Dot L10-CS14 group Dot L10-CS13 group Dot L10-CS12 group 00h  
R/W Reserved  
Dot L10-CS17 group Dot L10-CS16 group 00h  
Dot_onoff0  
043h  
R/W Dot L0-  
Dot L0-  
Dot L0-  
Dot L0-  
Dot L0-  
Dot L0-  
Dot L0-  
Dot L0-  
FFh  
CS7 onoff CS6 onoff CS5 onoff CS4 onoff CS3 onoff CS2 onoff CS1 onoff CS0 onoff  
Dot_onoff1  
044h  
R/W Dot L0-  
Dot L0-  
Dot L0-  
CS13  
onoff  
Dot L0-  
CS12  
onoff  
Dot L0-  
CS11  
onoff  
Dot L0-  
CS10  
onoff  
Dot L0-  
CS9 onoff CS8 onoff  
Dot L0-  
FFh  
CS15onof CS14  
f
onoff  
Dot_onoff2  
045h  
R/W Reserved  
Dot L0-  
CS17  
onoff  
Dot L0-  
CS16  
onoff  
03h  
Copyright © 2021 Texas Instruments Incorporated  
26  
Submit Document Feedback  
Product Folder Links: LP5860  
LP5860  
www.ti.com  
Dot_onoff3  
SNVSBU8 – MAY 2021  
046h  
047h  
R/W Dot L1-  
Dot L1-  
Dot L1-  
Dot L1-  
Dot L1-  
Dot L1-  
Dot L1-  
Dot L1-  
FFh  
FFh  
CS7 onoff CS6 onoff CS5 onoff CS4 onoff CS3 onoff CS2 onoff CS1 onoff CS0 onoff  
Dot_onoff4  
Dot_onoff5  
R/W Dot L1-  
CS15  
Dot L1-  
CS14  
onoff  
Dot L1-  
CS13  
onoff  
Dot L1-  
CS12  
onoff  
Dot L1-  
CS11  
onoff  
Dot L1-  
CS10  
onoff  
Dot L1-  
Dot L1-  
CS9 onoff CS8 onoff  
onoff  
048h  
R/W Reserved  
Dot L1-  
CS17  
onoff  
Dot L1-  
CS16  
onoff  
03h  
Dot_onoff6  
Dot_onoff7  
049h  
04Ah  
R/W Dot L2-  
Dot L2-  
Dot L2-  
Dot L2-  
Dot L2-  
Dot L2-  
Dot L2-  
Dot L2-  
FFh  
FFh  
CS7 onoff CS6 onoff CS5 onoff CS4 onoff CS3 onoff CS2 onoff CS1 onoff CS0 onoff  
R/W Dot L2-  
CS15  
Dot L2-  
CS14  
onoff  
Dot L2-  
CS13  
onoff  
Dot L2-  
CS12  
onoff  
Dot L2-  
CS11  
onoff  
Dot L2-  
CS10  
onoff  
Dot L2-  
CS9 onoff CS8 onoff  
Dot L2-  
onoff  
Dot_onoff8  
04Bh  
R/W Reserved  
Dot L2-  
CS17  
onoff  
Dot L2-  
CS16  
onoff  
03h  
Dot_onoff9  
04Ch  
04Dh  
R/W Dot L3-  
Dot L3-  
Dot L3-  
Dot L3-  
Dot L3-  
Dot L3-  
Dot L3-  
Dot L3-  
FFh  
FFh  
CS7 onoff CS6 onoff CS5 onoff CS4 onoff CS3 onoff CS2 onoff CS1 onoff CS0 onoff  
Dot_onoff10  
R/W Dot L3-  
CS15  
Dot L3-  
CS14  
onoff  
Dot L3-  
CS13  
onoff  
Dot L3-  
CS12  
onoff  
Dot L3-  
CS11  
onoff  
Dot L3-  
CS10  
onoff  
Dot L3-  
CS9 onoff CS8 onoff  
Dot L3-  
onoff  
Dot_onoff11  
04Eh  
R/W Reserved  
Dot L3-  
CS17  
onoff  
Dot L3-  
CS16  
onoff  
03h  
Dot_onoff12  
Dot_onoff13  
04Fh  
050h  
R/W Dot L4-  
Dot L4-  
Dot L4-  
Dot L4-  
Dot L4-  
Dot L4-  
Dot L4-  
Dot L4-  
FFh  
FFh  
CS7 onoff CS6 onoff CS5 onoff CS4 onoff CS3 onoff CS2 onoff CS1 onoff CS0 onoff  
R/W Dot L4-  
CS15  
Dot L4-  
CS14  
onoff  
Dot L4-  
CS13  
onoff  
Dot L4-  
CS12  
onoff  
Dot L4-  
CS11  
onoff  
Dot L4-  
CS10  
onoff  
Dot L4-  
CS9 onoff CS8 onoff  
Dot L4-  
onoff  
Dot_onoff14  
051h  
R/W Reserved  
Dot L4-  
CS17  
onoff  
Dot L4-  
CS16  
onoff  
03h  
Dot_onoff15  
Dot_onoff16  
052h  
053h  
R/W Dot L5-  
Dot L5-  
Dot L5-  
Dot L5-  
Dot L5-  
Dot L5-  
Dot L5-  
Dot L5-  
FFh  
FFh  
CS7 onoff CS6 onoff CS5 onoff CS4 onoff CS3 onoff CS2 onoff CS1 onoff CS0 onoff  
R/W Dot L5-  
CS15  
Dot L5-  
CS14  
onoff  
Dot L5-  
CS13  
onoff  
Dot L5-  
CS12  
onoff  
Dot L5-  
CS11  
onoff  
Dot L5-  
CS10  
onoff  
Dot L5-  
CS9 onoff CS8 onoff  
Dot L5-  
onoff  
Dot_onoff17  
054h  
R/W Reserved  
Dot L5-  
CS17  
onoff  
Dot L5-  
CS16  
onoff  
03h  
Dot_onoff18  
Dot_onoff19  
055h  
056h  
R/W Dot L6-  
Dot L6-  
Dot L6-  
Dot L6-  
Dot L6-  
Dot L6-  
Dot L6-  
Dot L6-  
FFh  
FFh  
CS7 onoff CS6 onoff CS5 onoff CS4 onoff CS3 onoff CS2 onoff CS1 onoff CS0 onoff  
R/W Dot L6-  
CS15  
Dot L6-  
CS14  
onoff  
Dot L6-  
CS13  
onoff  
Dot L6-  
CS12  
onoff  
Dot L6-  
CS11  
onoff  
Dot L6-  
CS10  
onoff  
Dot L6-  
CS9 onoff CS8 onoff  
Dot L6-  
onoff  
Dot_onoff20  
057h  
R/W Reserved  
Dot L6-  
CS17  
onoff  
Dot L6-  
CS16  
onoff  
03h  
Dot_onoff21  
Dot_onoff22  
058h  
059h  
R/W Dot L7-  
Dot L7-  
Dot L7-  
Dot L7-  
Dot L7-  
Dot L7-  
Dot L7-  
Dot L7-  
FFh  
FFh  
CS7 onoff CS6 onoff CS5 onoff CS4 onoff CS3 onoff CS2 onoff CS1 onoff CS0 onoff  
R/W Dot L7-  
CS15  
Dot L7-  
CS14  
onoff  
Dot L7-  
CS13  
onoff  
Dot L7-  
CS12  
onoff  
Dot L7-  
CS11  
onoff  
Dot L7-  
CS10  
onoff  
Dot L7-  
CS9 onoff CS8 onoff  
Dot L7-  
onoff  
Dot_onoff23  
Dot_onoff24  
05Ah  
05Bh  
R/W Reserved  
Dot L7-  
CS17  
onoff  
Dot L7-  
CS16  
onoff  
03h  
FFh  
R/W Dot L8-  
Dot L8-  
Dot L8-  
Dot L8-  
Dot L8-  
Dot L8-  
Dot L8-  
Dot L8-  
CS7 onoff CS6 onoff CS5 onoff CS4 onoff CS3 onoff CS2 onoff CS1 onoff CS0 onoff  
Copyright © 2021 Texas Instruments Incorporated  
Submit Document Feedback  
27  
Product Folder Links: LP5860  
LP5860  
SNVSBU8 – MAY 2021  
www.ti.com  
Dot_onoff25  
Dot_onoff26  
05Ch  
R/W Dot L8-  
CS15  
Dot L8-  
CS14  
onoff  
Dot L8-  
CS13  
onoff  
Dot L8-  
CS12  
onoff  
Dot L8-  
CS11  
onoff  
Dot L8-  
CS10  
onoff  
Dot L8-  
CS9 onoff CS8 onoff  
Dot L8-  
FFh  
onoff  
05Dh  
R/W Reserved  
Dot L8-  
CS17  
onoff  
Dot L8-  
CS16  
onoff  
03h  
Dot_onoff27  
Dot_onoff28  
05Eh  
05Fh  
R/W Dot L9-  
Dot L9-  
Dot L9-  
Dot L9-  
Dot L9-  
Dot L9-  
Dot L9-  
Dot L9-  
FFh  
FFh  
CS7 onoff CS6 onoff CS5 onoff CS4 onoff CS3 onoff CS2 onoff CS1 onoff CS0 onoff  
R/W Dot L9-  
CS15  
Dot L9-  
CS14  
onoff  
Dot L9-  
CS13  
onoff  
Dot L9-  
CS12  
onoff  
Dot L9-  
CS11  
onoff  
Dot L9-  
CS10  
onoff  
Dot L9-  
CS9 onoff CS8 onoff  
Dot L9-  
onoff  
Dot_onoff29  
060h  
R/W Reserved  
Dot L9-  
CS17  
onoff  
Dot L9-  
CS16  
onoff  
03h  
Dot_onoff30  
Dot_onoff31  
061h  
062h  
R/W Dot L10- Dot L10- Dot L10- Dot L10- Dot L10- Dot L10- Dot L10- Dot L10- FFh  
CS7 onoff CS6 onoff CS5 onoff CS4 onoff CS3 onoff CS2 onoff CS1 onoff CS0 onoff  
R/W Dot L10- Dot L10- Dot L10- Dot L10- Dot L10- Dot L10- Dot L10- Dot L10- FFh  
CS15  
onoff  
CS14  
onoff  
CS13  
onoff  
CS12  
onoff  
CS11  
onoff  
CS10  
onoff  
CS9 onoff CS8 onoff  
Dot_onoff32  
063h  
R/W Reserved  
Dot L10- Dot L10- 03h  
CS17  
onoff  
CS16  
onoff  
Fault_state  
Dot_lod0  
Dot_lod1  
064h  
065h  
066h  
R
R
R
Reserved  
Dot L0-  
Global_L Global_L 00h  
OD  
SD  
Dot L0-  
Dot L0-  
Dot L0-  
Dot L0-  
Dot L0-  
Dot L0-  
Dot L0-  
00h  
00h  
CS7 LOD CS6 LOD CS5 LOD CS4 LOD CS3 LOD CS2 LOD CS1 LOD CS0 LOD  
Dot L0-  
CS15  
LOD  
Dot L0-  
CS14  
LOD  
Dot L0-  
CS13  
LOD  
Dot L0-  
CS12  
LOD  
Dot L0-  
CS11  
LOD  
Dot L0-  
CS10  
LOD  
Dot L0-  
Dot L0-  
CS9 LOD CS8 LOD  
Dot_lod2  
067h  
R
Reserved  
Dot L0-  
CS17  
LOD  
Dot L0-  
CS16  
LOD  
00h  
Dot_lod3  
Dot_lod4  
068h  
069h  
R
R
Dot L1-  
Dot L1-  
Dot L1-  
Dot L1-  
Dot L1-  
Dot L1-  
Dot L1-  
Dot L1-  
00h  
00h  
CS7 LOD CS6 LOD CS5 LOD CS4 LOD CS3 LOD CS2 LOD CS1 LOD CS0 LOD  
Dot L1-  
CS15  
LOD  
Dot L1-  
CS14  
LOD  
Dot L1-  
CS13  
LOD  
Dot L1-  
CS12  
LOD  
Dot L1-  
CS11  
LOD  
Dot L1-  
CS10  
LOD  
Dot L1-  
CS9 LOD CS8 LOD  
Dot L1-  
Dot_lod5  
06Ah  
R
Reserved  
Dot L1-  
CS17  
LOD  
Dot L1-  
CS16  
LOD  
00h  
Dot_lod6  
Dot_lod7  
06Bh  
06Ch  
R
R
Dot L2-  
Dot L2-  
Dot L2-  
Dot L2-  
Dot L2-  
Dot L2-  
Dot L2-  
Dot L2-  
00h  
00h  
CS7 LOD CS6 LOD CS5 LOD CS4 LOD CS3 LOD CS2 LOD CS1 LOD CS0 LOD  
Dot L2-  
CS15  
LOD  
Dot L2-  
CS14  
LOD  
Dot L2-  
CS13  
LOD  
Dot L2-  
CS12  
LOD  
Dot L2-  
CS11  
LOD  
Dot L2-  
CS10  
LOD  
Dot L2-  
CS9 LOD CS8 LOD  
Dot L2-  
Dot_lod8  
06Dh  
R
Reserved  
Dot L2-  
CS17  
LOD  
Dot L2-  
CS16  
LOD  
00h  
Dot_lod9  
06Eh  
06Fh  
R
R
Dot L3-  
Dot L3-  
Dot L3-  
Dot L3-  
Dot L3-  
Dot L3-  
Dot L3-  
Dot L3-  
00h  
00h  
CS7 LOD CS6 LOD CS5 LOD CS4 LOD CS3 LOD CS2 LOD CS1 LOD CS0 LOD  
Dot_lod10  
Dot L3-  
CS15  
LOD  
Dot L3-  
CS14  
LOD  
Dot L3-  
CS13  
LOD  
Dot L3-  
CS12  
LOD  
Dot L3-  
CS11  
LOD  
Dot L3-  
CS10  
LOD  
Dot L3-  
CS9 LOD CS8 LOD  
Dot L3-  
Dot_lod11  
Dot_lod12  
070h  
071h  
R
R
Reserved  
Dot L3-  
CS17  
LOD  
Dot L3-  
CS16  
LOD  
00h  
00h  
Dot L4-  
Dot L4-  
Dot L4-  
Dot L4-  
Dot L4-  
Dot L4-  
Dot L4-  
Dot L4-  
CS7 LOD CS6 LOD CS5 LOD CS4 LOD CS3 LOD CS2 LOD CS1 LOD CS0 LOD  
Copyright © 2021 Texas Instruments Incorporated  
28  
Submit Document Feedback  
Product Folder Links: LP5860  
LP5860  
www.ti.com  
Dot_lod13  
SNVSBU8 – MAY 2021  
072h  
073h  
R
R
Dot L4-  
CS15  
LOD  
Dot L4-  
CS14  
LOD  
Dot L4-  
CS13  
LOD  
Dot L4-  
CS12  
LOD  
Dot L4-  
CS11  
LOD  
Dot L4-  
CS10  
LOD  
Dot L4-  
Dot L4-  
CS9 LOD CS8 LOD  
00h  
00h  
Dot_lod14  
Reserved  
Dot L4-  
CS17  
LOD  
Dot L4-  
CS16  
LOD  
Dot_lod15  
Dot_lod16  
074h  
075h  
R
R
Dot L5-  
Dot L5-  
Dot L5-  
Dot L5-  
Dot L5-  
Dot L5-  
Dot L5-  
Dot L5-  
00h  
00h  
CS7 LOD CS6 LOD CS5 LOD CS4 LOD CS3 LOD CS2 LOD CS1 LOD CS0 LOD  
Dot L5-  
CS15  
LOD  
Dot L5-  
CS14  
LOD  
Dot L5-  
CS13  
LOD  
Dot L5-  
CS12  
LOD  
Dot L5-  
CS11  
LOD  
Dot L5-  
CS10  
LOD  
Dot L5-  
CS9 LOD CS8 LOD  
Dot L5-  
Dot_lod17  
076h  
R
Reserved  
Dot L5-  
CS17  
LOD  
Dot L5-  
CS16  
LOD  
00h  
Dot_lod18  
Dot_lod19  
077h  
078h  
R
R
Dot L6-  
Dot L6-  
Dot L6-  
Dot L6-  
Dot L6-  
Dot L6-  
Dot L6-  
Dot L6-  
00h  
00h  
CS7 LOD CS6 LOD CS5 LOD CS4 LOD CS3 LOD CS2 LOD CS1 LOD CS0 LOD  
Dot L6-  
CS15  
LOD  
Dot L6-  
CS14  
LOD  
Dot L6-  
CS13  
LOD  
Dot L6-  
CS12  
LOD  
Dot L6-  
CS11  
LOD  
Dot L6-  
CS10  
LOD  
Dot L6-  
CS9 LOD CS8 LOD  
Dot L6-  
Dot_lod20  
079h  
R
Reserved  
Dot L6-  
CS17  
LOD  
Dot L6-  
CS16  
LOD  
00h  
Dot_lod21  
Dot_lod22  
07Ah  
07Bh  
R
R
Dot L7-  
Dot L7-  
Dot L7-  
Dot L7-  
Dot L7-  
Dot L7-  
Dot L7-  
Dot L7-  
00h  
00h  
CS7 LOD CS6 LOD CS5 LOD CS4 LOD CS3 LOD CS2 LOD CS1 LOD CS0 LOD  
Dot L7-  
CS15  
LOD  
Dot L7-  
CS14  
LOD  
Dot L7-  
CS13  
LOD  
Dot L7-  
CS12  
LOD  
Dot L7-  
CS11  
LOD  
Dot L7-  
CS10  
LOD  
Dot L7-  
CS9 LOD CS8 LOD  
Dot L7-  
Dot_lod23  
07Ch  
R
Reserved  
Dot L7-  
CS17  
LOD  
Dot L7-  
CS16  
LOD  
00h  
Dot_lod24  
Dot_lod25  
07Dh  
07Eh  
R
R
Dot L8-  
Dot L8-  
Dot L8-  
Dot L8-  
Dot L8-  
Dot L8-  
Dot L8-  
Dot L8-  
00h  
00h  
CS7 LOD CS6 LOD CS5 LOD CS4 LOD CS3 LOD CS2 LOD CS1 LOD CS0 LOD  
Dot L8-  
CS15  
LOD  
Dot L8-  
CS14  
LOD  
Dot L8-  
CS13  
LOD  
Dot L8-  
CS12  
LOD  
Dot L8-  
CS11  
LOD  
Dot L8-  
CS10  
LOD  
Dot L8-  
CS9 LOD CS8 LOD  
Dot L8-  
Dot_lod26  
07Fh  
R
Reserved  
Dot L8-  
CS17  
LOD  
Dot L8-  
CS16  
LOD  
Dot_lod27  
Dot_lod28  
080h  
081h  
R
R
Dot L9-  
Dot L9-  
Dot L9-  
Dot L9-  
Dot L9-  
Dot L9-  
Dot L9-  
Dot L9-  
00h  
00h  
CS7 LOD CS6 LOD CS5 LOD CS4 LOD CS3 LOD CS2 LOD CS1 LOD CS0 LOD  
Dot L9-  
CS15  
LOD  
Dot L9-  
CS14  
LOD  
Dot L9-  
CS13  
LOD  
Dot L9-  
CS12  
LOD  
Dot L9-  
CS11  
LOD  
Dot L9-  
CS10  
LOD  
Dot L9-  
CS9 LOD CS8 LOD  
Dot L9-  
Dot_lod29  
082h  
R
Reserved  
Dot L9-  
CS17  
LOD  
Dot L9-  
CS16  
LOD  
00h  
Dot_lod30  
Dot_lod31  
083h  
084h  
R
R
Dot L10- Dot L10- Dot L10- Dot L10- Dot L10- Dot L10- Dot L10- Dot L10- 00h  
CS7 LOD CS6 LOD CS5 LOD CS4 LOD CS3 LOD CS2 LOD CS1 LOD CS0 LOD  
Dot L10- Dot L10- Dot L10- Dot L10- Dot L10- Dot L10- Dot L10- Dot L10- 00h  
CS15  
LOD  
CS14  
LOD  
CS13  
LOD  
CS12  
LOD  
CS11  
LOD  
CS10  
LOD  
CS9 LOD CS8 LOD  
Dot_lod32  
085h  
R
Reserved  
Dot L10- Dot L10- 00h  
CS17  
LOD  
CS16  
LOD  
Dot_lsd0  
Dot_lsd1  
086h  
087h  
R
R
Dot L0-  
Dot L0-  
Dot L0-  
Dot L0-  
Dot L0-  
Dot L0-  
Dot L0-  
Dot L0-  
00h  
00h  
CS7 LSD CS6 LSD CS5 LSD CS4 LSD CS3 LSD CS2 LSD CS1 LSD CS0 LSD  
Dot L0-  
CS15  
LSD  
Dot L0-  
CS14  
LSD  
Dot L0-  
CS13  
LSD  
Dot L0-  
CS12  
LSD  
Dot L0-  
CS11  
LSD  
Dot L0-  
CS10  
LSD  
Dot L0-  
CS9 LSD CS8 LSD  
Dot L0-  
Copyright © 2021 Texas Instruments Incorporated  
Submit Document Feedback  
29  
Product Folder Links: LP5860  
LP5860  
SNVSBU8 – MAY 2021  
www.ti.com  
Dot_lsd2  
088h  
R
Reserved  
Dot L1-  
Dot L0-  
CS17  
LSD  
Dot L0-  
CS16  
LSD  
00h  
Dot_lsd3  
Dot_lsd4  
089h  
08Ah  
R
R
Dot L1-  
Dot L1-  
Dot L1-  
Dot L1-  
Dot L1-  
Dot L1-  
Dot L1-  
00h  
00h  
CS7 LSD CS6 LSD CS5 LSD CS4 LSD CS3 LSD CS2 LSD CS1 LSD CS0 LSD  
Dot L1-  
CS15  
LSD  
Dot L1-  
CS14  
LSD  
Dot L1-  
CS13  
LSD  
Dot L1-  
CS12  
LSD  
Dot L1-  
CS11  
LSD  
Dot L1-  
CS10  
LSD  
Dot L1-  
CS9 LSD CS8 LSD  
Dot L1-  
Dot_lsd5  
08Bh  
R
Reserved  
Dot L1-  
CS17  
LSD  
Dot L1-  
CS16  
LSD  
00h  
Dot_lsd6  
Dot_lsd7  
08Ch  
08Dh  
R
R
Dot L2-  
Dot L2-  
Dot L2-  
Dot L2-  
Dot L2-  
Dot L2-  
Dot L2-  
Dot L2-  
00h  
00h  
CS7 LSD CS6 LSD CS5 LSD CS4 LSD CS3 LSD CS2 LSD CS1 LSD CS0 LSD  
Dot L2-  
CS15  
LSD  
Dot L2-  
CS14  
LSD  
Dot L2-  
CS13  
LSD  
Dot L2-  
CS12  
LSD  
Dot L2-  
CS11  
LSD  
Dot L2-  
CS10  
LSD  
Dot L2-  
CS9 LSD CS8 LSD  
Dot L2-  
Dot_lsd8  
08Eh  
R
Reserved  
Dot L2-  
CS17  
LSD  
Dot L2-  
CS16  
LSD  
00h  
Dot_lsd9  
08Fh  
090h  
R
R
Dot L3-  
Dot L3-  
Dot L3-  
Dot L3-  
Dot L3-  
Dot L3-  
Dot L3-  
Dot L3-  
00h  
00h  
CS7 LSD CS6 LSD CS5 LSD CS4 LSD CS3 LSD CS2 LSD CS1 LSD CS0 LSD  
Dot_lsd10  
Dot L3-  
CS15  
LSD  
Dot L3-  
CS14  
LSD  
Dot L3-  
CS13  
LSD  
Dot L3-  
CS12  
LSD  
Dot L3-  
CS11  
LSD  
Dot L3-  
CS10  
LSD  
Dot L3-  
CS9 LSD CS8 LSD  
Dot L3-  
Dot_lsd11  
091h  
R
Reserved  
Dot L3-  
CS17  
LSD  
Dot L3-  
CS16  
LSD  
00h  
Dot_lsd12  
Dot_lsd13  
092h  
093h  
R
R
Dot L4-  
Dot L4-  
Dot L4-  
Dot L4-  
Dot L4-  
Dot L4-  
Dot L4-  
Dot L4-  
00h  
00h  
CS7 LSD CS6 LSD CS5 LSD CS4 LSD CS3 LSD CS2 LSD CS1 LSD CS0 LSD  
Dot L4-  
CS15  
LSD  
Dot L4-  
CS14  
LSD  
Dot L4-  
CS13  
LSD  
Dot L4-  
CS12  
LSD  
Dot L4-  
CS11  
LSD  
Dot L4-  
CS10  
LSD  
Dot L4-  
CS9 LSD CS8 LSD  
Dot L4-  
Dot_lsd14  
094h  
R
Reserved  
Dot L4-  
CS17  
LSD  
Dot L4-  
CS16  
LSD  
00h  
Dot_lsd15  
Dot_lsd16  
095h  
096h  
R
R
Dot L5-  
Dot L5-  
Dot L5-  
Dot L5-  
Dot L5-  
Dot L5-  
Dot L5-  
Dot L5-  
00h  
00h  
CS7 LSD CS6 LSD CS5 LSD CS4 LSD CS3 LSD CS2 LSD CS1 LSD CS0 LSD  
Dot L5-  
CS15  
LSD  
Dot L5-  
CS14  
LSD  
Dot L5-  
CS13  
LSD  
Dot L5-  
CS12  
LSD  
Dot L5-  
CS11  
LSD  
Dot L5-  
CS10  
LSD  
Dot L5-  
CS9 LSD CS8 LSD  
Dot L5-  
Dot_lsd17  
097h  
R
Reserved  
Dot L5-  
CS17  
LSD  
Dot L5-  
CS16  
LSD  
00h  
Dot_lsd18  
Dot_lsd19  
098h  
099h  
R
R
Dot L6-  
Dot L6-  
Dot L6-  
Dot L6-  
Dot L6-  
Dot L6-  
Dot L6-  
Dot L6-  
00h  
00h  
CS7 LSD CS6 LSD CS5 LSD CS4 LSD CS3 LSD CS2 LSD CS1 LSD CS0 LSD  
Dot L6-  
CS15  
LSD  
Dot L6-  
CS14  
LSD  
Dot L6-  
CS13  
LSD  
Dot L6-  
CS12  
LSD  
Dot L6-  
CS11  
LSD  
Dot L6-  
CS10  
LSD  
Dot L6-  
CS9 LSD CS8 LSD  
Dot L6-  
Dot_lsd20  
09Ah  
R
Reserved  
Dot L6-  
CS17  
LSD  
Dot L6-  
CS16  
LSD  
00h  
Dot_lsd21  
Dot_lsd22  
09Bh  
09Ch  
R
R
Dot L7-  
Dot L7-  
Dot L7-  
Dot L7-  
Dot L7-  
Dot L7-  
Dot L7-  
Dot L7-  
00h  
00h  
CS7 LSD CS6 LSD CS5 LSD CS4 LSD CS3 LSD CS2 LSD CS1 LSD CS0 LSD  
Dot L7-  
CS15  
LSD  
Dot L7-  
CS14  
LSD  
Dot L7-  
CS13  
LSD  
Dot L7-  
CS12  
LSD  
Dot L7-  
CS11  
LSD  
Dot L7-  
CS10  
LSD  
Dot L7-  
CS9 LSD CS8 LSD  
Dot L7-  
Dot_lsd23  
09Dh  
R
Reserved  
Dot L7-  
CS17  
LSD  
Dot L7-  
CS16  
LSD  
00h  
Copyright © 2021 Texas Instruments Incorporated  
30  
Submit Document Feedback  
Product Folder Links: LP5860  
LP5860  
www.ti.com  
Dot_lsd24  
SNVSBU8 – MAY 2021  
09Eh  
09Fh  
R
R
Dot L8-  
Dot L8-  
Dot L8-  
Dot L8-  
Dot L8-  
Dot L8-  
Dot L8-  
Dot L8-  
00h  
00h  
CS7 LSD CS6 LSD CS5 LSD CS4 LSD CS3 LSD CS2 LSD CS1 LSD CS0 LSD  
Dot_lsd25  
Dot_lsd26  
Dot L8-  
CS15  
LSD  
Dot L8-  
CS14  
LSD  
Dot L8-  
CS13  
LSD  
Dot L8-  
CS12  
LSD  
Dot L8-  
CS11  
LSD  
Dot L8-  
CS10  
LSD  
Dot L8-  
Dot L8-  
CS9 LSD CS8 LSD  
0A0h  
R
Reserved  
Dot L8-  
CS17  
LSD  
Dot L8-  
CS16  
LSD  
00h  
Dot_lsd27  
Dot_lsd28  
0A1h  
0A2h  
R
R
Dot L9-  
Dot L9-  
Dot L9-  
Dot L9-  
Dot L9-  
Dot L9-  
Dot L9-  
Dot L9-  
00h  
00h  
CS7 LSD CS6 LSD CS5 LSD CS4 LSD CS3 LSD CS2 LSD CS1 LSD CS0 LSD  
Dot L9-  
CS15  
LSD  
Dot L9-  
CS14  
LSD  
Dot L9-  
CS13  
LSD  
Dot L9-  
CS12  
LSD  
Dot L9-  
CS11  
LSD  
Dot L9-  
CS10  
LSD  
Dot L9-  
CS9 LSD CS8 LSD  
Dot L9-  
Dot_lsd29  
0A3h  
R
Reserved  
Dot L9-  
CS17  
LSD  
Dot L9-  
CS16  
LSD  
00h  
Dot_lsd30  
Dot_lsd31  
0A4h  
0A5h  
R
R
Dot L10- Dot L10- Dot L10- Dot L10- Dot L10- Dot L10- Dot L10- Dot L10- 00h  
CS7 LSD CS6 LSD CS5 LSD CS4 LSD CS3 LSD CS2 LSD CS1 LSD CS0 LSD  
Dot L10- Dot L10- Dot L10- Dot L10- Dot L10- Dot L10- Dot L10- Dot L10- 00h  
CS15  
LSD  
CS14  
LSD  
CS13  
LSD  
CS12  
LSD  
CS11  
LSD  
CS10  
LSD  
CS9 LSD CS8 LSD  
Dot_lsd32  
0A6h  
R
Reserved  
Dot L10- Dot L10- 00h  
CS17  
LSD  
CS16  
LSD  
LOD_clear  
LSD_clear  
Reset  
DC0  
0A7h  
0A8h  
0A9h  
100h  
101h  
102h  
103h  
104h  
105h  
106h  
107h  
108h  
109h  
10Ah  
10Bh  
10Ch  
10Dh  
10Eh  
10Fh  
110h  
111h  
112h  
113h  
114h  
115h  
116h  
117h  
118h  
W
W
W
Reserved  
Reserved  
Reset  
LOD_Clear  
LSD_Clear  
00h  
00h  
00h  
80h  
80h  
80h  
80h  
80h  
80h  
80h  
80h  
80h  
80h  
80h  
80h  
80h  
80h  
80h  
80h  
80h  
80h  
80h  
80h  
80h  
80h  
80h  
80h  
80h  
R/W LED dot current setting for Dot L0-CS0  
R/W LED dot current setting for Dot L0-CS1  
R/W LED dot current setting for Dot L0-CS2  
R/W LED dot current setting for Dot L0-CS3  
R/W LED dot current setting for Dot L0-CS4  
R/W LED dot current setting for Dot L0-CS5  
R/W LED dot current setting for Dot L0-CS6  
R/W LED dot current setting for Dot L0-CS7  
R/W LED dot current setting for Dot L0-CS8  
R/W LED dot current setting for Dot L0-CS9  
R/W LED dot current setting for Dot L0-CS10  
R/W LED dot current setting for Dot L0-CS11  
R/W LED dot current setting for Dot L0-CS12  
R/W LED dot current setting for Dot L0-CS13  
R/W LED dot current setting for Dot L0-CS14  
R/W LED dot current setting for Dot L0-CS15  
R/W LED dot current setting for Dot L0-CS16  
R/W LED dot current setting for Dot L0-CS17  
R/W LED dot current setting for Dot L1-CS0  
R/W LED dot current setting for Dot L1-CS1  
R/W LED dot current setting for Dot L1-CS2  
R/W LED dot current setting for Dot L1-CS3  
R/W LED dot current setting for Dot L1-CS4  
R/W LED dot current setting for Dot L1-CS5  
R/W LED dot current setting for Dot L1-CS6  
DC1  
DC2  
DC3  
DC4  
DC5  
DC6  
DC7  
DC8  
DC9  
DC10  
DC11  
DC12  
DC13  
DC14  
DC15  
DC16  
DC17  
DC18  
DC19  
DC20  
DC21  
DC22  
DC23  
DC24  
Copyright © 2021 Texas Instruments Incorporated  
Submit Document Feedback  
31  
Product Folder Links: LP5860  
LP5860  
SNVSBU8 – MAY 2021  
www.ti.com  
DC25  
DC26  
DC27  
DC28  
DC29  
DC30  
DC31  
DC32  
DC33  
DC34  
DC35  
DC36  
DC37  
DC38  
DC39  
DC40  
DC41  
DC42  
DC43  
DC44  
DC45  
DC46  
DC47  
DC48  
DC49  
DC50  
DC51  
DC52  
DC53  
DC54  
DC55  
DC56  
DC57  
DC58  
DC59  
DC60  
DC61  
DC62  
DC63  
DC64  
DC65  
DC66  
DC67  
DC68  
DC69  
DC70  
DC71  
119h  
R/W LED dot current setting for Dot L1-CS7  
R/W LED dot current setting for Dot L1-CS8  
R/W LED dot current setting for Dot L1-CS9  
R/W LED dot current setting for Dot L1-CS10  
R/W LED dot current setting for Dot L1-CS11  
R/W LED dot current setting for Dot L1-CS12  
R/W LED dot current setting for Dot L1-CS13  
R/W LED dot current setting for Dot L1-CS14  
R/W LED dot current setting for Dot L1-CS15  
R/W LED dot current setting for Dot L1-CS16  
R/W LED dot current setting for Dot L1-CS17  
R/W LED dot current setting for Dot L2-CS0  
R/W LED dot current setting for Dot L2-CS1  
R/W LED dot current setting for Dot L2-CS2  
R/W LED dot current setting for Dot L2-CS3  
R/W LED dot current setting for Dot L2-CS4  
R/W LED dot current setting for Dot L2-CS5  
R/W LED dot current setting for Dot L2-CS6  
R/W LED dot current setting for Dot L2-CS7  
R/W LED dot current setting for Dot L2-CS8  
R/W LED dot current setting for Dot L2-CS9  
R/W LED dot current setting for Dot L2-CS10  
R/W LED dot current setting for Dot L2-CS11  
R/W LED dot current setting for Dot L2-CS12  
R/W LED dot current setting for Dot L2-CS13  
R/W LED dot current setting for Dot L2-CS14  
R/W LED dot current setting for Dot L2-CS15  
R/W LED dot current setting for Dot L2-CS16  
R/W LED dot current setting for Dot L2-CS17  
R/W LED dot current setting for Dot L3-CS0  
R/W LED dot current setting for Dot L3-CS1  
R/W LED dot current setting for Dot L3-CS2  
R/W LED dot current setting for Dot L3-CS3  
R/W LED dot current setting for Dot L3-CS4  
R/W LED dot current setting for Dot L3-CS5  
R/W LED dot current setting for Dot L3-CS6  
R/W LED dot current setting for Dot L3-CS7  
R/W LED dot current setting for Dot L3-CS8  
R/W LED dot current setting for Dot L3-CS9  
R/W LED dot current setting for Dot L3-CS10  
R/W LED dot current setting for Dot L3-CS11  
R/W LED dot current setting for Dot L3-CS12  
R/W LED dot current setting for Dot L3-CS13  
R/W LED dot current setting for Dot L3-CS14  
R/W LED dot current setting for Dot L3-CS15  
R/W LED dot current setting for Dot L3-CS16  
R/W LED dot current setting for Dot L3-CS17  
80h  
80h  
80h  
80h  
80h  
80h  
80h  
80h  
80h  
80h  
80h  
80h  
80h  
80h  
80h  
80h  
80h  
80h  
80h  
80h  
80h  
80h  
80h  
80h  
80h  
80h  
80h  
80h  
80h  
80h  
80h  
80h  
80h  
80h  
80h  
80h  
80h  
80h  
80h  
80h  
80h  
80h  
80h  
80h  
80h  
80h  
80h  
11Ah  
11Bh  
11Ch  
11Dh  
11Eh  
11Fh  
120h  
121h  
122h  
123h  
124h  
125h  
126h  
127h  
128h  
129h  
12Ah  
12Bh  
12Ch  
12Dh  
12Eh  
12Fh  
130h  
131h  
132h  
133h  
134h  
135h  
136h  
137h  
138h  
139h  
13Ah  
13Bh  
13Ch  
13Dh  
13Eh  
13Fh  
140h  
141h  
142h  
143h  
144h  
145h  
146h  
147h  
Copyright © 2021 Texas Instruments Incorporated  
32  
Submit Document Feedback  
Product Folder Links: LP5860  
LP5860  
SNVSBU8 – MAY 2021  
www.ti.com  
DC72  
DC73  
DC74  
DC75  
DC76  
DC77  
DC78  
DC79  
DC80  
DC81  
DC82  
DC83  
DC84  
DC85  
DC86  
DC87  
DC88  
DC89  
DC90  
DC91  
DC92  
DC93  
DC94  
DC95  
DC96  
DC97  
DC98  
DC99  
DC100  
DC101  
DC102  
DC103  
DC104  
DC105  
DC106  
DC107  
DC108  
DC109  
DC110  
DC111  
DC112  
DC113  
DC114  
DC115  
DC116  
DC117  
DC118  
148h  
149h  
14Ah  
14Bh  
14Ch  
14Dh  
14Eh  
14Fh  
150h  
151h  
152h  
153h  
154h  
155h  
156h  
157h  
158h  
159h  
15Ah  
15Bh  
15Ch  
15Dh  
15Eh  
15Fh  
160h  
161h  
162h  
163h  
164h  
165h  
166h  
167h  
168h  
169h  
16Ah  
16Bh  
16Ch  
16Dh  
16Eh  
16Fh  
170h  
171h  
172h  
173h  
174h  
175h  
176h  
R/W LED dot current setting for Dot L4-CS0  
R/W LED dot current setting for Dot L4-CS1  
R/W LED dot current setting for Dot L4-CS2  
R/W LED dot current setting for Dot L4-CS3  
R/W LED dot current setting for Dot L4-CS4  
R/W LED dot current setting for Dot L4-CS5  
R/W LED dot current setting for Dot L4-CS6  
R/W LED dot current setting for Dot L4-CS7  
R/W LED dot current setting for Dot L4-CS8  
R/W LED dot current setting for Dot L4-CS9  
R/W LED dot current setting for Dot L4-CS10  
R/W LED dot current setting for Dot L4-CS11  
R/W LED dot current setting for Dot L4-CS12  
R/W LED dot current setting for Dot L4-CS13  
R/W LED dot current setting for Dot L4-CS14  
R/W LED dot current setting for Dot L4-CS15  
R/W LED dot current setting for Dot L4-CS16  
R/W LED dot current setting for Dot L4-CS17  
R/W LED dot current setting for Dot L5-CS0  
R/W LED dot current setting for Dot L5-CS1  
R/W LED dot current setting for Dot L5-CS2  
R/W LED dot current setting for Dot L5-CS3  
R/W LED dot current setting for Dot L5-CS4  
R/W LED dot current setting for Dot L5-CS5  
R/W LED dot current setting for Dot L5-CS6  
R/W LED dot current setting for Dot L5-CS7  
R/W LED dot current setting for Dot L5-CS8  
R/W LED dot current setting for Dot L5-CS9  
R/W LED dot current setting for Dot L5-CS10  
R/W LED dot current setting for Dot L5-CS11  
R/W LED dot current setting for Dot L5-CS12  
R/W LED dot current setting for Dot L5-CS13  
R/W LED dot current setting for Dot L5-CS14  
R/W LED dot current setting for Dot L5-CS15  
R/W LED dot current setting for Dot L5-CS16  
R/W LED dot current setting for Dot L5-CS17  
R/W LED dot current setting for Dot L6-CS0  
R/W LED dot current setting for Dot L6-CS1  
R/W LED dot current setting for Dot L6-CS2  
R/W LED dot current setting for Dot L6-CS3  
R/W LED dot current setting for Dot L6-CS4  
R/W LED dot current setting for Dot L6-CS5  
R/W LED dot current setting for Dot L6-CS6  
R/W LED dot current setting for Dot L6-CS7  
R/W LED dot current setting for Dot L6-CS8  
R/W LED dot current setting for Dot L6-CS9  
R/W LED dot current setting for Dot L6-CS10  
80h  
80h  
80h  
80h  
80h  
80h  
80h  
80h  
80h  
80h  
80h  
80h  
80h  
80h  
80h  
80h  
80h  
80h  
80h  
80h  
80h  
80h  
80h  
80h  
80h  
80h  
80h  
80h  
80h  
80h  
80h  
80h  
80h  
80h  
80h  
80h  
80h  
80h  
80h  
80h  
80h  
80h  
80h  
80h  
80h  
80h  
80h  
Copyright © 2021 Texas Instruments Incorporated  
Submit Document Feedback  
33  
Product Folder Links: LP5860  
LP5860  
SNVSBU8 – MAY 2021  
www.ti.com  
DC119  
DC120  
DC121  
DC122  
DC123  
DC124  
DC125  
DC126  
DC127  
DC128  
DC129  
DC130  
DC131  
DC132  
DC133  
DC134  
DC135  
DC136  
DC137  
DC138  
DC139  
DC140  
DC141  
DC142  
DC143  
DC144  
DC145  
DC146  
DC147  
DC148  
DC149  
DC150  
DC151  
DC152  
DC153  
DC154  
DC155  
DC156  
DC157  
DC158  
DC159  
DC160  
DC161  
DC162  
DC163  
DC164  
DC165  
177h  
R/W LED dot current setting for Dot L6-CS11  
R/W LED dot current setting for Dot L6-CS12  
R/W LED dot current setting for Dot L6-CS13  
R/W LED dot current setting for Dot L6-CS14  
R/W LED dot current setting for Dot L6-CS15  
R/W LED dot current setting for Dot L6-CS16  
R/W LED dot current setting for Dot L6-CS17  
R/W LED dot current setting for Dot L7-CS0  
R/W LED dot current setting for Dot L7-CS1  
R/W LED dot current setting for Dot L7-CS2  
R/W LED dot current setting for Dot L7-CS3  
R/W LED dot current setting for Dot L7-CS4  
R/W LED dot current setting for Dot L7-CS5  
R/W LED dot current setting for Dot L7-CS6  
R/W LED dot current setting for Dot L7-CS7  
R/W LED dot current setting for Dot L7-CS8  
R/W LED dot current setting for Dot L7-CS9  
R/W LED dot current setting for Dot L7-CS10  
R/W LED dot current setting for Dot L7-CS11  
R/W LED dot current setting for Dot L7-CS12  
R/W LED dot current setting for Dot L7-CS13  
R/W LED dot current setting for Dot L7-CS14  
R/W LED dot current setting for Dot L7-CS15  
R/W LED dot current setting for Dot L7-CS16  
R/W LED dot current setting for Dot L7-CS17  
R/W LED dot current setting for Dot L8-CS0  
R/W LED dot current setting for Dot L8-CS1  
R/W LED dot current setting for Dot L8-CS2  
R/W LED dot current setting for Dot L8-CS3  
R/W LED dot current setting for Dot L8-CS4  
R/W LED dot current setting for Dot L8-CS5  
R/W LED dot current setting for Dot L8-CS6  
R/W LED dot current setting for Dot L8-CS7  
R/W LED dot current setting for Dot L8-CS8  
R/W LED dot current setting for Dot L8-CS9  
R/W LED dot current setting for Dot L8-CS10  
R/W LED dot current setting for Dot L8-CS11  
R/W LED dot current setting for Dot L8-CS12  
R/W LED dot current setting for Dot L8-CS13  
R/W LED dot current setting for Dot L8-CS14  
R/W LED dot current setting for Dot L8-CS15  
R/W LED dot current setting for Dot L8-CS16  
R/W LED dot current setting for Dot L8-CS17  
R/W LED dot current setting for Dot L9-CS0  
R/W LED dot current setting for Dot L9-CS1  
R/W LED dot current setting for Dot L9-CS2  
R/W LED dot current setting for Dot L9-CS3  
80h  
80h  
80h  
80h  
80h  
80h  
80h  
80h  
80h  
80h  
80h  
80h  
80h  
80h  
80h  
80h  
80h  
80h  
80h  
80h  
80h  
80h  
80h  
80h  
80h  
80h  
80h  
80h  
80h  
80h  
80h  
80h  
80h  
80h  
80h  
80h  
80h  
80h  
80h  
80h  
80h  
80h  
80h  
80h  
80h  
80h  
80h  
178h  
179h  
17Ah  
17Bh  
17Ch  
17Dh  
17Eh  
17Fh  
180h  
181h  
182h  
183h  
184h  
185h  
186h  
187h  
188h  
189h  
18Ah  
18Bh  
18Ch  
18Dh  
18Eh  
18Fh  
190h  
191h  
192h  
193h  
194h  
195h  
196h  
197h  
198h  
199h  
19Ah  
19Bh  
19Ch  
19Dh  
19Eh  
19Fh  
1A0h  
1A1h  
1A2h  
1A3h  
1A4h  
1A5h  
Copyright © 2021 Texas Instruments Incorporated  
34  
Submit Document Feedback  
Product Folder Links: LP5860  
LP5860  
SNVSBU8 – MAY 2021  
www.ti.com  
DC166  
1A6h  
1A7h  
1A8h  
1A9h  
1AAh  
1ABh  
1ACh  
1ADh  
1AEh  
1AFh  
1B0h  
1B1h  
1B2h  
1B3h  
1B4h  
1B5h  
1B6h  
1B7h  
1B8h  
1B9h  
1BAh  
1BBh  
1BCh  
1BDh  
1BEh  
1BFh  
1C0h  
1C1h  
1C2h  
1C3h  
1C4h  
1C5h  
200h  
201h  
202h  
203h  
204h  
205h  
206h  
207h  
208h  
209h  
20Ah  
20Bh  
20Ch  
20Dh  
20Eh  
R/W LED dot current setting for Dot L9-CS4  
80h  
80h  
80h  
80h  
80h  
80h  
80h  
80h  
80h  
80h  
80h  
80h  
80h  
80h  
80h  
80h  
80h  
80h  
80h  
80h  
80h  
80h  
80h  
80h  
80h  
80h  
80h  
80h  
80h  
80h  
80h  
80h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
DC167  
R/W LED dot current setting for Dot L9-CS5  
DC168  
R/W LED dot current setting for Dot L9-CS6  
DC169  
R/W LED dot current setting for Dot L9-CS7  
DC170  
R/W LED dot current setting for Dot L9-CS8  
DC171  
R/W LED dot current setting for Dot L9-CS9  
DC172  
R/W LED dot current setting for Dot L9-CS10  
DC173  
R/W LED dot current setting for Dot L9-CS11  
DC174  
R/W LED dot current setting for Dot L9-CS12  
DC175  
R/W LED dot current setting for Dot L9-CS13  
DC176  
R/W LED dot current setting for Dot L9-CS14  
DC177  
R/W LED dot current setting for Dot L9-CS15  
DC178  
R/W LED dot current setting for Dot L9-CS16  
DC179  
R/W LED dot current setting for Dot L9-CS17  
DC180  
R/W LED dot current setting for Dot L10-CS0  
DC181  
R/W LED dot current setting for Dot L10-CS1  
DC182  
R/W LED dot current setting for Dot L10-CS2  
DC183  
R/W LED dot current setting for Dot L10-CS3  
DC184  
R/W LED dot current setting for Dot L10-CS4  
DC185  
R/W LED dot current setting for Dot L10-CS5  
DC186  
R/W LED dot current setting for Dot L10-CS6  
DC187  
R/W LED dot current setting for Dot L10-CS7  
DC188  
R/W LED dot current setting for Dot L10-CS8  
DC189  
R/W LED dot current setting for Dot L10-CS9  
DC190  
R/W LED dot current setting for Dot L10-CS10  
DC191  
R/W LED dot current setting for Dot L10-CS11  
DC192  
R/W LED dot current setting for Dot L10-CS12  
DC193  
R/W LED dot current setting for Dot L10-CS13  
DC194  
R/W LED dot current setting for Dot L10-CS14  
DC195  
R/W LED dot current setting for Dot L10-CS15  
DC196  
R/W LED dot current setting for Dot L10-CS16  
DC197  
R/W LED dot current setting for Dot L10-CS17  
pwm_bri0  
pwm_bri1  
pwm_bri2  
pwm_bri3  
pwm_bri4  
pwm_bri5  
pwm_bri6  
pwm_bri7  
pwm_bri8  
pwm_bri9  
pwm_bri10  
pwm_bri11  
pwm_bri12  
pwm_bri13  
pwm_bri14  
R/W 8-bits PWM for Dot L0-CS0 OR 16-bits PWM lower 8 bits [7:0] for Dot L0-CS0  
R/W 8-bits PWM for Dot L0-CS1 OR 16-bits PWM higher 8 bits [15:8] for Dot L0-CS0  
R/W 8-bits PWM for Dot L0-CS2 OR 16-bits PWM lower 8 bits [7:0] for Dot L0-CS1  
R/W 8-bits PWM for Dot L0-CS3 OR 16-bits PWM higher 8 bits [15:8] for Dot L0-CS1  
R/W 8-bits PWM for Dot L0-CS4 OR 16-bits PWM lower 8 bits [7:0] for Dot L0-CS2  
R/W 8-bits PWM for Dot L0-CS5 OR 16-bits PWM higher 8 bits [15:8] for Dot L0-CS2  
R/W 8-bits PWM for Dot L0-CS6 OR 16-bits PWM lower 8 bits [7:0] for Dot L0-CS3  
R/W 8-bits PWM for Dot L0-CS7 OR 16-bits PWM higher 8 bits [15:8] for Dot L0-CS3  
R/W 8-bits PWM for Dot L0-CS8 OR 16-bits PWM lower 8 bits [7:0] for Dot L0-CS4  
R/W 8-bits PWM for Dot L0-CS9 OR 16-bits PWM higher 8 bits [15:8] for Dot L0-CS4  
R/W 8-bits PWM for Dot L0-CS10 OR 16-bits PWM lower 8 bits [7:0] for Dot L0-CS5  
R/W 8-bits PWM for Dot L0-CS11 OR 16-bits PWM higher 8 bits [15:8] for Dot L0-CS5  
R/W 8-bits PWM for Dot L0-CS12 OR 16-bits PWM lower 8 bits [7:0] for Dot L0-CS6  
R/W 8-bits PWM for Dot L0-CS13 OR 16-bits PWM higher 8 bits [15:8] for Dot L0-CS6  
R/W 8-bits PWM for Dot L0-CS14 OR 16-bits PWM lower 8 bits [7:0] for Dot L0-CS7  
Copyright © 2021 Texas Instruments Incorporated  
Submit Document Feedback  
35  
Product Folder Links: LP5860  
LP5860  
SNVSBU8 – MAY 2021  
www.ti.com  
pwm_bri15  
pwm_bri16  
pwm_bri17  
pwm_bri18  
pwm_bri19  
pwm_bri20  
pwm_bri21  
pwm_bri22  
pwm_bri23  
pwm_bri24  
pwm_bri25  
pwm_bri26  
pwm_bri27  
pwm_bri28  
pwm_bri29  
pwm_bri30  
pwm_bri31  
pwm_bri32  
pwm_bri33  
pwm_bri34  
pwm_bri35  
pwm_bri36  
pwm_bri37  
pwm_bri38  
pwm_bri39  
pwm_bri40  
pwm_bri41  
pwm_bri42  
pwm_bri43  
pwm_bri44  
pwm_bri45  
pwm_bri46  
pwm_bri47  
pwm_bri48  
pwm_bri49  
pwm_bri50  
pwm_bri51  
pwm_bri52  
pwm_bri53  
pwm_bri54  
pwm_bri55  
pwm_bri56  
pwm_bri57  
pwm_bri58  
pwm_bri59  
pwm_bri60  
pwm_bri61  
20Fh  
R/W 8-bits PWM for Dot L0-CS15 OR 16-bits PWM higher 8 bits [15:8] for Dot L0-CS7  
R/W 8-bits PWM for Dot L0-CS16 OR 16-bits PWM lower 8 bits [7:0] for Dot L0-CS8  
R/W 8-bits PWM for Dot L0-CS17 OR 16-bits PWM higher 8 bits [15:8] for Dot L0-CS8  
R/W 8-bits PWM for Dot L1-CS0 OR 16-bits PWM lower 8 bits [7:0] for Dot L0-CS9  
R/W 8-bits PWM for Dot L1-CS1 OR 16-bits PWM higher 8 bits [15:8] for Dot L0-CS9  
R/W 8-bits PWM for Dot L1-CS2 OR 16-bits PWM lower 8 bits [7:0] for Dot L0-CS10  
R/W 8-bits PWM for Dot L1-CS3 OR 16-bits PWM higher 8 bits [15:8] for Dot L0-CS10  
R/W 8-bits PWM for Dot L1-CS4 OR 16-bits PWM lower 8 bits [7:0] for Dot L0-CS11  
R/W 8-bits PWM for Dot L1-CS5 OR 16-bits PWM higher 8 bits [15:8] for Dot L0-CS11  
R/W 8-bits PWM for Dot L1-CS6 OR 16-bits PWM lower 8 bits [7:0] for Dot L0-CS12  
R/W 8-bits PWM for Dot L1-CS7 OR 16-bits PWM higher 8 bits [15:8] for Dot L0-CS12  
R/W 8-bits PWM for Dot L1-CS8 OR 16-bits PWM lower 8 bits [7:0] for Dot L0-CS13  
R/W 8-bits PWM for Dot L1-CS9 OR 16-bits PWM higher 8 bits [15:8] for Dot L0-CS13  
R/W 8-bits PWM for Dot L1-CS10 OR 16-bits PWM lower 8 bits [7:0] for Dot L0-CS14  
R/W 8-bits PWM for Dot L1-CS11 OR 16-bits PWM higher 8 bits [15:8] for Dot L0-CS14  
R/W 8-bits PWM for Dot L1-CS12 OR 16-bits PWM lower 8 bits [7:0] for Dot L0-CS15  
R/W 8-bits PWM for Dot L1-CS13 OR 16-bits PWM higher 8 bits [15:8] for Dot L0-CS15  
R/W 8-bits PWM for Dot L1-CS14 OR 16-bits PWM lower 8 bits [7:0] for Dot L0-CS16  
R/W 8-bits PWM for Dot L1-CS15 OR 16-bits PWM higher 8 bits [15:8] for Dot L0-CS16  
R/W 8-bits PWM for Dot L1-CS16 OR 16-bits PWM lower 8 bits [7:0] for Dot L0-CS17  
R/W 8-bits PWM for Dot L1-CS17 OR 16-bits PWM higher 8 bits [15:8] for Dot L0-CS17  
R/W 8-bits PWM for Dot L2-CS0 OR 16-bits PWM lower 8 bits [7:0] for Dot L1-CS0  
R/W 8-bits PWM for Dot L2-CS1 OR 16-bits PWM higher 8 bits [15:8] for Dot L1-CS0  
R/W 8-bits PWM for Dot L2-CS2 OR 16-bits PWM lower 8 bits [7:0] for Dot L1-CS1  
R/W 8-bits PWM for Dot L2-CS3 OR 16-bits PWM higher 8 bits [15:8] for Dot L1-CS1  
R/W 8-bits PWM for Dot L2-CS4 OR 16-bits PWM lower 8 bits [7:0] for Dot L1-CS2  
R/W 8-bits PWM for Dot L2-CS5 OR 16-bits PWM higher 8 bits [15:8] for Dot L1-CS2  
R/W 8-bits PWM for Dot L2-CS6 OR 16-bits PWM lower 8 bits [7:0] for Dot L1-CS3  
R/W 8-bits PWM for Dot L2-CS7 OR 16-bits PWM higher 8 bits [15:8] for Dot L1-CS3  
R/W 8-bits PWM for Dot L2-CS8 OR 16-bits PWM lower 8 bits [7:0] for Dot L1-CS4  
R/W 8-bits PWM for Dot L2-CS9 OR 16-bits PWM higher 8 bits [15:8] for Dot L1-CS4  
R/W 8-bits PWM for Dot L2-CS10 OR 16-bits PWM lower 8 bits [7:0] for Dot L1-CS5  
R/W 8-bits PWM for Dot L2-CS11 OR 16-bits PWM higher 8 bits [15:8] for Dot L1-CS5  
R/W 8-bits PWM for Dot L2-CS12 OR 16-bits PWM lower 8 bits [7:0] for Dot L1-CS6  
R/W 8-bits PWM for Dot L2-CS13 OR 16-bits PWM higher 8 bits [15:8] for Dot L1-CS6  
R/W 8-bits PWM for Dot L2-CS14 OR 16-bits PWM lower 8 bits [7:0] for Dot L1-CS7  
R/W 8-bits PWM for Dot L2-CS15 OR 16-bits PWM higher 8 bits [15:8] for Dot L1-CS7  
R/W 8-bits PWM for Dot L2-CS16 OR 16-bits PWM lower 8 bits [7:0] for Dot L1-CS8  
R/W 8-bits PWM for Dot L2-CS17 OR 16-bits PWM higher 8 bits [15:8] for Dot L1-CS8  
R/W 8-bits PWM for Dot L3-CS0 OR 16-bits PWM lower 8 bits [7:0] for Dot L1-CS9  
R/W 8-bits PWM for Dot L3-CS1 OR 16-bits PWM higher 8 bits [15:8] for Dot L1-CS9  
R/W 8-bits PWM for Dot L3-CS2 OR 16-bits PWM lower 8 bits [7:0] for Dot L1-CS10  
R/W 8-bits PWM for Dot L3-CS3 OR 16-bits PWM higher 8 bits [15:8] for Dot L1-CS10  
R/W 8-bits PWM for Dot L3-CS4 OR 16-bits PWM lower 8 bits [7:0] for Dot L1-CS11  
R/W 8-bits PWM for Dot L3-CS5 OR 16-bits PWM higher 8 bits [15:8] for Dot L1-CS11  
R/W 8-bits PWM for Dot L3-CS6 OR 16-bits PWM lower 8 bits [7:0] for Dot L1-CS12  
R/W 8-bits PWM for Dot L3-CS7 OR 16-bits PWM higher 8 bits [15:8] for Dot L1-CS12  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
210h  
211h  
212h  
213h  
214h  
215h  
216h  
217h  
218h  
219h  
21Ah  
21Bh  
21Ch  
21Dh  
21Eh  
21Fh  
220h  
221h  
222h  
223h  
224h  
225h  
226h  
227h  
228h  
229h  
22Ah  
22Bh  
22Ch  
22Dh  
22Eh  
22Fh  
230h  
231h  
232h  
233h  
234h  
235h  
236h  
237h  
238h  
239h  
23Ah  
23Bh  
23Ch  
23Dh  
Copyright © 2021 Texas Instruments Incorporated  
36  
Submit Document Feedback  
Product Folder Links: LP5860  
LP5860  
SNVSBU8 – MAY 2021  
www.ti.com  
pwm_bri62  
pwm_bri63  
pwm_bri64  
pwm_bri65  
pwm_bri66  
pwm_bri67  
pwm_bri68  
pwm_bri69  
pwm_bri70  
pwm_bri71  
pwm_bri72  
pwm_bri73  
pwm_bri74  
pwm_bri75  
pwm_bri76  
pwm_bri77  
pwm_bri78  
pwm_bri79  
pwm_bri80  
pwm_bri81  
pwm_bri82  
pwm_bri83  
pwm_bri84  
pwm_bri85  
pwm_bri86  
pwm_bri87  
pwm_bri88  
pwm_bri89  
pwm_bri90  
pwm_bri91  
pwm_bri92  
pwm_bri93  
pwm_bri94  
pwm_bri95  
pwm_bri96  
pwm_bri97  
pwm_bri98  
pwm_bri99  
pwm_bri100  
pwm_bri101  
pwm_bri102  
pwm_bri103  
pwm_bri104  
pwm_bri105  
pwm_bri106  
pwm_bri107  
pwm_bri108  
23Eh  
23Fh  
240h  
241h  
242h  
243h  
244h  
245h  
246h  
247h  
248h  
249h  
24Ah  
24Bh  
24Ch  
24Dh  
24Eh  
24Fh  
250h  
251h  
252h  
253h  
254h  
255h  
256h  
257h  
258h  
259h  
25Ah  
25Bh  
25Ch  
25Dh  
25Eh  
25Fh  
260h  
261h  
262h  
263h  
264h  
265h  
266h  
267h  
268h  
269h  
26Ah  
26Bh  
26Ch  
R/W 8-bits PWM for Dot L3-CS8 OR 16-bits PWM lower 8 bits [7:0] for Dot L1-CS13  
R/W 8-bits PWM for Dot L3-CS9 OR 16-bits PWM higher 8 bits [15:8] for Dot L1-CS13  
R/W 8-bits PWM for Dot L3-CS10 OR 16-bits PWM lower 8 bits [7:0] for Dot L1-CS14  
R/W 8-bits PWM for Dot L3-CS11 OR 16-bits PWM higher 8 bits [15:8] for Dot L1-CS14  
R/W 8-bits PWM for Dot L3-CS12 OR 16-bits PWM lower 8 bits [7:0] for Dot L1-CS15  
R/W 8-bits PWM for Dot L3-CS13 OR 16-bits PWM higher 8 bits [15:8] for Dot L1-CS15  
R/W 8-bits PWM for Dot L3-CS14 OR 16-bits PWM lower 8 bits [7:0] for Dot L1-CS16  
R/W 8-bits PWM for Dot L3-CS15 OR 16-bits PWM higher 8 bits [15:8] for Dot L1-CS16  
R/W 8-bits PWM for Dot L3-CS16 OR 16-bits PWM lower 8 bits [7:0] for Dot L1-CS17  
R/W 8-bits PWM for Dot L3-CS17 OR 16-bits PWM higher 8 bits [15:8] for Dot L1-CS17  
R/W 8-bits PWM for Dot L4-CS0 OR 16-bits PWM lower 8 bits [7:0] for Dot L2-CS0  
R/W 8-bits PWM for Dot L4-CS1 OR 16-bits PWM higher 8 bits [15:8] for Dot L2-CS0  
R/W 8-bits PWM for Dot L4-CS2 OR 16-bits PWM lower 8 bits [7:0] for Dot L2-CS1  
R/W 8-bits PWM for Dot L4-CS3 OR 16-bits PWM higher 8 bits [15:8] for Dot L2-CS1  
R/W 8-bits PWM for Dot L4-CS4 OR 16-bits PWM lower 8 bits [7:0] for Dot L2-CS2  
R/W 8-bits PWM for Dot L4-CS5 OR 16-bits PWM higher 8 bits [15:8] for Dot L2-CS2  
R/W 8-bits PWM for Dot L4-CS6 OR 16-bits PWM lower 8 bits [7:0] for Dot L2-CS3  
R/W 8-bits PWM for Dot L4-CS7 OR 16-bits PWM higher 8 bits [15:8] for Dot L2-CS3  
R/W 8-bits PWM for Dot L4-CS8 OR 16-bits PWM lower 8 bits [7:0] for Dot L2-CS4  
R/W 8-bits PWM for Dot L4-CS9 OR 16-bits PWM higher 8 bits [15:8] for Dot L2-CS4  
R/W 8-bits PWM for Dot L4-CS10 OR 16-bits PWM lower 8 bits [7:0] for Dot L2-CS5  
R/W 8-bits PWM for Dot L4-CS11 OR 16-bits PWM higher 8 bits [15:8] for Dot L2-CS5  
R/W 8-bits PWM for Dot L4-CS12 OR 16-bits PWM lower 8 bits [7:0] for Dot L2-CS6  
R/W 8-bits PWM for Dot L4-CS13 OR 16-bits PWM higher 8 bits [15:8] for Dot L2-CS6  
R/W 8-bits PWM for Dot L4-CS14 OR 16-bits PWM lower 8 bits [7:0] for Dot L2-CS7  
R/W 8-bits PWM for Dot L4-CS15 OR 16-bits PWM higher 8 bits [15:8] for Dot L2-CS7  
R/W 8-bits PWM for Dot L4-CS16 OR 16-bits PWM lower 8 bits [7:0] for Dot L2-CS8  
R/W 8-bits PWM for Dot L4-CS17 OR 16-bits PWM higher 8 bits [15:8] for Dot L2-CS8  
R/W 8-bits PWM for Dot L5-CS0 OR 16-bits PWM lower 8 bits [7:0] for Dot L2-CS9  
R/W 8-bits PWM for Dot L5-CS1 OR 16-bits PWM higher 8 bits [15:8] for Dot L2-CS9  
R/W 8-bits PWM for Dot L5-CS2 OR 16-bits PWM lower 8 bits [7:0] for Dot L2-CS10  
R/W 8-bits PWM for Dot L5-CS3 OR 16-bits PWM higher 8 bits [15:8] for Dot L2-CS10  
R/W 8-bits PWM for Dot L5-CS4 OR 16-bits PWM lower 8 bits [7:0] for Dot L2-CS11  
R/W 8-bits PWM for Dot L5-CS5 OR 16-bits PWM higher 8 bits [15:8] for Dot L2-CS11  
R/W 8-bits PWM for Dot L5-CS6 OR 16-bits PWM lower 8 bits [7:0] for Dot L2-CS12  
R/W 8-bits PWM for Dot L5-CS7 OR 16-bits PWM higher 8 bits [15:8] for Dot L2-CS12  
R/W 8-bits PWM for Dot L5-CS8 OR 16-bits PWM lower 8 bits [7:0] for Dot L2-CS13  
R/W 8-bits PWM for Dot L5-CS9 OR 16-bits PWM higher 8 bits [15:8] for Dot L2-CS13  
R/W 8-bits PWM for Dot L5-CS10 OR 16-bits PWM lower 8 bits [7:0] for Dot L2-CS14  
R/W 8-bits PWM for Dot L5-CS11 OR 16-bits PWM higher 8 bits [15:8] for Dot L2-CS14  
R/W 8-bits PWM for Dot L5-CS12 OR 16-bits PWM lower 8 bits [7:0] for Dot L2-CS15  
R/W 8-bits PWM for Dot L5-CS13 OR 16-bits PWM higher 8 bits [15:8] for Dot L2-CS15  
R/W 8-bits PWM for Dot L5-CS14 OR 16-bits PWM lower 8 bits [7:0] for Dot L2-CS16  
R/W 8-bits PWM for Dot L5-CS15 OR 16-bits PWM higher 8 bits [15:8] for Dot L2-CS16  
R/W 8-bits PWM for Dot L5-CS16 OR 16-bits PWM lower 8 bits [7:0] for Dot L2-CS17  
R/W 8-bits PWM for Dot L5-CS17 OR 16-bits PWM higher 8 bits [15:8] for Dot L2-CS17  
R/W 8-bits PWM for Dot L6-CS0 OR 16-bits PWM lower 8 bits [7:0] for Dot L3-CS0  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
Copyright © 2021 Texas Instruments Incorporated  
Submit Document Feedback  
37  
Product Folder Links: LP5860  
LP5860  
SNVSBU8 – MAY 2021  
www.ti.com  
pwm_bri109  
pwm_bri110  
pwm_bri111  
pwm_bri112  
pwm_bri113  
pwm_bri114  
pwm_bri115  
pwm_bri116  
pwm_bri117  
pwm_bri118  
pwm_bri119  
pwm_bri120  
pwm_bri121  
pwm_bri122  
pwm_bri123  
pwm_bri124  
pwm_bri125  
pwm_bri126  
pwm_bri127  
pwm_bri128  
pwm_bri129  
pwm_bri130  
pwm_bri131  
pwm_bri132  
pwm_bri133  
pwm_bri134  
pwm_bri135  
pwm_bri136  
pwm_bri137  
pwm_bri138  
pwm_bri139  
pwm_bri140  
pwm_bri141  
pwm_bri142  
pwm_bri143  
pwm_bri144  
pwm_bri145  
pwm_bri146  
pwm_bri147  
pwm_bri148  
pwm_bri149  
pwm_bri150  
pwm_bri151  
pwm_bri152  
pwm_bri153  
pwm_bri154  
pwm_bri155  
26Dh  
R/W 8-bits PWM for Dot L6-CS1 OR 16-bits PWM higher 8 bits [15:8] for Dot L3-CS0  
R/W 8-bits PWM for Dot L6-CS2 OR 16-bits PWM lower 8 bits [7:0] for Dot L3-CS1  
R/W 8-bits PWM for Dot L6-CS3 OR 16-bits PWM higher 8 bits [15:8] for Dot L3-CS1  
R/W 8-bits PWM for Dot L6-CS4 OR 16-bits PWM lower 8 bits [7:0] for Dot L3-CS2  
R/W 8-bits PWM for Dot L6-CS5 OR 16-bits PWM higher 8 bits [15:8] for Dot L3-CS2  
R/W 8-bits PWM for Dot L6-CS6 OR 16-bits PWM lower 8 bits [7:0] for Dot L3-CS3  
R/W 8-bits PWM for Dot L6-CS7 OR 16-bits PWM higher 8 bits [15:8] for Dot L3-CS3  
R/W 8-bits PWM for Dot L6-CS8 OR 16-bits PWM lower 8 bits [7:0] for Dot L3-CS4  
R/W 8-bits PWM for Dot L6-CS9 OR 16-bits PWM higher 8 bits [15:8] for Dot L3-CS4  
R/W 8-bits PWM for Dot L6-CS10 OR 16-bits PWM lower 8 bits [7:0] for Dot L3-CS5  
R/W 8-bits PWM for Dot L6-CS11 OR 16-bits PWM higher 8 bits [15:8] for Dot L3-CS5  
R/W 8-bits PWM for Dot L6-CS12 OR 16-bits PWM lower 8 bits [7:0] for Dot L3-CS6  
R/W 8-bits PWM for Dot L6-CS13 OR 16-bits PWM higher 8 bits [15:8] for Dot L3-CS6  
R/W 8-bits PWM for Dot L6-CS14 OR 16-bits PWM lower 8 bits [7:0] for Dot L3-CS7  
R/W 8-bits PWM for Dot L6-CS15 OR 16-bits PWM higher 8 bits [15:8] for Dot L3-CS7  
R/W 8-bits PWM for Dot L6-CS16 OR 16-bits PWM lower 8 bits [7:0] for Dot L3-CS8  
R/W 8-bits PWM for Dot L6-CS17 OR 16-bits PWM higher 8 bits [15:8] for Dot L3-CS8  
R/W 8-bits PWM for Dot L7-CS0 OR 16-bits PWM lower 8 bits [7:0] for Dot L3-CS9  
R/W 8-bits PWM for Dot L7-CS1 OR 16-bits PWM higher 8 bits [15:8] for Dot L3-CS9  
R/W 8-bits PWM for Dot L7-CS2 OR 16-bits PWM lower 8 bits [7:0] for Dot L3-CS10  
R/W 8-bits PWM for Dot L7-CS3 OR 16-bits PWM higher 8 bits [15:8] for Dot L3-CS10  
R/W 8-bits PWM for Dot L7-CS4 OR 16-bits PWM lower 8 bits [7:0] for Dot L3-CS11  
R/W 8-bits PWM for Dot L7-CS5 OR 16-bits PWM higher 8 bits [15:8] for Dot L3-CS11  
R/W 8-bits PWM for Dot L7-CS6 OR 16-bits PWM lower 8 bits [7:0] for Dot L3-CS12  
R/W 8-bits PWM for Dot L7-CS7 OR 16-bits PWM higher 8 bits [15:8] for Dot L3-CS12  
R/W 8-bits PWM for Dot L7-CS8 OR 16-bits PWM lower 8 bits [7:0] for Dot L3-CS13  
R/W 8-bits PWM for Dot L7-CS9 OR 16-bits PWM higher 8 bits [15:8] for Dot L3-CS13  
R/W 8-bits PWM for Dot L7-CS10 OR 16-bits PWM lower 8 bits [7:0] for Dot L3-CS14  
R/W 8-bits PWM for Dot L7-CS11 OR 16-bits PWM higher 8 bits [15:8] for Dot L3-CS14  
R/W 8-bits PWM for Dot L7-CS12 OR 16-bits PWM lower 8 bits [7:0] for Dot L3-CS15  
R/W 8-bits PWM for Dot L7-CS13 OR 16-bits PWM higher 8 bits [15:8] for Dot L3-CS15  
R/W 8-bits PWM for Dot L7-CS14 OR 16-bits PWM lower 8 bits [7:0] for Dot L3-CS16  
R/W 8-bits PWM for Dot L7-CS15 OR 16-bits PWM higher 8 bits [15:8] for Dot L3-CS16  
R/W 8-bits PWM for Dot L7-CS16 OR 16-bits PWM lower 8 bits [7:0] for Dot L3-CS17  
R/W 8-bits PWM for Dot L7-CS17 OR 16-bits PWM higher 8 bits [15:8] for Dot L3-CS17  
R/W 8-bits PWM for Dot L8-CS0 OR 16-bits PWM lower 8 bits [7:0] for Dot L4-CS0  
R/W 8-bits PWM for Dot L8-CS1 OR 16-bits PWM higher 8 bits [15:8] for Dot L4-CS0  
R/W 8-bits PWM for Dot L8-CS2 OR 16-bits PWM lower 8 bits [7:0] for Dot L4-CS1  
R/W 8-bits PWM for Dot L8-CS3 OR 16-bits PWM higher 8 bits [15:8] for Dot L4-CS1  
R/W 8-bits PWM for Dot L8-CS4 OR 16-bits PWM lower 8 bits [7:0] for Dot L4-CS2  
R/W 8-bits PWM for Dot L8-CS5 OR 16-bits PWM higher 8 bits [15:8] for Dot L4-CS2  
R/W 8-bits PWM for Dot L8-CS6 OR 16-bits PWM lower 8 bits [7:0] for Dot L4-CS3  
R/W 8-bits PWM for Dot L8-CS7 OR 16-bits PWM higher 8 bits [15:8] for Dot L4-CS3  
R/W 8-bits PWM for Dot L8-CS8 OR 16-bits PWM lower 8 bits [7:0] for Dot L4-CS4  
R/W 8-bits PWM for Dot L8-CS9 OR 16-bits PWM higher 8 bits [15:8] for Dot L4-CS4  
R/W 8-bits PWM for Dot L8-CS10 OR 16-bits PWM lower 8 bits [7:0] for Dot L4-CS5  
R/W 8-bits PWM for Dot L8-CS11 OR 16-bits PWM higher 8 bits [15:8] for Dot L4-CS5  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
26Eh  
26Fh  
270h  
271h  
272h  
273h  
274h  
275h  
276h  
277h  
278h  
279h  
27Ah  
27Bh  
27Ch  
27Dh  
27Eh  
27Fh  
280h  
281h  
282h  
283h  
284h  
285h  
286h  
287h  
288h  
289h  
28Ah  
28Bh  
28Ch  
28Dh  
28Eh  
28Fh  
290h  
291h  
292h  
293h  
294h  
295h  
296h  
297h  
298h  
299h  
29Ah  
29Bh  
Copyright © 2021 Texas Instruments Incorporated  
38  
Submit Document Feedback  
Product Folder Links: LP5860  
LP5860  
SNVSBU8 – MAY 2021  
www.ti.com  
pwm_bri156  
pwm_bri157  
pwm_bri158  
pwm_bri159  
pwm_bri160  
pwm_bri161  
pwm_bri162  
pwm_bri163  
pwm_bri164  
pwm_bri165  
pwm_bri166  
pwm_bri167  
pwm_bri168  
pwm_bri169  
pwm_bri170  
pwm_bri171  
pwm_bri172  
pwm_bri173  
pwm_bri174  
pwm_bri175  
pwm_bri176  
pwm_bri177  
pwm_bri178  
pwm_bri179  
pwm_bri180  
pwm_bri181  
pwm_bri182  
pwm_bri183  
pwm_bri184  
pwm_bri185  
pwm_bri186  
pwm_bri187  
pwm_bri188  
pwm_bri189  
pwm_bri190  
pwm_bri191  
pwm_bri192  
pwm_bri193  
pwm_bri194  
pwm_bri195  
pwm_bri196  
pwm_bri197  
pwm_bri198  
pwm_bri199  
pwm_bri200  
pwm_bri201  
pwm_bri202  
29Ch  
29Dh  
29Eh  
29Fh  
2A0h  
2A1h  
2A2h  
2A3h  
2A4h  
2A5h  
2A6h  
2A7h  
2A8h  
2A9h  
2AAh  
2ABh  
2ACh  
2ADh  
2AEh  
2AFh  
2B0h  
2B1h  
2B2h  
2B3h  
2B4h  
2B5h  
2B6h  
2B7h  
2B8h  
2B9h  
2BAh  
2BBh  
2BCh  
2BDh  
2BEh  
2BFh  
2C0h  
2C1h  
2C2h  
2C3h  
2C4h  
2C5h  
2C6h  
2C7h  
2C8h  
2C9h  
2CAh  
R/W 8-bits PWM for Dot L8-CS12 OR 16-bits PWM lower 8 bits [7:0] for Dot L4-CS6  
R/W 8-bits PWM for Dot L8-CS13 OR 16-bits PWM higher 8 bits [15:8] for Dot L4-CS6  
R/W 8-bits PWM for Dot L8-CS14 OR 16-bits PWM lower 8 bits [7:0] for Dot L4-CS7  
R/W 8-bits PWM for Dot L8-CS15 OR 16-bits PWM higher 8 bits [15:8] for Dot L4-CS7  
R/W 8-bits PWM for Dot L8-CS16 OR 16-bits PWM lower 8 bits [7:0] for Dot L4-CS8  
R/W 8-bits PWM for Dot L8-CS17 OR 16-bits PWM higher 8 bits [15:8] for Dot L4-CS8  
R/W 8-bits PWM for Dot L9-CS0 OR 16-bits PWM lower 8 bits [7:0] for Dot L4-CS9  
R/W 8-bits PWM for Dot L9-CS1 OR 16-bits PWM higher 8 bits [15:8] for Dot L4-CS9  
R/W 8-bits PWM for Dot L9-CS2 OR 16-bits PWM lower 8 bits [7:0] for Dot L4-CS10  
R/W 8-bits PWM for Dot L9-CS3 OR 16-bits PWM higher 8 bits [15:8] for Dot L4-CS10  
R/W 8-bits PWM for Dot L9-CS4 OR 16-bits PWM lower 8 bits [7:0] for Dot L4-CS11  
R/W 8-bits PWM for Dot L9-CS5 OR 16-bits PWM higher 8 bits [15:8] for Dot L4-CS11  
R/W 8-bits PWM for Dot L9-CS6 OR 16-bits PWM lower 8 bits [7:0] for Dot L4-CS12  
R/W 8-bits PWM for Dot L9-CS7 OR 16-bits PWM higher 8 bits [15:8] for Dot L4-CS12  
R/W 8-bits PWM for Dot L9-CS8 OR 16-bits PWM lower 8 bits [7:0] for Dot L4-CS13  
R/W 8-bits PWM for Dot L9-CS9 OR 16-bits PWM higher 8 bits [15:8] for Dot L4-CS13  
R/W 8-bits PWM for Dot L9-CS10 OR 16-bits PWM lower 8 bits [7:0] for Dot L4-CS14  
R/W 8-bits PWM for Dot L9-CS11 OR 16-bits PWM higher 8 bits [15:8] for Dot L4-CS14  
R/W 8-bits PWM for Dot L9-CS12 OR 16-bits PWM lower 8 bits [7:0] for Dot L4-CS15  
R/W 8-bits PWM for Dot L9-CS13 OR 16-bits PWM higher 8 bits [15:8] for Dot L4-CS15  
R/W 8-bits PWM for Dot L9-CS14 OR 16-bits PWM lower 8 bits [7:0] for Dot L4-CS16  
R/W 8-bits PWM for Dot L9-CS15 OR 16-bits PWM higher 8 bits [15:8] for Dot L4-CS16  
R/W 8-bits PWM for Dot L9-CS16 OR 16-bits PWM lower 8 bits [7:0] for Dot L4-CS17  
R/W 8-bits PWM for Dot L9-CS17 OR 16-bits PWM higher 8 bits [15:8] for Dot L4-CS17  
R/W 8-bits PWM for Dot L10-CS0 OR 16-bits PWM lower 8 bits [7:0] for Dot L5-CS0  
R/W 8-bits PWM for Dot L10-CS1 OR 16-bits PWM higher 8 bits [15:8] for Dot L5-CS0  
R/W 8-bits PWM for Dot L10-CS2 OR 16-bits PWM lower 8 bits [7:0] for Dot L5-CS1  
R/W 8-bits PWM for Dot L10-CS3 OR 16-bits PWM higher 8 bits [15:8] for Dot L5-CS1  
R/W 8-bits PWM for Dot L10-CS4 OR 16-bits PWM lower 8 bits [7:0] for Dot L5-CS2  
R/W 8-bits PWM for Dot L10-CS5 OR 16-bits PWM higher 8 bits [15:8] for Dot L5-CS2  
R/W 8-bits PWM for Dot L10-CS6 OR 16-bits PWM lower 8 bits [7:0] for Dot L5-CS3  
R/W 8-bits PWM for Dot L10-CS7 OR 16-bits PWM higher 8 bits [15:8] for Dot L5-CS3  
R/W 8-bits PWM for Dot L10-CS8 OR 16-bits PWM lower 8 bits [7:0] for Dot L5-CS4  
R/W 8-bits PWM for Dot L10-CS9 OR 16-bits PWM higher 8 bits [15:8] for Dot L5-CS4  
R/W 8-bits PWM for Dot L10-CS10 OR 16-bits PWM lower 8 bits [7:0] for Dot L5-CS5  
R/W 8-bits PWM for Dot L10-CS11 OR 16-bits PWM higher 8 bits [15:8] for Dot L5-CS5  
R/W 8-bits PWM for Dot L10-CS12 OR 16-bits PWM lower 8 bits [7:0] for Dot L5-CS6  
R/W 8-bits PWM for Dot L10-CS13 OR 16-bits PWM higher 8 bits [15:8] for Dot L5-CS6  
R/W 8-bits PWM for Dot L10-CS14 OR 16-bits PWM lower 8 bits [7:0] for Dot L5-CS7  
R/W 8-bits PWM for Dot L10-CS15 OR 16-bits PWM higher 8 bits [15:8] for Dot L5-CS7  
R/W 8-bits PWM for Dot L10-CS16 OR 16-bits PWM lower 8 bits [7:0] for Dot L5-CS8  
R/W 8-bits PWM for Dot L10-CS17 OR 16-bits PWM higher 8 bits [15:8] for Dot L5-CS8  
R/W 16-bits PWM lower 8 bits [7:0] for Dot L5-CS9  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
R/W 16-bits PWM higher 8 bits [15:8] for Dot L5-CS9  
R/W 16-bits PWM lower 8 bits [7:0] for Dot L5-CS10  
R/W 16-bits PWM higher 8 bits [15:8] for Dot L5-CS10  
R/W 16-bits PWM lower 8 bits [7:0] for Dot L5-CS11  
Copyright © 2021 Texas Instruments Incorporated  
Submit Document Feedback  
39  
Product Folder Links: LP5860  
LP5860  
SNVSBU8 – MAY 2021  
www.ti.com  
pwm_bri203  
pwm_bri204  
pwm_bri205  
pwm_bri206  
pwm_bri207  
pwm_bri208  
pwm_bri209  
pwm_bri210  
pwm_bri211  
pwm_bri212  
pwm_bri213  
pwm_bri214  
pwm_bri215  
pwm_bri216  
pwm_bri217  
pwm_bri218  
pwm_bri219  
pwm_bri220  
pwm_bri221  
pwm_bri222  
pwm_bri223  
pwm_bri224  
pwm_bri225  
pwm_bri226  
pwm_bri227  
pwm_bri228  
pwm_bri229  
pwm_bri230  
pwm_bri231  
pwm_bri232  
pwm_bri233  
pwm_bri234  
pwm_bri235  
pwm_bri236  
pwm_bri237  
pwm_bri238  
pwm_bri239  
pwm_bri240  
pwm_bri241  
pwm_bri242  
pwm_bri243  
pwm_bri244  
pwm_bri245  
pwm_bri246  
pwm_bri247  
pwm_bri248  
pwm_bri249  
2CBh  
R/W 16-bits PWM higher 8 bits [15:8] for Dot L5-CS11  
R/W 16-bits PWM lower 8 bits [7:0] for Dot L5-CS12  
R/W 16-bits PWM higher 8 bits [15:8] for Dot L5-CS12  
R/W 16-bits PWM lower 8 bits [7:0] for Dot L5-CS13  
R/W 16-bits PWM higher 8 bits [15:8] for Dot L5-CS13  
R/W 16-bits PWM lower 8 bits [7:0] for Dot L5-CS14  
R/W 16-bits PWM higher 8 bits [15:8] for Dot L5-CS14  
R/W 16-bits PWM lower 8 bits [7:0] for Dot L5-CS15  
R/W 16-bits PWM higher 8 bits [15:8] for Dot L5-CS15  
R/W 16-bits PWM lower 8 bits [7:0] for Dot L5-CS16  
R/W 16-bits PWM higher 8 bits [15:8] for Dot L5-CS16  
R/W 16-bits PWM lower 8 bits [7:0] for Dot L5-CS17  
R/W 16-bits PWM higher 8 bits [15:8] for Dot L5-CS17  
R/W 16-bits PWM lower 8 bits [7:0] for Dot L6-CS0  
R/W 16-bits PWM higher 8 bits [15:8] for Dot L6-CS0  
R/W 16-bits PWM lower 8 bits [7:0] for Dot L6-CS1  
R/W 16-bits PWM higher 8 bits [15:8] for Dot L6-CS1  
R/W 16-bits PWM lower 8 bits [7:0] for Dot L6-CS2  
R/W 16-bits PWM higher 8 bits [15:8] for Dot L6-CS2  
R/W 16-bits PWM lower 8 bits [7:0] for Dot L6-CS3  
R/W 16-bits PWM higher 8 bits [15:8] for Dot L6-CS3  
R/W 16-bits PWM lower 8 bits [7:0] for Dot L6-CS4  
R/W 16-bits PWM higher 8 bits [15:8] for Dot L6-CS4  
R/W 16-bits PWM lower 8 bits [7:0] for Dot L6-CS5  
R/W 16-bits PWM higher 8 bits [15:8] for Dot L6-CS5  
R/W 16-bits PWM lower 8 bits [7:0] for Dot L6-CS6  
R/W 16-bits PWM higher 8 bits [15:8] for Dot L6-CS6  
R/W 16-bits PWM lower 8 bits [7:0] for Dot L6-CS7  
R/W 16-bits PWM higher 8 bits [15:8] for Dot L6-CS7  
R/W 16-bits PWM lower 8 bits [7:0] for Dot L6-CS8  
R/W 16-bits PWM higher 8 bits [15:8] for Dot L6-CS8  
R/W 16-bits PWM lower 8 bits [7:0] for Dot L6-CS9  
R/W 16-bits PWM higher 8 bits [15:8] for Dot L6-CS9  
R/W 16-bits PWM lower 8 bits [7:0] for Dot L6-CS10  
R/W 16-bits PWM higher 8 bits [15:8] for Dot L6-CS10  
R/W 16-bits PWM lower 8 bits [7:0] for Dot L6-CS11  
R/W 16-bits PWM higher 8 bits [15:8] for Dot L6-CS11  
R/W 16-bits PWM lower 8 bits [7:0] for Dot L6-CS12  
R/W 16-bits PWM higher 8 bits [15:8] for Dot L6-CS12  
R/W 16-bits PWM lower 8 bits [7:0] for Dot L6-CS13  
R/W 16-bits PWM higher 8 bits [15:8] for Dot L6-CS13  
R/W 16-bits PWM lower 8 bits [7:0] for Dot L6-CS14  
R/W 16-bits PWM higher 8 bits [15:8] for Dot L6-CS14  
R/W 16-bits PWM lower 8 bits [7:0] for Dot L6-CS15  
R/W 16-bits PWM higher 8 bits [15:8] for Dot L6-CS15  
R/W 16-bits PWM lower 8 bits [7:0] for Dot L6-CS16  
R/W 16-bits PWM higher 8 bits [15:8] for Dot L6-CS16  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
2CCh  
2CDh  
2CEh  
2CFh  
2D0h  
2D1h  
2D2h  
2D3h  
2D4h  
2D5h  
2D6h  
2D7h  
2D8h  
2D9h  
2DAh  
2DBh  
2DCh  
2DDh  
2DEh  
2DFh  
2E0h  
2E1h  
2E2h  
2E3h  
2E4h  
2E5h  
2E6h  
2E7h  
2E8h  
2E9h  
2EAh  
2EBh  
2ECh  
2EDh  
2EEh  
2EFh  
2F0h  
2F1h  
2F2h  
2F3h  
2F4h  
2F5h  
2F6h  
2F7h  
2F8h  
2F9h  
Copyright © 2021 Texas Instruments Incorporated  
40  
Submit Document Feedback  
Product Folder Links: LP5860  
LP5860  
SNVSBU8 – MAY 2021  
www.ti.com  
pwm_bri250  
pwm_bri251  
pwm_bri252  
pwm_bri253  
pwm_bri254  
pwm_bri255  
pwm_bri256  
pwm_bri257  
pwm_bri258  
pwm_bri259  
pwm_bri260  
pwm_bri261  
pwm_bri262  
pwm_bri263  
pwm_bri264  
pwm_bri265  
pwm_bri266  
pwm_bri267  
pwm_bri268  
pwm_bri269  
pwm_bri270  
pwm_bri271  
pwm_bri272  
pwm_bri273  
pwm_bri274  
pwm_bri275  
pwm_bri276  
pwm_bri277  
pwm_bri278  
pwm_bri279  
pwm_bri280  
pwm_bri281  
pwm_bri282  
pwm_bri283  
pwm_bri284  
pwm_bri285  
pwm_bri286  
pwm_bri287  
pwm_bri288  
pwm_bri289  
pwm_bri290  
pwm_bri291  
pwm_bri292  
pwm_bri293  
pwm_bri294  
pwm_bri295  
pwm_bri296  
2FAh  
2FBh  
2FCh  
2FDh  
2FEh  
2FFh  
300h  
301h  
302h  
303h  
304h  
305h  
306h  
307h  
308h  
309h  
30Ah  
30Bh  
30Ch  
30Dh  
30Eh  
30Fh  
310h  
311h  
312h  
313h  
314h  
315h  
316h  
317h  
318h  
319h  
31Ah  
31Bh  
31Ch  
31Dh  
31Eh  
31Fh  
320h  
321h  
322h  
323h  
324h  
325h  
326h  
327h  
328h  
R/W 16-bits PWM lower 8 bits [7:0] for Dot L6-CS17  
R/W 16-bits PWM higher 8 bits [15:8] for Dot L6-CS17  
R/W 16-bits PWM lower 8 bits [7:0] for Dot L7-CS0  
R/W 16-bits PWM higher 8 bits [15:8] for Dot L7-CS0  
R/W 16-bits PWM lower 8 bits [7:0] for Dot L7-CS1  
R/W 16-bits PWM higher 8 bits [15:8] for Dot L7-CS1  
R/W 16-bits PWM lower 8 bits [7:0] for Dot L7-CS2  
R/W 16-bits PWM higher 8 bits [15:8] for Dot L7-CS2  
R/W 16-bits PWM lower 8 bits [7:0] for Dot L7-CS3  
R/W 16-bits PWM higher 8 bits [15:8] for Dot L7-CS3  
R/W 16-bits PWM lower 8 bits [7:0] for Dot L7-CS4  
R/W 16-bits PWM higher 8 bits [15:8] for Dot L7-CS4  
R/W 16-bits PWM lower 8 bits [7:0] for Dot L7-CS5  
R/W 16-bits PWM higher 8 bits [15:8] for Dot L7-CS5  
R/W 16-bits PWM lower 8 bits [7:0] for Dot L7-CS6  
R/W 16-bits PWM higher 8 bits [15:8] for Dot L7-CS6  
R/W 16-bits PWM lower 8 bits [7:0] for Dot L7-CS7  
R/W 16-bits PWM higher 8 bits [15:8] for Dot L7-CS7  
R/W 16-bits PWM lower 8 bits [7:0] for Dot L7-CS8  
R/W 16-bits PWM higher 8 bits [15:8] for Dot L7-CS8  
R/W 16-bits PWM lower 8 bits [7:0] for Dot L7-CS9  
R/W 16-bits PWM higher 8 bits [15:8] for Dot L7-CS9  
R/W 16-bits PWM lower 8 bits [7:0] for Dot L7-CS10  
R/W 16-bits PWM higher 8 bits [15:8] for Dot L7-CS10  
R/W 16-bits PWM lower 8 bits [7:0] for Dot L7-CS11  
R/W 16-bits PWM higher 8 bits [15:8] for Dot L7-CS11  
R/W 16-bits PWM lower 8 bits [7:0] for Dot L7-CS12  
R/W 16-bits PWM higher 8 bits [15:8] for Dot L7-CS12  
R/W 16-bits PWM lower 8 bits [7:0] for Dot L7-CS13  
R/W 16-bits PWM higher 8 bits [15:8] for Dot L7-CS13  
R/W 16-bits PWM lower 8 bits [7:0] for Dot L7-CS14  
R/W 16-bits PWM higher 8 bits [15:8] for Dot L7-CS14  
R/W 16-bits PWM lower 8 bits [7:0] for Dot L7-CS15  
R/W 16-bits PWM higher 8 bits [15:8] for Dot L7-CS15  
R/W 16-bits PWM lower 8 bits [7:0] for Dot L7-CS16  
R/W 16-bits PWM higher 8 bits [15:8] for Dot L7-CS16  
R/W 16-bits PWM lower 8 bits [7:0] for Dot L7-CS17  
R/W 16-bits PWM higher 8 bits [15:8] for Dot L7-CS17  
R/W 16-bits PWM lower 8 bits [7:0] for Dot L8-CS0  
R/W 16-bits PWM higher 8 bits [15:8] for Dot L8-CS0  
R/W 16-bits PWM lower 8 bits [7:0] for Dot L8-CS1  
R/W 16-bits PWM higher 8 bits [15:8] for Dot L8-CS1  
R/W 16-bits PWM lower 8 bits [7:0] for Dot L8-CS2  
R/W 16-bits PWM higher 8 bits [15:8] for Dot L8-CS2  
R/W 16-bits PWM lower 8 bits [7:0] for Dot L8-CS3  
R/W 16-bits PWM higher 8 bits [15:8] for Dot L8-CS3  
R/W 16-bits PWM lower 8 bits [7:0] for Dot L8-CS4  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
Copyright © 2021 Texas Instruments Incorporated  
Submit Document Feedback  
41  
Product Folder Links: LP5860  
LP5860  
SNVSBU8 – MAY 2021  
www.ti.com  
pwm_bri297  
pwm_bri298  
pwm_bri299  
pwm_bri300  
pwm_bri301  
pwm_bri302  
pwm_bri303  
pwm_bri304  
pwm_bri305  
pwm_bri306  
pwm_bri307  
pwm_bri308  
pwm_bri309  
pwm_bri310  
pwm_bri311  
pwm_bri312  
pwm_bri313  
pwm_bri314  
pwm_bri315  
pwm_bri316  
pwm_bri317  
pwm_bri318  
pwm_bri319  
pwm_bri320  
pwm_bri321  
pwm_bri322  
pwm_bri323  
pwm_bri324  
pwm_bri325  
pwm_bri326  
pwm_bri327  
pwm_bri328  
pwm_bri329  
pwm_bri330  
pwm_bri331  
pwm_bri332  
pwm_bri333  
pwm_bri334  
pwm_bri335  
pwm_bri336  
pwm_bri337  
pwm_bri338  
pwm_bri339  
pwm_bri340  
pwm_bri341  
pwm_bri342  
pwm_bri343  
329h  
R/W 16-bits PWM higher 8 bits [15:8] for Dot L8-CS4  
R/W 16-bits PWM lower 8 bits [7:0] for Dot L8-CS5  
R/W 16-bits PWM higher 8 bits [15:8] for Dot L8-CS5  
R/W 16-bits PWM lower 8 bits [7:0] for Dot L8-CS6  
R/W 16-bits PWM higher 8 bits [15:8] for Dot L8-CS6  
R/W 16-bits PWM lower 8 bits [7:0] for Dot L8-CS7  
R/W 16-bits PWM higher 8 bits [15:8] for Dot L8-CS7  
R/W 16-bits PWM lower 8 bits [7:0] for Dot L8-CS8  
R/W 16-bits PWM higher 8 bits [15:8] for Dot L8-CS8  
R/W 16-bits PWM lower 8 bits [7:0] for Dot L8-CS9  
R/W 16-bits PWM higher 8 bits [15:8] for Dot L8-CS9  
R/W 16-bits PWM lower 8 bits [7:0] for Dot L8-CS10  
R/W 16-bits PWM higher 8 bits [15:8] for Dot L8-CS10  
R/W 16-bits PWM lower 8 bits [7:0] for Dot L8-CS11  
R/W 16-bits PWM higher 8 bits [15:8] for Dot L8-CS11  
R/W 16-bits PWM lower 8 bits [7:0] for Dot L8-CS12  
R/W 16-bits PWM higher 8 bits [15:8] for Dot L8-CS12  
R/W 16-bits PWM lower 8 bits [7:0] for Dot L8-CS13  
R/W 16-bits PWM higher 8 bits [15:8] for Dot L8-CS13  
R/W 16-bits PWM lower 8 bits [7:0] for Dot L8-CS14  
R/W 16-bits PWM higher 8 bits [15:8] for Dot L8-CS14  
R/W 16-bits PWM lower 8 bits [7:0] for Dot L8-CS15  
R/W 16-bits PWM higher 8 bits [15:8] for Dot L8-CS15  
R/W 16-bits PWM lower 8 bits [7:0] for Dot L8-CS16  
R/W 16-bits PWM higher 8 bits [15:8] for Dot L8-CS16  
R/W 16-bits PWM lower 8 bits [7:0] for Dot L8-CS17  
R/W 16-bits PWM higher 8 bits [15:8] for Dot L8-CS17  
R/W 16-bits PWM lower 8 bits [7:0] for Dot L9-CS0  
R/W 16-bits PWM higher 8 bits [15:8] for Dot L9-CS0  
R/W 16-bits PWM lower 8 bits [7:0] for Dot L9-CS1  
R/W 16-bits PWM higher 8 bits [15:8] for Dot L9-CS1  
R/W 16-bits PWM lower 8 bits [7:0] for Dot L9-CS2  
R/W 16-bits PWM higher 8 bits [15:8] for Dot L9-CS2  
R/W 16-bits PWM lower 8 bits [7:0] for Dot L9-CS3  
R/W 16-bits PWM higher 8 bits [15:8] for Dot L9-CS3  
R/W 16-bits PWM lower 8 bits [7:0] for Dot L9-CS4  
R/W 16-bits PWM higher 8 bits [15:8] for Dot L9-CS4  
R/W 16-bits PWM lower 8 bits [7:0] for Dot L9-CS5  
R/W 16-bits PWM higher 8 bits [15:8] for Dot L9-CS5  
R/W 16-bits PWM lower 8 bits [7:0] for Dot L9-CS6  
R/W 16-bits PWM higher 8 bits [15:8] for Dot L9-CS6  
R/W 16-bits PWM lower 8 bits [7:0] for Dot L9-CS7  
R/W 16-bits PWM higher 8 bits [15:8] for Dot L9-CS7  
R/W 16-bits PWM lower 8 bits [7:0] for Dot L9-CS8  
R/W 16-bits PWM higher 8 bits [15:8] for Dot L9-CS8  
R/W 16-bits PWM lower 8 bits [7:0] for Dot L9-CS9  
R/W 16-bits PWM higher 8 bits [15:8] for Dot L9-CS9  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
32Ah  
32Bh  
32Ch  
32Dh  
32Eh  
32Fh  
330h  
331h  
332h  
333h  
334h  
335h  
336h  
337h  
338h  
339h  
33Ah  
33Bh  
33Ch  
33Dh  
33Eh  
33Fh  
340h  
341h  
342h  
343h  
344h  
345h  
346h  
347h  
348h  
349h  
34Ah  
34Bh  
34Ch  
34Dh  
34Eh  
34Fh  
350h  
351h  
352h  
353h  
354h  
355h  
356h  
357h  
Copyright © 2021 Texas Instruments Incorporated  
42  
Submit Document Feedback  
Product Folder Links: LP5860  
LP5860  
SNVSBU8 – MAY 2021  
www.ti.com  
pwm_bri344  
pwm_bri345  
pwm_bri346  
pwm_bri347  
pwm_bri348  
pwm_bri349  
pwm_bri350  
pwm_bri351  
pwm_bri352  
pwm_bri353  
pwm_bri354  
pwm_bri355  
pwm_bri356  
pwm_bri357  
pwm_bri358  
pwm_bri359  
pwm_bri360  
pwm_bri361  
pwm_bri362  
pwm_bri363  
pwm_bri364  
pwm_bri365  
pwm_bri366  
pwm_bri367  
pwm_bri368  
pwm_bri369  
pwm_bri370  
pwm_bri371  
pwm_bri372  
pwm_bri373  
pwm_bri374  
pwm_bri375  
pwm_bri376  
pwm_bri377  
pwm_bri378  
pwm_bri379  
pwm_bri380  
pwm_bri381  
pwm_bri382  
pwm_bri383  
pwm_bri384  
pwm_bri385  
pwm_bri386  
pwm_bri387  
pwm_bri388  
pwm_bri389  
pwm_bri390  
358h  
359h  
35Ah  
35Bh  
35Ch  
35Dh  
35Eh  
35Fh  
360h  
361h  
362h  
363h  
364h  
365h  
366h  
367h  
368h  
369h  
36Ah  
36Bh  
36Ch  
36Dh  
36Eh  
36Fh  
370h  
371h  
372h  
373h  
374h  
375h  
376h  
377h  
378h  
379h  
37Ah  
37Bh  
37Ch  
37Dh  
37Eh  
37Fh  
380h  
381h  
382h  
383h  
384h  
385h  
386h  
R/W 16-bits PWM lower 8 bits [7:0] for Dot L9-CS10  
R/W 16-bits PWM higher 8 bits [15:8] for Dot L9-CS10  
R/W 16-bits PWM lower 8 bits [7:0] for Dot L9-CS11  
R/W 16-bits PWM higher 8 bits [15:8] for Dot L9-CS11  
R/W 16-bits PWM lower 8 bits [7:0] for Dot L9-CS12  
R/W 16-bits PWM higher 8 bits [15:8] for Dot L9-CS12  
R/W 16-bits PWM lower 8 bits [7:0] for Dot L9-CS13  
R/W 16-bits PWM higher 8 bits [15:8] for Dot L9-CS13  
R/W 16-bits PWM lower 8 bits [7:0] for Dot L9-CS14  
R/W 16-bits PWM higher 8 bits [15:8] for Dot L9-CS14  
R/W 16-bits PWM lower 8 bits [7:0] for Dot L9-CS15  
R/W 16-bits PWM higher 8 bits [15:8] for Dot L9-CS15  
R/W 16-bits PWM lower 8 bits [7:0] for Dot L9-CS16  
R/W 16-bits PWM higher 8 bits [15:8] for Dot L9-CS16  
R/W 16-bits PWM lower 8 bits [7:0] for Dot L9-CS17  
R/W 16-bits PWM higher 8 bits [15:8] for Dot L9-CS17  
R/W 16-bits PWM lower 8 bits [7:0] for Dot L10-CS0  
R/W 16-bits PWM higher 8 bits [15:8] for Dot L10-CS0  
R/W 16-bits PWM lower 8 bits [7:0] for Dot L10-CS1  
R/W 16-bits PWM higher 8 bits [15:8] for Dot L10-CS1  
R/W 16-bits PWM lower 8 bits [7:0] for Dot L10-CS2  
R/W 16-bits PWM higher 8 bits [15:8] for Dot L10-CS2  
R/W 16-bits PWM lower 8 bits [7:0] for Dot L10-CS3  
R/W 16-bits PWM higher 8 bits [15:8] for Dot L10-CS3  
R/W 16-bits PWM lower 8 bits [7:0] for Dot L10-CS4  
R/W 16-bits PWM higher 8 bits [15:8] for Dot L10-CS4  
R/W 16-bits PWM lower 8 bits [7:0] for Dot L10-CS5  
R/W 16-bits PWM higher 8 bits [15:8] for Dot L10-CS5  
R/W 16-bits PWM lower 8 bits [7:0] for Dot L10-CS6  
R/W 16-bits PWM higher 8 bits [15:8] for Dot L10-CS6  
R/W 16-bits PWM lower 8 bits [7:0] for Dot L10-CS7  
R/W 16-bits PWM higher 8 bits [15:8] for Dot L10-CS7  
R/W 16-bits PWM lower 8 bits [7:0] for Dot L10-CS8  
R/W 16-bits PWM higher 8 bits [15:8] for Dot L10-CS8  
R/W 16-bits PWM lower 8 bits [7:0] for Dot L10-CS9  
R/W 16-bits PWM higher 8 bits [15:8] for Dot L10-CS9  
R/W 16-bits PWM lower 8 bits [7:0] for Dot L10-CS10  
R/W 16-bits PWM higher 8 bits [15:8] for Dot L10-CS10  
R/W 16-bits PWM lower 8 bits [7:0] for Dot L10-CS11  
R/W 16-bits PWM higher 8 bits [15:8] for Dot L10-CS11  
R/W 16-bits PWM lower 8 bits [7:0] for Dot L10-CS12  
R/W 16-bits PWM higher 8 bits [15:8] for Dot L10-CS12  
R/W 16-bits PWM lower 8 bits [7:0] for Dot L10-CS13  
R/W 16-bits PWM higher 8 bits [15:8] for Dot L10-CS13  
R/W 16-bits PWM lower 8 bits [7:0] for Dot L10-CS14  
R/W 16-bits PWM higher 8 bits [15:8] for Dot L10-CS14  
R/W 16-bits PWM lower 8 bits [7:0] for Dot L10-CS15  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
00h  
Copyright © 2021 Texas Instruments Incorporated  
Submit Document Feedback  
43  
Product Folder Links: LP5860  
LP5860  
SNVSBU8 – MAY 2021  
www.ti.com  
pwm_bri391  
pwm_bri392  
pwm_bri393  
pwm_bri394  
pwm_bri395  
387h  
R/W 16-bits PWM higher 8 bits [15:8] for Dot L10-CS15  
R/W 16-bits PWM lower 8 bits [7:0] for Dot L10-CS16  
R/W 16-bits PWM higher 8 bits [15:8] for Dot L10-CS16  
R/W 16-bits PWM lower 8 bits [7:0] for Dot L10-CS17  
R/W 16-bits PWM higher 8 bits [15:8] for Dot L10-CS17  
00h  
00h  
00h  
00h  
00h  
388h  
389h  
38Ah  
38Bh  
Copyright © 2021 Texas Instruments Incorporated  
44  
Submit Document Feedback  
Product Folder Links: LP5860  
LP5860  
SNVSBU8 – MAY 2021  
www.ti.com  
9 Application and Implementation  
Note  
Information in the following applications sections is not part of the TI component specification,  
and TI does not warrant its accuracy or completeness. TI’s customers are responsible for  
determining suitability of components for their purposes, as well as validating and testing their design  
implementation to confirm system functionality.  
9.1 Application Information  
The LP5860 integrates 18 constant current sinks with 11 switching FETs and one LP5860 can drive up to  
198 LED dots or 66 RGB pixels and achieve great dimming effect. In smart home, gaming keyboards, and  
other human-machine interaction applications, it can greatly improve user experience with small amount of  
components.  
9.2 Typical Application  
9.2.1 Application  
Figure 9-1 shows an example of typical application, which uses one LP5860 to drive 66 common-anode RGB  
LEDs through I2C communication.  
VLED: 2.7 V to 5.5 V  
VCC: 2.7 V to 5.5 V  
VIO: 1.8 V to 5 V  
CVLED  
1 F  
CVCC  
1 F  
RPullup  
4.7 kΩ  
VCC  
VLED  
SW0  
SW1  
SW2  
VIO_EN  
CVIO  
1 nF  
SDA_MOSI  
SCL_SCLK  
ADDR1_MISO  
ADDR2_SS  
VSYNC  
SW10  
LP5860  
MCU  
CS0  
CS1  
CS2  
VCAP  
CVCAP  
1 µF  
CS17  
IFS  
GND  
AGND  
Optional  
Figure 9-1. Typical Application - LP5860 Driving 66 RGB LEDs (198 LED Dots)  
9.2.2 Design Requirements  
Table 9-1. Design Parameters  
PARAMETER  
VCC / VIO  
VALUE  
3.3 V  
VLED  
5 V  
RGB LED count  
Scan number  
Interface  
66  
11  
I2C  
LED maximum average current (red, green, blue)  
4 mA, 3 mA, 2 mA  
Copyright © 2021 Texas Instruments Incorporated  
Submit Document Feedback  
45  
Product Folder Links: LP5860  
 
 
 
 
LP5860  
SNVSBU8 – MAY 2021  
www.ti.com  
Table 9-1. Design Parameters (continued)  
PARAMETER  
VALUE  
LED maximum peak current (red, green, blue)  
44 mA, 33 mA, 22 mA  
9.2.3 Detailed Design Procedure  
LP5860 requires an external capacitor CVCAP, whose value is 1 μF connected from VCAP to GND for proper  
operation of internal LDO. It should be placed as close to the device as possible.  
1-μF capacitors are recommended to be placed between VCC / VLED with GND, and 1 nF capacitor placed  
between VIO with GND. Place the capacitors as close to the device as possible.  
Pull-up resistors Rpull-up are requirement for SCL and SDA when using I2C as communication method. In typical  
applications, 1.8-kΩ to 4.7-kΩ resistors are recommended.  
To decrease thermal dissipation from device to ambient, resistors RCS could optionally be placed in serial with  
the LED. Voltage drop on these resistors should left enough margins for VSAT to ensure the device work  
normally.  
9.2.4 Program Procedure  
When selecting data refresh Mode 1, outputs are refreshed instantly once data is received.  
When selecting data refresh Mode 2/3, VSYNC signal is required for synchronized display. Programming flow is  
showed as Figure 9-2. To display full pixel of last frame, VSYNC pulse should be sent to the device after the  
end of last PWM. Time between two pulses tSYNC should be larger than the whole PWM time of all Dots tframe  
.
Common selection like 60 Hz, 90 Hz, 120 Hz or even higher refresh frequency could be supported. High pulse  
width longer than tSYNC_H is required at the beginning of each VSYNC frame, and data should not be write to  
PWM registers during high pulse width.  
Power up VCC /  
VIO / VLED  
Config initialization  
registers  
Write frame data  
Send VSYNC pulse  
Write frame data of  
next frame  
N
Update frame?  
Y
Figure 9-2. Program Procedure  
Copyright © 2021 Texas Instruments Incorporated  
46  
Submit Document Feedback  
Product Folder Links: LP5860  
 
LP5860  
SNVSBU8 – MAY 2021  
www.ti.com  
10 Power Supply Recommendations  
VDD Input Supply Recommendations  
LP5860 is designed to operate from a 2.7-V to 5.5-V VDD voltage supply. This input supply must be well  
regulated and be able to provide the peak current required by the LED matrix. The resistance of the VDD supply  
rail must be low enough such that the input current transient does not cause the LP5860 VDD supply voltage to  
drop below the maximum POR voltage.  
VLED Input Supply Recommendations  
LP5860 is designed to operate with a 2.7-V to 5.5-V VLED voltage supply. The VLED supply must be well  
regulated and able to provide the peak current required by the LED configuration without voltage drop, under  
load transients like start-up or rapid brightness change. The resistance of the input supply rail must be low  
enough so that the input current transient does not cause the VLED supply voltage to drop below LED Vf + VSAT  
voltage.  
VIO Input Supply Recommendations  
LP5860 is designed to operate with a 1.65-V to 5.5-V VIO_EN voltage supply. The VIO_EN supply must be well  
regulated and able to provide the peak current required by the LED configuration without voltage drop under load  
transients like start-up or rapid brightness change.  
Copyright © 2021 Texas Instruments Incorporated  
Submit Document Feedback  
47  
Product Folder Links: LP5860  
 
LP5860  
SNVSBU8 – MAY 2021  
www.ti.com  
11 Layout  
11.1 Layout Guidelines  
Below guidelines for layout design could help to get a better onboard performance.  
The decoupling capacitors CVCC and CVLED for power supply need to be close to the chip to have minimized  
the impact of high-frequency noise and ripple from power. CVCAP for internal LDO need to be put as close to  
chip as possible. GND plane connections to CVLED and GND pins must be on TOP layer copper with multiple  
vias connecting to system ground plane. CVIO for internal enable block also needs to be put as close to chip  
as possible.  
The exposed thermal pad should be well soldered to the board, which could have better mechanical  
reliability. It can optimize heat transfer so that increasing thermal performance. AGND pin should be  
connected to thermal pad and system ground.  
The major heat flow path from the package to the ambient is through copper on the PCB. Several methods  
could help thermal performance. Below exposed thermal pad of IC, putting much vias through the PCB to  
other ground layer can dissipate more heat. Maximizing the copper coverage on the PCB can increase the  
thermal conductivity of the board.  
Low inductive and resistive path of switch load loop could help to provide a high slew rate. Therefore, path  
of VLED – SWx must be short and wide and avoid parallel wiring and narrow trace. Transient current in SWx  
pins is much larger than CSy pins, so that trace for SWx should be wider than CSy.  
11.2 Layout Example  
CVCC  
CVIO  
CVCAP  
1
2
30  
29  
28  
27  
26  
25  
24  
23  
3
4
5
GND  
6
7
8
9
22  
21  
10  
CVLED  
Figure 11-1. LP5860 Layout Example  
Copyright © 2021 Texas Instruments Incorporated  
48  
Submit Document Feedback  
Product Folder Links: LP5860  
 
 
 
LP5860  
SNVSBU8 – MAY 2021  
www.ti.com  
12 Device and Documentation Support  
TI offers an extensive line of development tools. Tools and software to evaluate the performance of the device,  
generate code, and develop solutions are listed below.  
12.1 Documentation Support  
12.2 Receiving Notification of Documentation Updates  
To receive notification of documentation updates, navigate to the device product folder on ti.com. Click on  
Subscribe to updates to register and receive a weekly digest of any product information that has changed. For  
change details, review the revision history included in any revised document.  
12.3 Support Resources  
TI E2Esupport forums are an engineer's go-to source for fast, verified answers and design help — straight  
from the experts. Search existing answers or ask your own question to get the quick design help you need.  
Linked content is provided "AS IS" by the respective contributors. They do not constitute TI specifications and do  
not necessarily reflect TI's views; see TI's Terms of Use.  
12.4 Trademarks  
TI E2Eis a trademark of Texas Instruments.  
All trademarks are the property of their respective owners.  
12.5 Electrostatic Discharge Caution  
This integrated circuit can be damaged by ESD. Texas Instruments recommends that all integrated circuits be handled  
with appropriate precautions. Failure to observe proper handling and installation procedures can cause damage.  
ESD damage can range from subtle performance degradation to complete device failure. Precision integrated circuits may  
be more susceptible to damage because very small parametric changes could cause the device not to meet its published  
specifications.  
12.6 Glossary  
TI Glossary  
This glossary lists and explains terms, acronyms, and definitions.  
Copyright © 2021 Texas Instruments Incorporated  
Submit Document Feedback  
49  
Product Folder Links: LP5860  
 
 
 
 
 
 
 
LP5860  
SNVSBU8 – MAY 2021  
www.ti.com  
13 Mechanical, Packaging, and Orderable Information  
The following pages include mechanical, packaging, and orderable information. This information is the most  
current data available for the designated devices. This data is subject to change without notice and revision of  
this document. For browser-based versions of this data sheet, refer to the left-hand navigation.  
Copyright © 2021 Texas Instruments Incorporated  
50  
Submit Document Feedback  
Product Folder Links: LP5860  
 
LP5860  
SNVSBU8 – MAY 2021  
www.ti.com  
13.1 Tape and Reel Information  
REEL DIMENSIONS  
TAPE DIMENSIONS  
K0  
P1  
W
B0  
Reel  
Diameter  
Cavity  
A0  
A0 Dimension designed to accommodate the component width  
B0 Dimension designed to accommodate the component length  
K0 Dimension designed to accommodate the component thickness  
Overall width of the carrier tape  
W
P1 Pitch between successive cavity centers  
Reel Width (W1)  
QUADRANT ASSIGNMENTS FOR PIN 1 ORIENTATION IN TAPE  
Sprocket Holes  
Q1 Q2  
Q3 Q4  
Q1 Q2  
Q3 Q4  
User Direction of Feed  
Pocket Quadrants  
Reel  
Diameter  
(mm)  
Reel  
Width W1  
(mm)  
Package  
Type  
Package  
Drawing  
A0  
(mm)  
B0  
(mm)  
K0  
(mm)  
P1  
(mm)  
W
(mm)  
Pin1  
Quadrant  
Device  
Pins  
SPQ  
LP5860RKPR  
VQFN-40  
RKP  
40  
3000  
330.0  
12.4  
5.3  
5.3  
1.5  
8.0  
12.0  
Q2  
Copyright © 2021 Texas Instruments Incorporated  
Submit Document Feedback  
51  
Product Folder Links: LP5860  
 
LP5860  
SNVSBU8 – MAY 2021  
www.ti.com  
TAPE AND REEL BOX DIMENSIONS  
Width (mm)  
H
W
L
Device  
Package Type  
Package Drawing Pins  
RKP 40  
SPQ  
Length (mm) Width (mm)  
367.0 367.0  
Height (mm)  
LP5860RKPR  
VQFN  
3000  
35.0  
Copyright © 2021 Texas Instruments Incorporated  
52  
Submit Document Feedback  
Product Folder Links: LP5860  
LP5860  
SNVSBU8 – MAY 2021  
www.ti.com  
PACKAGE OUTLINE  
VQFN - 1 mm max height  
RKP0040B  
PLASTIC QUAD FLATPACK- NO LEAD  
5.1  
4.9  
A
B
PIN 1 INDEX AREA  
5.1  
4.9  
C
1 MAX  
SEATING PLANE  
0.08  
C
0.05  
0.00  
3.6  
3.4  
(0.1) TYP  
11  
20  
36X 0.4  
10  
21  
41  
SYMM  
4X  
3.6  
0.25  
0.15  
30  
40X  
0.1  
1
C
A B  
PIN1 ID  
(OPTIONAL)  
40  
31  
0.05  
C
SYMM  
0.5  
0.3  
40X  
4219083/A 03/2021  
NOTES:  
1. All linear dimensions are in millimeters. Any dimensions in parenthesis are for reference only. Dimensioning and tolerancing  
per ASME Y14.5M.  
2. This drawing is subject to change without notice.  
3. The package thermal pad must be soldered to the printed circuit board for optimal thermal and mechanical performance.  
www.ti.com  
Copyright © 2021 Texas Instruments Incorporated  
Submit Document Feedback  
53  
Product Folder Links: LP5860  
LP5860  
SNVSBU8 – MAY 2021  
www.ti.com  
EXAMPLE BOARD LAYOUT  
VQFN - 1 mm max height  
RKP0040B  
PLASTIC QUAD FLATPACK- NO LEAD  
2X (4.8)  
(
3.5)  
SYMM  
40X (0.6)  
40X (0.2)  
40  
31  
1
30  
36X (0.4)  
SYMM  
2X  
(4.8)  
2X (0.6)  
2X (0.9)  
21  
10  
(R 0.05) TYP  
(Ø 0.2) VIA  
TYP  
11  
20  
2X (0.9283)  
2X (0.6)  
LAND PATTERN EXAMPLE  
EXPOSED METAL SHOWN  
SCALE: 15X  
0.05 MIN  
ALL AROUND  
METAL  
0.05 MAX  
ALL AROUND  
METAL UNDER  
SOLDER MASK  
SOLDERMASK  
EXPOSED  
OPENING  
METAL  
EXPOSED METAL  
SOLDER MASK  
OPENING  
NON- SOLDER MASK  
DEFINED  
(PREFERRED)  
SOLDER MASK  
DEFINED  
SOLDER MASK DETAILS  
4219083/A 03/2021  
NOTES: (continued)  
4. This package is designed to be soldered to a thermal pad on the board. For more information, see Texas Instruments literature  
number SLUA271 (www.ti.com/lit/slua271)  
.
5. Vias are optional depending on application, refer to device data sheet. If any vias are implemented, refer to their locations shown  
on this view. It is recommended that vias under paste be filled, plugged or tented.  
www.ti.com  
Copyright © 2021 Texas Instruments Incorporated  
54  
Submit Document Feedback  
Product Folder Links: LP5860  
LP5860  
SNVSBU8 – MAY 2021  
www.ti.com  
EXAMPLE STENCIL DESIGN  
VQFN - 1 mm max height  
RKP0040B  
PLASTIC QUAD FLATPACK- NO LEAD  
2X (4.8)  
SYMM  
9X  
1)  
(
40X (0.6)  
40X (0.2)  
40  
31  
1
30  
36X (0.4)  
SYMM  
2X  
(4.8)  
2X  
(1.2)  
21  
10  
(R 0.05) TYP  
11  
20  
2X (1.2)  
SOLDER PASTE EXAMPLE  
BASED ON 0.125 mm THICK STENCIL  
EXPOSED PAD  
74% PRINTED COVERAGE BY AREA  
SCALE: 15X  
4219083/A 03/2021  
NOTES: (continued)  
6. Laser cutting apertures with trapezoidal walls and rounded corners may offer better paste release. IPC-7525 may have alternate  
design recommendations.  
www.ti.com  
Copyright © 2021 Texas Instruments Incorporated  
Submit Document Feedback  
55  
Product Folder Links: LP5860  
PACKAGE OPTION ADDENDUM  
www.ti.com  
3-Jun-2021  
PACKAGING INFORMATION  
Orderable Device  
Status Package Type Package Pins Package  
Eco Plan  
Lead finish/  
Ball material  
MSL Peak Temp  
Op Temp (°C)  
Device Marking  
Samples  
Drawing  
Qty  
(1)  
(2)  
(3)  
(4/5)  
(6)  
PLP5860RKPR  
ACTIVE  
VQFN  
RKP  
40  
3000  
Non-RoHS &  
Non-Green  
Call TI  
Call TI  
-40 to 85  
(1) The marketing status values are defined as follows:  
ACTIVE: Product device recommended for new designs.  
LIFEBUY: TI has announced that the device will be discontinued, and a lifetime-buy period is in effect.  
NRND: Not recommended for new designs. Device is in production to support existing customers, but TI does not recommend using this part in a new design.  
PREVIEW: Device has been announced but is not in production. Samples may or may not be available.  
OBSOLETE: TI has discontinued the production of the device.  
(2) RoHS: TI defines "RoHS" to mean semiconductor products that are compliant with the current EU RoHS requirements for all 10 RoHS substances, including the requirement that RoHS substance  
do not exceed 0.1% by weight in homogeneous materials. Where designed to be soldered at high temperatures, "RoHS" products are suitable for use in specified lead-free processes. TI may  
reference these types of products as "Pb-Free".  
RoHS Exempt: TI defines "RoHS Exempt" to mean products that contain lead but are compliant with EU RoHS pursuant to a specific EU RoHS exemption.  
Green: TI defines "Green" to mean the content of Chlorine (Cl) and Bromine (Br) based flame retardants meet JS709B low halogen requirements of <=1000ppm threshold. Antimony trioxide based  
flame retardants must also meet the <=1000ppm threshold requirement.  
(3) MSL, Peak Temp. - The Moisture Sensitivity Level rating according to the JEDEC industry standard classifications, and peak solder temperature.  
(4) There may be additional marking, which relates to the logo, the lot trace code information, or the environmental category on the device.  
(5) Multiple Device Markings will be inside parentheses. Only one Device Marking contained in parentheses and separated by a "~" will appear on a device. If a line is indented then it is a continuation  
of the previous line and the two combined represent the entire Device Marking for that device.  
(6)  
Lead finish/Ball material - Orderable Devices may have multiple material finish options. Finish options are separated by a vertical ruled line. Lead finish/Ball material values may wrap to two  
lines if the finish value exceeds the maximum column width.  
Important Information and Disclaimer:The information provided on this page represents TI's knowledge and belief as of the date that it is provided. TI bases its knowledge and belief on information  
provided by third parties, and makes no representation or warranty as to the accuracy of such information. Efforts are underway to better integrate information from third parties. TI has taken and  
continues to take reasonable steps to provide representative and accurate information but may not have conducted destructive testing or chemical analysis on incoming materials and chemicals.  
TI and TI suppliers consider certain information to be proprietary, and thus CAS numbers and other limited information may not be available for release.  
In no event shall TI's liability arising out of such information exceed the total purchase price of the TI part(s) at issue in this document sold by TI to Customer on an annual basis.  
Addendum-Page 1  
IMPORTANT NOTICE AND DISCLAIMER  
TI PROVIDES TECHNICAL AND RELIABILITY DATA (INCLUDING DATASHEETS), DESIGN RESOURCES (INCLUDING REFERENCE  
DESIGNS), APPLICATION OR OTHER DESIGN ADVICE, WEB TOOLS, SAFETY INFORMATION, AND OTHER RESOURCES “AS IS”  
AND WITH ALL FAULTS, AND DISCLAIMS ALL WARRANTIES, EXPRESS AND IMPLIED, INCLUDING WITHOUT LIMITATION ANY  
IMPLIED WARRANTIES OF MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE OR NON-INFRINGEMENT OF THIRD  
PARTY INTELLECTUAL PROPERTY RIGHTS.  
These resources are intended for skilled developers designing with TI products. You are solely responsible for (1) selecting the appropriate  
TI products for your application, (2) designing, validating and testing your application, and (3) ensuring your application meets applicable  
standards, and any other safety, security, or other requirements. These resources are subject to change without notice. TI grants you  
permission to use these resources only for development of an application that uses the TI products described in the resource. Other  
reproduction and display of these resources is prohibited. No license is granted to any other TI intellectual property right or to any third party  
intellectual property right. TI disclaims responsibility for, and you will fully indemnify TI and its representatives against, any claims, damages,  
costs, losses, and liabilities arising out of your use of these resources.  
TI’s products are provided subject to TI’s Terms of Sale (https:www.ti.com/legal/termsofsale.html) or other applicable terms available either  
on ti.com or provided in conjunction with such TI products. TI’s provision of these resources does not expand or otherwise alter TI’s  
applicable warranties or warranty disclaimers for TI products.IMPORTANT NOTICE  
Mailing Address: Texas Instruments, Post Office Box 655303, Dallas, Texas 75265  
Copyright © 2021, Texas Instruments Incorporated  

相关型号:

SI9130DB

5- and 3.3-V Step-Down Synchronous Converters

Warning: Undefined variable $rtag in /www/wwwroot/website_ic37/www.icpdf.com/pdf/pdf/index.php on line 217
-
VISHAY

SI9135LG-T1

SMBus Multi-Output Power-Supply Controller

Warning: Undefined variable $rtag in /www/wwwroot/website_ic37/www.icpdf.com/pdf/pdf/index.php on line 217
-
VISHAY

SI9135LG-T1-E3

SMBus Multi-Output Power-Supply Controller

Warning: Undefined variable $rtag in /www/wwwroot/website_ic37/www.icpdf.com/pdf/pdf/index.php on line 217
-
VISHAY

SI9135_11

SMBus Multi-Output Power-Supply Controller

Warning: Undefined variable $rtag in /www/wwwroot/website_ic37/www.icpdf.com/pdf/pdf/index.php on line 217
-
VISHAY

SI9136_11

Multi-Output Power-Supply Controller

Warning: Undefined variable $rtag in /www/wwwroot/website_ic37/www.icpdf.com/pdf/pdf/index.php on line 217
-
VISHAY

SI9130CG-T1-E3

Pin-Programmable Dual Controller - Portable PCs

Warning: Undefined variable $rtag in /www/wwwroot/website_ic37/www.icpdf.com/pdf/pdf/index.php on line 217
-
VISHAY

SI9130LG-T1-E3

Pin-Programmable Dual Controller - Portable PCs

Warning: Undefined variable $rtag in /www/wwwroot/website_ic37/www.icpdf.com/pdf/pdf/index.php on line 217
-
VISHAY

SI9130_11

Pin-Programmable Dual Controller - Portable PCs

Warning: Undefined variable $rtag in /www/wwwroot/website_ic37/www.icpdf.com/pdf/pdf/index.php on line 217
-
VISHAY

SI9137

Multi-Output, Sequence Selectable Power-Supply Controller for Mobile Applications

Warning: Undefined variable $rtag in /www/wwwroot/website_ic37/www.icpdf.com/pdf/pdf/index.php on line 217
-
VISHAY

SI9137DB

Multi-Output, Sequence Selectable Power-Supply Controller for Mobile Applications

Warning: Undefined variable $rtag in /www/wwwroot/website_ic37/www.icpdf.com/pdf/pdf/index.php on line 217
-
VISHAY

SI9137LG

Multi-Output, Sequence Selectable Power-Supply Controller for Mobile Applications

Warning: Undefined variable $rtag in /www/wwwroot/website_ic37/www.icpdf.com/pdf/pdf/index.php on line 217
-
VISHAY

SI9122E

500-kHz Half-Bridge DC/DC Controller with Integrated Secondary Synchronous Rectification Drivers

Warning: Undefined variable $rtag in /www/wwwroot/website_ic37/www.icpdf.com/pdf/pdf/index.php on line 217
-
VISHAY