TMS320C6678AGYPA [TI]

高性能八核 C66x 定点和浮点 DSP- 高达 1.25GHz | GYP | 841 | -40 to 100;
TMS320C6678AGYPA
型号: TMS320C6678AGYPA
厂家: TEXAS INSTRUMENTS    TEXAS INSTRUMENTS
描述:

高性能八核 C66x 定点和浮点 DSP- 高达 1.25GHz | GYP | 841 | -40 to 100

控制器 微控制器 微控制器和处理器 数字信号处理器
文件: 总259页 (文件大小:2718K)
中文:  中文翻译
下载:  下载PDF数据表文档文件
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
Data Manual  
ADVANCE INFORMATION concerns new products in the sampling  
or preproduction phase of development. Characteristic data and  
other specifications are subject to change without notice.  
Literature Number: SPRS691  
November 2010  
TMS320C6678  
Data Manual  
SPRS691—November 2010  
www.ti.com  
Release History  
Release  
Date  
Chapter/Topic  
Description/Comments  
1.0  
November 2010  
All  
Initial Release  
2
Release History  
Copyright 2010 Texas Instruments Incorporated  
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
Contents  
1
Features . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .11  
1.1 KeyStone Architecture. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .12  
1.2 Device Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .12  
1.3 Functional Block Diagram. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .14  
Device Overview . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .15  
2.1 Device Characteristics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .15  
2.2 DSP Core Description. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .16  
2.3 Memory Map Summary. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .19  
2.4 Boot Sequence . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .25  
2.5 Boot Modes Supported and PLL Settings . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .26  
2.5.1 Boot Device Field . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .26  
2.5.2 Device Configuration Field . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .27  
2.5.3 PLL Boot Configuration Settings. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .31  
2.6 Second-Level Bootloaders . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .31  
2.7 Terminals . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .32  
2.8 Terminal Functions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .32  
2.9 Development . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .58  
2.9.1 Development Support . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .58  
2.9.2 Device Support . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .58  
Device Configuration . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .61  
3.1 Device Configuration at Device Reset . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .61  
3.2 Peripheral Selection After Device Reset. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .61  
3.3 Device State Control Registers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .62  
3.3.1 Device Status Register . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .64  
3.3.2 Device Configuration Register. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .65  
3.3.3 JTAG ID (JTAGID) Register Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .65  
3.3.4 Kicker Mechanism (KICK0 and KICK1) Register. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .66  
3.3.5 LRESETNMI PIN Status (LRSTNMIPINSTAT) Register . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .66  
3.3.6 LRESETNMI PIN Status Clear (LRSTNMIPINSTAT_CLR) Register . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .67  
3.3.7 Reset Status (RESET_STAT) Register. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .68  
3.3.8 Reset Status Clear (RESET_STAT_CLR) Register . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .69  
3.3.9 Boot Complete (BOOTCOMPLETE) Register . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .70  
3.3.10 Power State Control (PWRSTATECTL) Register . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .71  
3.3.11 NMI Even Generation to CorePac (NMIGRx) Register . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .71  
3.3.12 IPC Generation (IPCGRx) Registers. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .72  
3.3.13 IPC Acknowledgement (IPCARx) Registers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .72  
3.3.14 IPC Generation Host (IPCGRH) Register . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .73  
3.3.15 IPC Acknowledgement Host (IPCARH) Register . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .74  
3.3.16 Timer Input Selection Register (TINPSEL) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .75  
3.3.17 Timer Output Selection Register (TOUTPSEL) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .77  
3.3.18 Reset Mux (RSTMUXx) Register . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .78  
3.4 Pullup/Pulldown Resistors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .80  
System Interconnect. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .81  
4.1 Internal Buses, Bridges, and Switch Fabrics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .81  
4.2 Data Switch Fabric Connections. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .82  
4.3 Configuration Switch Fabric . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .84  
4.4 Bus Priorities . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .84  
C66x CorePac . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .85  
5.1 Memory Architecture . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .86  
5.1.1 L1P Memory . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .86  
5.1.2 L1D Memory. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .87  
5.1.3 L2 Memory . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .87  
5.1.4 MSMC SRAM. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .88  
5.1.5 L3 Memory . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .89  
5.2 Memory Protection. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .89  
2
3
4
5
Copyright 2010 Texas Instruments Incorporated  
Contents  
3
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
5.3 Bandwidth Management . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .90  
5.4 Power-Down Control . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .90  
5.5 C66x CorePac Resets. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .90  
5.6 C66x CorePac Revision. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .91  
5.7 C66x CorePac Register Descriptions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .91  
Device Operating Conditions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .93  
6.1 Absolute Maximum Ratings. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .93  
6.2 Recommended Operating Conditions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .94  
6.3 Electrical Characteristics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .95  
TMS320C6678 Peripheral Information and Electrical Specifications. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .97  
7.1 Parameter Information . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .97  
7.1.1 1.8-V Signal Transition Levels. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .97  
7.1.2 Timing Parameters and Board Routing Analysis . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .98  
7.2 Recommended Clock and Control Signal Transition Behavior . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 100  
7.3 Power Supplies. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 100  
7.3.1 Power-Supply Sequencing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 100  
7.3.2 Power-Down Sequence . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 107  
7.3.3 Power Supply Decoupling and Bulk Capacitors. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 108  
7.3.4 SmartReflex. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 109  
7.4 Enhanced Direct Memory Access (EDMA3) Controller . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 112  
7.4.1 EDMA3 Device-Specific Information . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 113  
7.4.2 EDMA3 Channel Synchronization Events. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 113  
7.4.3 EDMA3 Peripheral Register Description(s). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 117  
7.5 Interrupts . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 169  
7.5.1 Interrupt Sources and Interrupt Controller . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 169  
7.5.2 INTC Registers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 186  
7.5.3 Inter-Processor Register Map . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 192  
7.5.4 External Interrupts Electrical Data/Timing. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 193  
7.6 MPU . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 194  
7.6.1 MPU Registers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 195  
7.6.2 MPU Programmable Range Registers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 199  
7.7 Reset Controller . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 208  
7.7.1 Power-on Reset . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 208  
7.7.2 Hard Reset. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 209  
7.7.3 Soft Reset. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 210  
7.7.4 Local Reset . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 211  
7.7.5 Reset Priority . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 211  
7.7.6 Reset Controller Register . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 211  
7.7.7 Reset Electrical Data / Timing. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 211  
7.8 Main PLL and PLL Controller . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 215  
7.8.1 Main PLL Controller Device-Specific Information . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 216  
7.8.2 PLL Controller Memory Map. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 217  
7.8.3 Main PLL Control Register . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 224  
7.8.4 Main PLL Controller/SRIO/HyperLink/PCIe Clock Input Electrical Data/Timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 224  
7.9 DD3 PLL. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 228  
7.9.1 DDR3 PLL Control Register . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 228  
7.9.2 DDR3 PLL Device-Specific Information. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 229  
7.9.3 DDR3 PLL Input Clock Electrical Data/Timing. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 229  
7.10 PASS PLL. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 230  
7.10.1 PASS PLL Control Register. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 230  
7.10.2 PASS PLL Device-Specific Information . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 231  
7.11 DDR3 Memory Controller. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 232  
7.11.1 DDR3 Memory Controller Device-Specific Information . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 232  
7.11.2 DDR3 Memory Controller Electrical Data/Timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 233  
7.12 I2C Peripheral . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 233  
7.12.1 I2C Device-Specific Information . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 233  
7.12.2 I2C Peripheral Register Description(s). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 234  
7.12.3 I2C Electrical Data/Timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 235  
6
7
4
Contents  
Copyright 2010 Texas Instruments Incorporated  
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
7.13 SPI Peripheral . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 238  
7.13.1 SPI Electrical Data/Timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 238  
7.14 HyperLink Peripheral . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 241  
7.15 UART Peripheral. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 243  
7.16 PCIe Peripheral. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 244  
7.17 TSIP Peripheral . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 244  
7.18 EMIF16 Peripheral . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 244  
7.19 Packet Accelerator . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 245  
7.20 Security Accelerator . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 245  
7.21 Ethernet MAC (EMAC) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 246  
7.22 Management Data Input/Output (MDIO). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 247  
7.23 Timers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 248  
7.23.1 Timers Device-Specific Information. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 248  
7.23.2 Timers Electrical Data/Timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 248  
7.24 Serial RapidIO (SRIO) Port. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 249  
7.25 General-Purpose Input/Output (GPIO) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 250  
7.25.1 GPIO Device-Specific Information . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 250  
7.25.2 GPIO Electrical Data/Timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 250  
7.26 Semaphore2 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 250  
7.27 Emulation Features and Capability. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 251  
7.27.1 Advanced Event Triggering (AET) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 251  
7.27.2 Trace . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 251  
7.27.3 IEEE 1149.1 JTAG . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 252  
Mechanical Data . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 255  
8.1 Thermal Data. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 255  
8.2 Packaging Information . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 255  
8.3 Package CYP . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 256  
8
Copyright 2010 Texas Instruments Incorporated  
Contents  
5
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
List of Figures  
Figure 1-1  
Figure 2-1  
Figure 2-2  
Figure 2-3  
Figure 2-4  
Figure 2-5  
Figure 2-6  
Figure 2-7  
Figure 2-8  
Figure 2-9  
Functional Block Diagram . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .14  
TMS320C6678 DSP Core Data Paths . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .18  
Boot Mode Pin Decoding . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .26  
Sleep / EMIF16 Configuration Bit Fields. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .27  
Ethernet (SGMII) Device Configuration Bit Fields. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .27  
Serial Rapid I/O Device Configuration Bit Fields. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .28  
PCI Device Configuration Bit Fields. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .28  
I2C Master Mode Device Configuration Bit Fields . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .29  
I2C Passive Mode Device Configuration Bit Fields . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .30  
SPI Device Configuration Bit Fields . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .30  
Figure 2-10 HyperLink Boot Device Configuration Fields. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .31  
Figure 2-11 CYP 841-Pin BGA Package Bottom View . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .32  
Figure 3-1  
Figure 3-2  
Figure 3-3  
Figure 3-4  
Figure 3-5  
Figure 3-6  
Figure 3-7  
Figure 3-8  
Figure 3-9  
Device Status Register . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .64  
Device Configuration Register (DEVCFG) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .65  
JTAG ID (JTAGID) Register. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .65  
LRESETNMI PIN Status Register (LRSTNMIPINSTAT) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .66  
LRESETNMI PIN Status Clear Register (LRSTNMIPINSTAT_CLR) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .67  
Reset Status Register (RESET_STAT) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .68  
Reset Status Clear Register (RESET_STAT_CLR). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .69  
Boot Complete Register (BOOTCOMPLETE) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .70  
Power State Control Register (PWRSTATECTL) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .71  
Figure 3-10 NMI Generation Register (NMIGRx). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .71  
Figure 3-11 IPC Generation Registers (IPCGRx) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .72  
Figure 3-12 IPC Acknowledgement Registers (IPCARx). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .73  
Figure 3-13 IPC Generation Registers (IPCGRH) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .73  
Figure 3-14 IPC Acknowledgement Register (IPCARH) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .74  
Figure 3-15 Timer Input Selection Register (TINPSEL) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .75  
Figure 3-16 Timer Output Selection Register (TOUTPSEL) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .77  
Figure 3-17 Reset Mux Register RSTMUXx . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .78  
Figure 4-1  
Figure 5-1  
Figure 5-2  
Figure 5-3  
Figure 5-4  
Figure 7-1  
Figure 7-2  
Figure 7-3  
Figure 7-4  
Figure 7-5  
Figure 7-6  
Figure 7-7  
Figure 7-8  
Figure 7-9  
Packed DMA Priority Allocation Register (PKTDMA_PRI_ALLOC). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .84  
C66x CorePac Block Diagram . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .85  
TMS320C6678 L1P Memory Configurations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .86  
TMS320C6678 L1D Memory Configurations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .87  
TMS320C6678 L2 Memory Configurations. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .88  
Test Load Circuit for AC Timing Measurements . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .97  
Input and Output Voltage Reference Levels for AC Timing Measurements . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .97  
Rise and Fall Transition Time Voltage Reference Levels. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .98  
Board-Level Input/Output Timings . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .99  
POR-Controlled Power Sequencing — Core Before IO. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .102  
POR-Controlled Power Sequencing — IO Before Core. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .103  
RESETFULL-Controlled Device Initialization — Core Before IO . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .105  
RESETFULL-Controlled Device Initialization — IO Before Core . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .106  
SmartReflex 4-Pin VID Interface Timing. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .109  
Figure 7-10 SmartReflex I2C Interface Receive Timings. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .110  
Figure 7-11 SmartReflex I2C Interface Transmit Timings. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .111  
Figure 7-12 TMS320C6678 Interrupt Topology . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .170  
Figure 7-13 NMI and Local Reset Timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .193  
Figure 7-14 Configuration Register (CONFIG). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .199  
Figure 7-15 Programmable Range n Start Address Register (PROGn_MPSAR) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .200  
Figure 7-16 Programmable Range n End Address Register (PROGn_MPEAR). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .202  
Figure 7-17 Programmable Range n Memory Protection Page Attribute Register (PROGn_MPPA) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .205  
6
List of Figures  
Copyright 2010 Texas Instruments Incorporated  
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
Figure 7-18 Power-On Reset Timing. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .212  
Figure 7-19 Full-Reset Timing. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .212  
Figure 7-20 Hard-Reset Timing. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .213  
Figure 7-21 Soft-Reset Timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .213  
Figure 7-22 Boot Configuration Timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .213  
Figure 7-23 Main PLL and PLL Controller . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .215  
Figure 7-24 PLL Secondary Control Register (SECCTL)) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .219  
Figure 7-25 PLL Controller Divider Register (PLLDIVn) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .219  
Figure 7-26 PLL Controller Clock Align Control Register (ALNCTL) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .220  
Figure 7-27 PLLDIV Divider Ratio Change Status Register (DCHANGE) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .220  
Figure 7-28 SYSCLK Status Register (SYSTAT) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .221  
Figure 7-29 Reset Type Status Register (RSTYPE). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .221  
Figure 7-30 Reset Control Register (RSTCTRL) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .222  
Figure 7-31 Reset Configuration Register (RSTCFG) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .223  
Figure 7-32 Reset Isolation Register (RSISO) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .223  
Figure 7-33 Main PLL Control Register (MAINPLLCTL) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .224  
Figure 7-34 Main PLL Controller/SRIO/HyperLink/PCIe Clock Input Timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .226  
Figure 7-35 PLL Transition Time. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .227  
Figure 7-36 DDR3 PLL Block Diagram . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .228  
Figure 7-37 DDR3 PLL Control Register (DDR3PLLCTL) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .228  
Figure 7-38 DDR3 PLL DDRCLK Timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .229  
Figure 7-39 PASS PLL Block Diagram . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .230  
Figure 7-40 PASS PLL Control Register (PASSPLLCTL) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .230  
Figure 7-41 PASS PLL Timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .231  
Figure 7-42 I2C Module Block Diagram . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .234  
Figure 7-43 I2C Receive Timings . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .236  
Figure 7-44 I2C Transmit Timings . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .237  
Figure 7-45 SPI Master Mode Timing Diagrams — Base Timings for 3 Pin Mode. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .240  
Figure 7-46 SPI Additional Timings for 4 Pin Master Mode with Chip Select Option . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .240  
Figure 7-47 HyperLink Station Management Clock Timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .242  
Figure 7-48 HyperLink Station Management Transmit Timing. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .242  
Figure 7-49 HyperLink Station Management Receive Timing. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .242  
Figure 7-50 UART Receive Timing Waveform . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .243  
Figure 7-51 UART CTS (Clear-to-Send Input) — Autoflow Timing Waveform . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .243  
Figure 7-52 UART Transmit Timing Waveform . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .244  
Figure 7-53 UART RTS (Request-to-Send Output) — Autoflow Timing Waveform . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .244  
Figure 7-54 MACID1 Register . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .246  
Figure 7-55 MACID2 Register . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .246  
Figure 7-56 MDIO Input Timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .247  
Figure 7-57 MDIO Output Timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .247  
Figure 7-58 Timer Timing. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .249  
Figure 7-59 GPIO Timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .250  
Figure 7-60 Trace Timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .252  
Figure 7-61 JTAG Test-Port Timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .253  
Figure 7-62 HS-RTDX Timing. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .253  
Figure 8-1  
CYP (S–PBGA–N841) Pb-Free Plastic Ball Grid Array . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .256  
Copyright 2010 Texas Instruments Incorporated  
List of Figures  
7
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
List of Tables  
Table 2-1  
Table 2-2  
Table 2-3  
Table 2-4  
Table 2-5  
Table 2-6  
Table 2-7  
Table 2-8  
Table 2-9  
Table 2-10  
Table 2-11  
Table 2-12  
Table 2-13  
Table 2-14  
Table 2-15  
Table 2-16  
Table 2-17  
Table 2-18  
Characteristics of the TMS320C6678 Processor . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .15  
Memory Map Summary for TMS320C6678. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .19  
Boot Mode Pins: Boot Device Values . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .26  
Sleep / EMIF16 Configuration Bit Field Descriptions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .27  
Ethernet (SGMII) Configuration Bit Field Descriptions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .27  
Serial Rapid I/O Configuration Bit Field Descriptions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .28  
PCI Device Configuration Bit Field Descriptions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .28  
BAR Config / PCIe Window Sizes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .29  
I2C Master Mode Device Configuration Field Descriptions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .29  
I2C Passive Mode Device Configuration Field Descriptions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .30  
SPI Device Configuration Field Descriptions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .30  
HyperLink Boot Device Configuration Field Descriptions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .31  
C66x DSP System PLL Configuration . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .31  
I/O Functional Symbol Definitions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .32  
Terminal Functions — Signals and Control by Function . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .33  
Terminal Functions — Power and Ground. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .45  
Terminal Functions — By Signal Name . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .46  
Terminal Functions  
— By Ball Number . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .51  
TMS320C6678 Device Configuration Pins. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .61  
Device State Control Registers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .62  
Device Status Register Field Descriptions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .64  
Device Configuration Register Field Descriptions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .65  
JTAG ID Register Field Descriptions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .66  
LRESETNMI PIN Status Register (LRSTNMIPINSTAT) Field Descriptions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .66  
LRESETNMI PIN Status Clear Register (LRSTNMIPINSTAT_CLR) Field Descriptions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .67  
Reset Status Register (RESET_STAT) Field Descriptions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .68  
Reset Status Clear Register (RESET_STAT_CLR) Field Descriptions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .69  
Boot Complete Register (BOOTCOMPLETE) Field Descriptions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .70  
Power State Control Register (PWRSTATECTL) Field Descriptions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .71  
NMI Generation Register (NMIGRx) Field Descriptions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .72  
IPC Generation Registers (IPCGRx) Field Descriptions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .72  
IPC Acknowledgement Registers (IPCARx) Field Descriptions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .73  
IPC Generation Registers (IPCGRH) Field Descriptions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .74  
IPC Acknowledgement Register (IPCARH) Field Descriptions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .74  
Timer Input Selection Field Description (TINPSEL) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .75  
Timer Output Selection Field Description (TOUTPSEL) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .77  
Reset Mux Register Field Descriptions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .78  
DSP/2 Data SCR Connection Matrix . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .82  
DSP/3 Data SCR Connection Matrix . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .82  
Packed DMA Priority Allocation Register (PKTDMA_PRI_ALLOC) Field Descriptions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .84  
Available Memory Page Protection Schemes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .89  
C66x CorePac Reset (Global or Local). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .90  
CorePac Revision ID Register (MM_REVID) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .91  
CorePac Revision ID Register (MM_REVID) Field Descriptions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .91  
Absolute Maximum Ratings. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .93  
Recommended Operating Conditions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .94  
Electrical Characteristics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .95  
Board-Level Timing Example. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .98  
Power Supply Rails on TMS320C6678 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .100  
POR-Controlled Power Sequencing — Core Before IO. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .102  
Table 3-1  
Table 3-2  
Table 3-3  
Table 3-4  
Table 3-5  
Table 3-6  
Table 3-7  
Table 3-8  
Table 3-9  
Table 3-10  
Table 3-11  
Table 3-12  
Table 3-13  
Table 3-14  
Table 3-15  
Table 3-16  
Table 3-17  
Table 3-18  
Table 3-19  
Table 4-1  
Table 4-2  
Table 4-3  
Table 5-1  
Table 5-2  
Table 5-3  
Table 5-4  
Table 6-1  
Table 6-2  
Table 6-3  
Table 7-1  
Table 7-2  
Table 7-3  
8
List of Tables  
Copyright 2010 Texas Instruments Incorporated  
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
Table 7-4  
Table 7-5  
Table 7-6  
Table 7-7  
Table 7-8  
Table 7-9  
POR-Controlled Power Sequencing — IO Before Core. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .104  
RESETFULL-Controlled Device Initialization — Core Before IO . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .105  
RESETFULL-Controlled Device Initialization — IO Before Core . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .107  
Clock Sequencing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .108  
SmartReflex 4-Pin VID Interface Switching Characteristics. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .109  
SmartReflex I2C Interface Timing Requirements . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .109  
SmartReflex I2C Interface Switching Characteristics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .110  
EDMA3 Parameter RAM Contents . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .113  
TPCC0 Events for C6678 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .114  
TPCC1 Events for C6678 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .114  
TPCC3 Events for C6678 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .116  
EDMA3 Channel Controller 0 Registers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .117  
EDMA3 Channel Controller 1 Registers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .128  
EDMA3 Channel Controller 2 Registers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .141  
EDMA3 Channel Controller 0 Parameter RAM. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .154  
EDMA3 Channel Controller 1 Parameter RAM. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .154  
EDMA3 Channel Controller 2 Parameter RAM. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .155  
EDMA3 TPCC0 Transfer Controller 0 Registers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .155  
EDMA3 TPCC0 Transfer Controller 1 Registers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .157  
EDMA3 TPCC 1 Transfer Controller 0 Registers. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .158  
EDMA3 TPCC1 Transfer Controller 1 Registers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .159  
EDMA3 TPCC1 Transfer Controller 2 Registers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .161  
EDMA3 TPCC1 Transfer Controller 3 Registers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .162  
EDMA3 TPCC2 Transfer Controller 0 Registers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .164  
EDMA3 TPCC2 Transfer Controller 1 Registers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .165  
EDMA3 TPCC2 Transfer Controller 2 Registers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .166  
EDMA3 TPCC2 Transfer Controller 3 Registers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .168  
TMS320C6678 System Event Mapping — C66x CorePac Primary Interrupts . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .171  
INTC0 Event Inputs (Secondary Interrupts for C66x CorePacs) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .174  
INTC1 Event Inputs (Secondary Interrupts for C66x CorePacs) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .178  
INTC2 Event Inputs (Secondary Events for TPCC1 and TPCC2) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .182  
INTC3 Event Inputs (Secondary Events for TPCC0 and HyperLink) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .185  
INTC0/INTC1 Register. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .187  
INTC2 Register . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .189  
INTC3 Register . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .191  
IPC Generation Registers (IPCGRx) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .192  
NMI and Local Reset Timing Requirements . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .193  
MPU Default Configuration . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .194  
MPU Memory Regions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .194  
Device Master Settings . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .194  
MPU0 Registers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .195  
MPU1 Registers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .196  
MPU2 Registers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .197  
MPU3 Registers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .198  
Configuration Register (CONFIG) Field Descriptions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .199  
Programmable Range n Start Address Register (PROGn_MPSAR) Field Descriptions (MPU0) . . . . . . . . . . . . . . . . . . . . . . . .200  
Programmable Range n Start Address Register (PROGn_MPSAR) Field Descriptions (MPU1) . . . . . . . . . . . . . . . . . . . . . . . .201  
Programmable Range n Start Address Register (PROGn_MPSAR) Field Descriptions (MPU2) . . . . . . . . . . . . . . . . . . . . . . . .201  
Programmable Range n Start Address Register (PROGn_MPSAR) Field Descriptions (MPU3) . . . . . . . . . . . . . . . . . . . . . . . .202  
Programmable Range n End Address Register (PROGn_MPEAR) Field Descriptions (MPU0). . . . . . . . . . . . . . . . . . . . . . . . .202  
Programmable Range n End Address Register (PROGn_MPEAR) Field Descriptions (MPU1) . . . . . . . . . . . . . . . . . . . . . . . .203  
Programmable Range n End Address Register (PROGn_MPEAR) Field Descriptions (MPU2). . . . . . . . . . . . . . . . . . . . . . . . .203  
Programmable Range n End Address Register (PROGn_MPEAR) Field Descriptions (MPU3) . . . . . . . . . . . . . . . . . . . . . . . .204  
Programmable Range n Memory Protection Page Attribute Register (PROGn_MPPA) Field Descriptions . . . . . . . . . . . .205  
Table 7-10  
Table 7-11  
Table 7-12  
Table 7-13  
Table 7-14  
Table 7-15  
Table 7-16  
Table 7-17  
Table 7-18  
Table 7-19  
Table 7-20  
Table 7-21  
Table 7-22  
Table 7-23  
Table 7-24  
Table 7-25  
Table 7-26  
Table 7-27  
Table 7-28  
Table 7-29  
Table 7-30  
Table 7-31  
Table 7-32  
Table 7-33  
Table 7-34  
Table 7-35  
Table 7-36  
Table 7-37  
Table 7-38  
Table 7-39  
Table 7-40  
Table 7-41  
Table 7-42  
Table 7-43  
Table 7-44  
Table 7-45  
Table 7-46  
Table 7-47  
Table 7-48  
Table 7-49  
Table 7-50  
Table 7-51  
Table 7-52  
Table 7-53  
Table 7-54  
Table 7-55  
Table 7-56  
Table 7-57  
Copyright 2010 Texas Instruments Incorporated  
List of Tables  
9
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
Table 7-58  
Table 7-59  
Table 7-60  
Table 7-61  
Table 7-62  
Table 7-63  
Table 7-64  
Table 7-65  
Table 7-66  
Table 7-67  
Table 7-68  
Table 7-69  
Table 7-70  
Table 7-71  
Table 7-72  
Table 7-73  
Table 7-74  
Table 7-75  
Table 7-76  
Table 7-77  
Table 7-78  
Table 7-79  
Table 7-80  
Table 7-81  
Table 7-82  
Table 7-83  
Table 7-84  
Table 7-85  
Table 7-86  
Table 7-87  
Table 7-88  
Table 7-89  
Table 7-90  
Table 7-91  
Table 7-92  
Table 7-93  
Table 7-94  
Table 7-95  
Table 7-96  
Table 7-97  
Table 7-98  
Table 7-99  
Programmable Range n Memory Protection Page Attribute Register (PROGn_MPPA) Reset Values . . . . . . . . . . . . . . . . .207  
Reset Types . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .208  
Reset Timing Requirements. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .211  
Reset Switching Characteristics Over Recommended Operating Conditions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .212  
Boot Configuration Timing Requirements . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .213  
Main PLL Stabilization, Lock, and Reset Times . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .217  
PLL Controller Registers (Including Reset Controller). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .218  
PLL Secondary Control Register (SECCTL) Field Descriptions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .219  
PLL Controller Divider Register (PLLDIVn) Field Descriptions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .219  
PLL Controller Clock Align Control Register (ALNCTL) Field Descriptions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .220  
PLLDIV Divider Ratio Change Status Register (DCHANGE) Field Descriptions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .221  
SYSCLK Status Register (SYSTAT) Field Descriptions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .221  
Reset Type Status Register (RSTYPE) Field Descriptions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .222  
Reset Control Register (RSTCTRL) Field Descriptions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .222  
Reset Configuration Register (RSTCFG) Field Descriptions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .223  
Reset Isolation Register (RSISO) Field Descriptions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .224  
Main PLL Control Register Field Descriptions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .224  
Main PLL Controller/SRIO/HyperLink/PCIe Clock Input Timing Requirements . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .225  
DDR3 PLL Control Register Field Descriptions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .228  
DDR3 PLL DDRREFCLK(N|P) Timing Requirements . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .229  
PASS PLL Control Register Field Descriptions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .230  
PASS PLL Timing Requirements . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .231  
I2C Registers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .234  
I2C Timing Requirements . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .235  
I2C Switching Characteristics. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .236  
SPI Timing Requirements . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .238  
SPI Switching Characteristics. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .238  
HyperLink Peripheral Timing Requirements . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .241  
HyperLink Peripheral Switching Characteristics. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .241  
UART Timing Requirements. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .243  
UART Switching Characteristics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .244  
MACID1 Register Field Descriptions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .246  
MACID2 Register Field Descriptions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .246  
MDIO Timing Requirements . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .247  
MDIO Switching Characteristics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .247  
Timer Input Timing Requirements . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .248  
Timer Output Switching Characteristics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .248  
GPIO Input Timing Requirements . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .250  
GPIO Output Switching Characteristics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .250  
Trace Switching Characteristics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .251  
JTAG Test Port Timing Requirements. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .252  
JTAG Test Port Switching Characteristics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .252  
Table 7-100 HS-RTDX Switching Characteristics. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .253  
Table 8-1 Thermal Resistance Characteristics (PBGA Package) [CMH/GMH] . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .255  
10  
List of Tables  
Copyright 2010 Texas Instruments Incorporated  
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
1 Features  
Eight TMS320C66x™ DSP Core Subsystems (C66x  
CorePacs), Each with  
– 1.25 GHz C66x Fixed/Floating-Point CPU Core  
Supports Direct I/O, Message Passing  
Supports Four 1×, Two 2×, One 4×, and Two 1x +  
One 2x Link Configurations  
40 GMAC/Core for Fixed Point @ 1.25 GHz  
20 GFLOP/Core for Floating Point @ 1.25 GHz  
– Two Lanes PCIe Gen2  
Supports Up To 5 GBaud Per Lane  
– HyperLink  
– Memory  
32K Byte L1P Per Core  
32K Byte L1D Per Core  
512K Byte Local L2 Per Core  
Supports Connections to Other KeyStone  
Architecture Devices Providing Resource  
Scalability  
Supports up to 50 Gbaud  
Multicore Shared Memory Controller (MSMC)  
– Ethernet MAC Subsystem (EMAC)  
– 4096 KB MSM SRAM Memory Shared by Eight DSP  
Two SGMII Ports  
Supports 10/100/1000 Mbps operation  
C66x CorePacs  
– Memory Protection Unit for Both MSM SRAM and  
DDR3_EMIF  
– 64-Bit DDR3 Interface (DDR3-1600)  
8G Byte Addressable Memory Space  
– 16-Bit EMIF  
Multicore Navigator  
– 8192 Multipurpose Hardware Queues with Queue  
Support For Up To 256MB NAND Flash and  
16MB NOR Flash  
Support For Asynchronous SRAM up to 1MB  
Manager  
– Packet-Based DMA for Zero-Overhead Transfers  
– Two Telecom Serial Ports (TSIP)  
Network Coprocessors  
– Packet Accelerator Enables Support for  
Supports 1024 DS0s Per TSIP  
Supports 2/4/8 Lanes at 32.768/16.384/8.192  
Mbps Per Lane  
Transport Plane IPsec, GTP-U, SCTP, PDCP  
L2 User Plane PDCP (RoHC, Air Ciphering)  
1 Gbps Wire Speed Throughput at 1.5M Packets  
Per Second  
– UART Interface  
– I2C Interface  
– 16 GPIO Pins  
– Security Accelerator Engine Enables Support for  
– SPI Interface  
IPSec, SRTP, 3GPP, WiMAX Air Interface, and  
SSL/TLS Security  
– Semaphore Module  
– Sixteen 64-Bit Timers  
– Three On-Chip PLLs  
ECB, CBC, CTR, F8, A5/3, CCM, GCM, HMAC,  
CMAC, GMAC, AES, DES, 3DES, Kasumi, SNOW  
3G, SHA-1, SHA-2 (256-bit Hash), MD5  
Commercial Temperature:  
– 0°C to 100°C  
Up to 2.8 Gbps Encryption Speed  
Peripherals  
– Four Lanes of SRIO 2.1  
Extended Temperature:  
– - 40°C to 105°C  
1.24/2.5/3.125/5 GBaud Operation Supported  
Per Lane  
Copyright 2010 Texas Instruments Incorporated  
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
1.1 KeyStone Architecture  
TI’s KeyStone Multicore Architecture provides a high performance structure for integrating RISC and DSP cores  
with application specific coprocessors and I/O. KeyStone is the first of its kind that provides adequate internal  
bandwidth for nonblocking access to all processing cores, peripherals, coprocessors, and I/O. This is achieved with  
four main hardware elements: Multicore Navigator, TeraNet, Multicore Shared Memory Controller, and  
HyperLink.  
Multicore Navigator is an innovative packet-based manager that controls 8192 queues. When tasks are allocated to  
the queues, Multicore Navigator provides hardware-accelerated dispatch that directs tasks to the appropriate  
available hardware. The packet-based system on a chip (SoC) uses the two Tbps capacity of the TeraNet switched  
central resource to move packets. The Multicore Shared Memory Controller enables processing cores to access  
shared memory directly without drawing from TeraNet’s capacity, so packet movement cannot be blocked by  
memory access.  
HyperLink provides a 50-Gbps chip-level interconnect that allows SoCs to work in tandem. Its low-protocol  
overhead and high throughput make Hyperlink an ideal interface for chip-to-chip interconnections. Working with  
Multicore Navigator, HyperLink dispatches tasks to tandem devices transparently and executes tasks as if they are  
running on local resources.  
1.2 Device Description  
The TMS320C6678 DSP is a highest-performance fixed/floating-point DSP that is based on TI's KeyStone multicore  
architecture. Integrated with the new and innovative C66x DSP core, this device can run at a core speed of up to 1.25  
GHz. For developers of a broad range of applications, such as mission critical, medical imaging, test and automation  
and other applications requiring high performance, TI's TMS320C6678 DSP offers 10 GHz cumulative DSP and  
enables a platform that is power efficient and easy to use. In addition, it is fully backward compatible with all existing  
C6000 family of fixed and floating point DSPs.  
TI's Keystone architecture provides a programmable platform integrating various subsystems (C66x cores, Memory  
subsystem, Peripherals and accelerators) and uses several innovative components and techniques to maximize intra  
device and inter device communication that allows the various DSP resources to operate efficiently and seamlessly.  
Central to this architecture are key components such as Multicore navigator that allow for efficient data  
management between the various chip components, Teranet switch fabric that is a 2 TB non-blocking switch fabric  
enabling fast and contention free internal data movement, as well as the Multicore shared memory controller that  
allows access to shared and external memory directly without drawing from switch fabric capacity.  
For fixed point use, the C66x core has 4X the multiply accumulate (MAC) capability of current generation C64x+  
cores. In addition, the C66x core integrates floating point capability and the per core raw computational  
performance is an industry-leading 32 MACS/cycle and 16 flops/cycle. It can execute 8 single precision floating  
point MAC operations per cycle and can perform double and mixed precision operations and is IEEE754 compliant.  
The C66x core incorporates 90 new instructions targeted for floating point and vector math oriented processing,  
compared to the C64x+ core. These enhancements yield sizeable performance improvements in popular DSP  
kernels used in signal processing, mathematical, and image acquisition functions. The C66x core is backwards code  
compatible with TI's previous generation C6000 fixed and floating point DSP cores, ensuring software portability  
and shortened software development cycles for applications migrating to faster hardware.  
The C6678 DSP integrates a large amount of on-chip memory. In addition to 32KB of L1 program and data cache,  
there is 512KB of dedicated memory per core that can be configured as mapped RAM or cache. The device also  
integrates 4096KB of Multicore Shared Memory that can be used as a shared L2 SRAM and/or shared L3 SRAM. All  
L2 memories incorporate error detection and error correction. For fast access to external memory this device  
includes 64 bit DDR-3 running at 1600MHz and has ECC DRAM support.  
12  
Copyright 2010 Texas Instruments Incorporated  
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
This family supports a plethora of high speed standard interfaces including RapidIO ver 2, PCI Express Gen2 and  
Gigabit Ethernet, as well as an integrated Ethernet switch. It also includes I2C, UART, Telecom Serial Port (TSIP)  
and a 16 bit EMIF interface, along with general purpose CMOS IO. For high throughput, low latency  
communication between devices or with an FPGA, this device also sports a 50Gbps FD interface called Hyperlink.  
Adding to the network awareness of this device is a network co-processor which includes both packet and optional  
security acceleration. The packet accelerator can process up to 1.5 M packets/s and enables a single IP address to be  
used for the entire multicore C6678 device. It also provides L2 to L4 classification, along with checksum and QoS  
capabilities.  
The C6678 device has a complete set of development tools, which includes: an enhanced C compiler, an assembly  
optimizer to simplify programming and scheduling, and a Windows® debugger interface for visibility into source  
code execution.  
Copyright 2010 Texas Instruments Incorporated  
13  
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
1.3 Functional Block Diagram  
Figure 1-1 shows the functional block diagram of the TMS320C6678 device.  
Figure 1-1  
Functional Block Diagram  
Memory Subsystem  
4MB  
MSM  
SRAM  
64-Bit  
DDR3 EMIF  
MSMC  
Debug & Trace  
Boot ROM  
Semaphore  
C66x™  
CorePac  
Power  
Management  
PLL  
32KB L1  
P-Cache  
32KB L1  
D-Cache  
´3  
´3  
512KB L2 Cache  
EDMA  
8 Cores @ up to 1.25 GHz  
HyperLink  
TeraNet  
Multicore Navigator  
Queue  
Manager  
Packet  
DMA  
Security  
Accelerator  
Packet  
Accelerator  
Network Coprocessor  
14  
Copyright 2010 Texas Instruments Incorporated  
 
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
2 Device Overview  
2.1 Device Characteristics  
Table 2-1 provides an overview of the TMS320C6678 DSP. The table shows significant features of the device,  
including the capacity of on-chip RAM, the peripherals, the DSP frequency, and the package and pin count.  
Table 2-1  
Characteristics of the TMS320C6678 Processor  
HARDWARE FEATURES  
TMS320C6678  
DDR3 Memory Controller (64-bit bus width) [1.5 V I/O]  
(clock source = DDRREFCLKN|P)  
1
EDMA3 (16 independent channels) [DSP/2 clock rate]  
1
2
1
1
2
1
1
1
2
1
1
1
EDMA3 (64 independent channels) [DSP/3 clock rate]  
High-speed 1×/2x/4× Serial RapidIO Port (4 lanes)  
PCIe (2 lanes)  
10/100/1000 Ethernet MAC (EMAC)  
Management Data Input/Output (MDIO)  
Peripherals  
HyperLink  
EMIF16  
TSIP  
SPI  
UART  
I2C  
64-Bit Timers (Configurable)  
(internal clock source = DSP/6 clock frequency)  
16 64-bit (each configurable as 2 32-bit timers)  
General-Purpose Input/Output Port (GPIO)  
Packet Accelerator  
16  
1
Accelerators  
(1)  
Security Accelerator  
1
Size (Bytes)  
8832KB  
256KB L1 Program Memory [SRAM/Cache]  
256KB L1 Data Memory [SRAM/Cache]  
4096KB L2 Unified Memory/Cache  
4096KB MSM SRAM  
On-Chip Memory  
Organization  
128KB L3 ROM  
C66x CorePac  
Revision ID  
CorePac Revision ID Register (address location: 0181 2000h)  
JTAGID register (address location: 0262 0018h)  
See Section 5.6 ‘‘C66x CorePac Revision’’ on page 91.  
See Section 3.3.3 ‘‘JTAG ID (JTAGID) Register  
Description’’ on page 65  
JTAG BSDL_ID  
1250 (1.25 GHz)  
1000 (1.0 GHz)  
1 ns  
Frequency  
Cycle Time  
Voltage  
MHz  
ns  
Core (V)  
I/O (V)  
SmartReflex variable supply  
1.0 V, 1.5 V, and 1.8 V  
0.040 μm  
Process Technology  
Product Status (2)  
End of Table 2-1  
μm  
Product Preview (PP), Advance Information (AI),  
or Production Data (PD)  
PP  
1 The Crypto Accelerator function is subject to export control and will be enabled only for approved device shipments.  
2 PRODUCT PREVIEW information concerns experimental products (designated as TMX) that are in the formative or design phase of  
development. Characteristic data and other specifications are design goals. Texas Instruments reserves the right to change or  
discontinue these products without notice.  
Copyright 2010 Texas Instruments Incorporated  
Device Overview 15  
 
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
2.2 DSP Core Description  
The C66x Digital Signal Processor (DSP) extends the performance of the C64x+ and C674x DSPs through  
enhancements and new features. Many of the new features target increased performance for vector processing. The  
C64x+ and C674x DSPs support 2-way SIMD operations for 16-bit data and 4-way SIMD operations for 8-bit data.  
On the C66x DSP, the vector processing capability is improved by extending the width of the SIMD instructions.  
C66x DSPs can execute instructions that operate on 128-bit vectors. For example the QMPY32 instruction is able to  
perform the element-to-element multiplication between two vectors of four 32-bit data each. The C66x DSP also  
supports SIMD for floating-point operations. Improved vector processing capability (each instruction can process  
multiple data in parallel) combined with the natural instruction level parallelism of C6000 architecture (e.g  
execution of up to 8 instructions per cycle) results in a very high level of parallelism that can be exploited by DSP  
programmers through the use of TI's optimized C/C++ compiler.  
The C66x DSP consists of eight functional units, two register files, and two data paths as shown in Figure 2-1. The  
two general-purpose register files (A and B) each contain 32 32-bit registers for a total of 64 registers. The  
general-purpose registers can be used for data or can be data address pointers. The data types supported include  
packed 8-bit data, packed 16-bit data, 32-bit data, 40-bit data, and 64-bit data. Multiplies also support 128-bit data.  
40-bit-long or 64-bit-long values are stored in register pairs, with the 32 LSBs of data placed in an even register and  
the remaining 8 or 32 MSBs in the next upper register (which is always an odd-numbered register). 128-bit data  
values are stored in register quadruplets, with the 32 LSBs of data placed in a register that is a multiple of 4 and the  
remaining 96 MSBs in the next 3 upper registers.  
The eight functional units (.M1, .L1, .D1, .S1, .M2, .L2, .D2, and .S2) are each capable of executing one instruction  
every clock cycle. The .M functional units perform all multiply operations. The .S and .L units perform a general set  
of arithmetic, logical, and branch functions. The .D units primarily load data from memory to the register file and  
store results from the register file into memory.  
Each C66x .M unit can perform one of the following fixed-point operations each clock cycle: four 32 × 32 bit  
multiplies, sixteen 16 × 16 bit multiplies, four 16 × 32 bit multiplies, four 8 × 8 bit multiplies, four 8 × 8 bit multiplies  
with add operations, and four 16 × 16 multiplies with add/subtract capabilities. There is also support for Galois field  
multiplication for 8-bit and 32-bit data. Many communications algorithms such as FFTs and modems require  
complex multiplication. Each C66x .M unit can perform one 16 × 16 bit complex multiply with or without rounding  
capabilities, two 16 × 16 bit complex multiplies with rounding capability, and a 32 × 32 bit complex multiply with  
rounding capability. The C66x can also perform two 16 × 16 bit and one 32 × 32 bit complex multiply instructions  
that multiply a complex number with a complex conjugate of another number with rounding capability.  
Communication signal processing also requires an extensive use of matrix operations. Each C66x .M unit is capable  
of multiplying a [1 × 2] complex vector by a [2 × 2] complex matrix per cycle with or without rounding capability.  
A version also exists allowing multiplication of the conjugate of a [1 × 2] vector with a [2 × 2] complex matrix.  
Each C66x .M unit also includes IEEE floating-point multiplication operations from the C674x DSP, which includes  
one single-precision multiply each cycle and one double-precision multiply every 4 cycles. There is also a  
mixed-precision multiply that allows multiplication of a single-precision value by a double-precision value and an  
operation allowing multiplication of two single-precision numbers resulting in a double-precision number. The  
C66x DSP improves the performance over the C674x double-precision multiplies by adding a instruction allowing  
one double-precision multiply per cycle and also reduces the number of delay slots from 10 down to 4. Each C66x  
.M unit can also perform one the following floating-point operations each clock cycle: one, two, or four  
single-precision multiplies or a complex single-precision multiply.  
The .L and .S units can now support up to 64-bit operands. This allows for new versions of many of the arithmetic,  
logical, and data packing instructions to allow for more parallel operations per cycle. Additional instructions were  
added yielding performance enhancements of the floating point addition and subtraction instructions, including the  
ability to perform one double precision addition or subtraction per cycle. Conversion to/from integer and  
single-precision values can now be done on both .L and .S units on the C66x. Also, by taking advantage of the larger  
16  
Device Overview  
Copyright 2010 Texas Instruments Incorporated  
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
operands, instructions were also added to double the number of these conversions that can be done. The .L unit also  
has additional instructions for logical AND and OR instructions, as well as, 90 degree or 270 degree rotation of  
complex numbers (up to two per cycle). Instructions have also been added that allow for the computing the  
conjugate of a complex number.  
The MFENCE instruction is a new instruction introduced on the C66x DSP. This instruction will create a DSP stall  
until the completion of all the DSP-triggered memory transactions, including:  
Cache line fills  
Writes from L1D to L2 or from the CorePac to MSMC and/or other system endpoints  
Victim write backs  
Block or global coherence operations  
Cache mode changes  
Outstanding XMC prefetch requests  
This is useful as a simple mechanism for programs to wait for these requests to reach their endpoint. It also provides  
ordering guarantees for writes arriving at a single endpoint via multiple paths, multiprocessor algorithms that  
depend on ordering, and manual coherence operations.  
For more details on the C66x DSP and its enhancements over the C64x+ and C674x architectures, see the following  
documents:  
C66x CPU and Instruction Set Reference Guide (literature number SPRUGH7)  
C66x DSP Cache User Guide (literature number SPRUGY8)  
C66x CorePac User Guide (literature number SPRUGW0)  
Copyright 2010 Texas Instruments Incorporated  
Device Overview 17  
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
Figure 2-1 shows the DSP core functional units and data paths.  
Figure 2-1  
TMS320C6678 DSP Core Data Paths  
Note:  
src1  
Default bus width  
is 64 bits  
(i.e. a register pair)  
.L1  
.S1  
Register  
File A  
(A0, A1, A2,  
...A31)  
src2  
dst  
ST1  
src1  
src2  
dst  
src1  
Data Path A  
src1_hi  
src2  
.M1  
src2_hi  
dst2  
dst1  
LD1  
32  
src1  
dst  
32  
32  
DA1  
.D1  
32  
src2  
32  
2
´
´
1
Register  
File B  
(B0, B1, B2,  
...B31)  
32  
src2  
32  
32  
DA2  
.D2  
32  
dst  
32  
src1  
32  
LD2  
dst1  
dst2  
src2_hi  
.M2  
src2  
src1_hi  
src1  
Data Path B  
dst  
src2  
.S2  
src1  
ST2  
dst  
src2  
.L2  
src1  
32  
32  
Control  
Register  
18  
Device Overview  
Copyright 2010 Texas Instruments Incorporated  
 
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
2.3 Memory Map Summary  
Table 2-2 shows the memory map address ranges of the TMS320C6678 device.  
Table 2-2  
Memory Map Summary for TMS320C6678 (Part 1 of 7)  
Address  
Start  
End  
Bytes  
8M  
Description  
Reserved  
Local L2 SRAM  
Reserved  
Local L1P SRAM  
Reserved  
L1D SRAM  
Reserved  
C66x CorePac Registers  
Reserved  
Tracer 0  
00000000  
00800000  
00880000  
00E00000  
00E08000  
00F00000  
00F08000  
01800000  
01C00000  
01D00000  
01D00080  
01D08000  
01D08080  
01D10000  
01D10080  
01D18000  
01D18080  
01D20000  
01D20080  
01D28000  
01D28080  
01D30000  
01D30080  
01D38000  
01D38080  
01D40000  
01D40080  
01D48000  
01D48080  
01D50000  
01D50080  
01D58000  
01D58080  
01D60000  
01D60080  
01D68000  
01D68080  
01D70000  
01D70080  
01D78000  
007FFFFF  
0087FFFF  
00DFFFFF  
00E07FFF  
00EFFFFF  
00F07FFF  
017FFFFF  
01BFFFFF  
01CFFFFF  
01D0007F  
01D07FFF  
01D0807F  
01D0FFFF  
01D1007F  
01D17FFF  
01D1807F  
01D1FFFF  
01D2007F  
01D27FFF  
01D2807F  
01D2FFFF  
01D3007F  
01D37FFF  
01D3807F  
01D3FFFF  
01D4007F  
01D47FFF  
01D4807F  
01D4FFFF  
01D5007F  
01D57FFF  
01D5807F  
01D5FFFF  
01D6007F  
01D67FFF  
01D6807F  
01D6FFFF  
01D7007F  
01D77FFF  
01D7807F  
512K  
5M+512K  
32K  
1M-32K  
32K  
9M-32K  
4M  
1M  
128  
32K-128  
128  
Reserved  
Tracer 1  
32K-128  
128  
Reserved  
Tracer 2  
32K-128  
128  
Reserved  
Tracer3  
32K-128  
128  
Reserved  
Tracer 4  
32K-128  
128  
Reserved  
Tracer 5  
32K-128  
128  
Reserved  
Tracer 6  
32K-128  
128  
Reserved  
Tracer 7  
32K-128  
128  
Reserved  
Tracer 8  
32K-128  
128  
Reserved  
Tracer 9  
32K-128  
128  
Reserved  
Tracer 10  
Reserved  
Tracer 11  
Reserved  
Tracer 12  
Reserved  
Tracer 13  
Reserved  
Tracer 14  
Reserved  
Tracer 15  
32K-128  
128  
32K-128  
128  
32K-128  
128  
32K-128  
128  
32K-128  
128  
Copyright 2010 Texas Instruments Incorporated  
Device Overview 19  
 
 
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
Table 2-2  
Memory Map Summary for TMS320C6678 (Part 2 of 7)  
Address  
Start  
End  
Bytes  
32K-128  
128  
Description  
01D78080  
01D80000  
01D80080  
01E00000  
01E40000  
01E80000  
01EC0000  
02000000  
020A0000  
02200000  
02200080  
02210000  
02210080  
02220000  
02220080  
02230000  
02230080  
02240000  
02240080  
02250000  
02250080  
02260000  
02260080  
02270000  
02270080  
02280000  
02280080  
02290000  
02290080  
022A0000  
022A0080  
022B0000  
022B0080  
022C0000  
022C0080  
022D0000  
022D0080  
022E0000  
022E0080  
022F0000  
022F0080  
02300000  
02310000  
01D7FFFF  
01D8007F  
01DFFFFF  
01E3FFFF  
01E7FFFF  
01EBFFFF  
01FFFFFF  
0209FFFF  
021FFFFF  
0220007F  
0220FFFF  
0221007F  
0221FFFF  
0222007F  
0222FFFF  
0223007F  
0223FFFF  
0224007F  
0224FFFF  
0225007F  
0225FFFF  
0226007F  
0226FFFF  
0227007F  
0227FFFF  
0228007F  
0228FFFF  
0229007F  
0229FFFF  
022A007F  
022AFFFF  
022B007F  
022BFFFF  
022C007F  
022CFFFF  
022D007F  
022DFFFF  
022E007F  
022EFFFF  
022F007F  
022FFFFF  
0230FFFF  
023101FF  
Reserved  
Tracer 16  
512K-128  
256K  
Reserved  
Telecom Serial Interface Port (TSIP) 0  
256K  
Reserved  
256K  
Telecom Serial Interface Port (TSIP) 1  
1M +256K  
640K  
Reserved  
Packet Accelerator Subsystem Configuration  
Reserved  
Timer0  
1M + 384K  
128  
64K-128  
128  
Reserved  
Timer1  
64K-128  
128  
Reserved  
Timer2  
64K-128  
128  
Reserved  
Timer3  
64K-128  
128  
Reserved  
Timer4  
64K-128  
128  
Reserved  
Timer5  
64K-128  
128  
Reserved  
Timer6  
64K-128  
128  
Reserved  
Timer7  
64K-128  
128  
Reserved  
Timer8  
64K-128  
128  
Reserved  
Timer9  
64K-128  
128  
Reserved  
Timer10  
64K-128  
128  
Reserved  
Timer11  
64K-128  
128  
Reserved  
Timer12  
64K-128  
128  
Reserved  
Timer13  
64K-128  
128  
Reserved  
Timer14  
64K-128  
128  
Reserved  
Timer15  
64K-128  
64K  
Reserved  
Reserved  
PLL Controller  
512  
20  
Device Overview  
Copyright 2010 Texas Instruments Incorporated  
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
Table 2-2  
Memory Map Summary for TMS320C6678 (Part 3 of 7)  
Address  
End  
Start  
Bytes  
64K-512  
256  
64K-256  
1K  
Description  
02310200  
02320000  
02320100  
02330000  
02330400  
02350000  
02351000  
02360000  
02360400  
02368000  
02368400  
02370000  
02370400  
02378000  
02378400  
02380000  
02440000  
02444000  
02450000  
02454000  
02460000  
02464000  
02470000  
02474000  
02480000  
02484000  
02490000  
02494000  
024A0000  
024A4000  
024B0000  
024B4000  
024C0000  
02530000  
02530080  
02540000  
02540400  
02550000  
02600000  
02602000  
02604000  
02606000  
02608000  
0231FFFF  
Reserved  
023200FF  
0232FFFF  
023303FF  
0234FFFF  
02350FFF  
0235FFFF  
023603FF  
02367FFF  
023683FF  
0236FFFF  
023703FF  
02377FFF  
023783FF  
0237FFFF  
0243FFFF  
02443FFF  
0244FFFF  
02453FFF  
0245FFFF  
02463FFF  
0246FFFF  
02473FFF  
0247FFFF  
02483FFF  
0248FFFF  
02493FFF  
0249FFFF  
024A3FFF  
024AFFFF  
024B3FFF  
024BFFFF  
0252FFFF  
0253007F  
0253FFFF  
0254003F  
0254FFFF  
025FFFFF  
02601FFF  
02603FFF  
02605FFF  
02607FFF  
02609FFF  
GPIO  
Reserved  
SmartRlex  
127K  
4K  
Reserved  
Power Sleep Controller (PSC)  
Reserved  
64K-4K  
1K  
Memory Protection Unit (MPU) 0  
Reserved  
31K  
1K  
Memory Protection Unit (MPU) 1  
Reserved  
31K  
1K  
Memory Protection Unit (MPU) 2  
Reserved  
31K  
1K  
Memory Protection Unit (MPU) 3  
Reserved  
31K  
768K  
16K  
48K  
16K  
48K  
16K  
48K  
16K  
48K  
16K  
48K  
16K  
48K  
16K  
48K  
16K  
48K  
448K  
128  
64K-128  
64  
Reserved  
DSP Trace Formatter 0  
Reserved  
DSP Trace Formatter 1  
Reserved  
DSP Trace Formatter 2  
Reserved  
DSP Trace Formatter 3  
Reserved  
DSP Trace Formatter 4  
Reserved  
DSP Trace Formatter 5  
Reserved  
DSP Trace Formatter 6  
Reserved  
DSP Trace Formatter 7  
Reserved  
Reserved  
I2C Data & Control  
Reserved  
UART  
64K-64  
704K  
8K  
Reserved  
Reserved  
Secondary Interrupt Controller (INTC) 0  
Reserved  
8K  
8K  
Secondary Interrupt Controller (INTC) 1  
Reserved  
8K  
8K  
Secondary Interrupt Controller (INTC) 2  
Copyright 2010 Texas Instruments Incorporated  
Device Overview 21  
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
Table 2-2  
Memory Map Summary for TMS320C6678 (Part 4 of 7)  
Address  
Start  
End  
Bytes  
8K  
Description  
0260A000  
0260C000  
0260E000  
02620000  
02620800  
02640000  
02640800  
02650000  
02700000  
02708000  
02720000  
02728000  
02740000  
02748000  
02760000  
02760400  
02768000  
02768400  
02770000  
02770400  
02778000  
02780400  
02780000  
02780400  
02788000  
02788400  
02790000  
02790400  
02798000  
02798400  
027A0000  
027A0400  
027A8000  
027A8400  
027B0000  
027D0000  
027D4000  
027E0000  
027E4000  
027F0000  
027F4000  
02800000  
02804000  
0260BFFF  
0260DFFF  
0261FFFF  
026207FF  
0263FFFF  
026407FF  
0264FFFF  
026FFFFF  
02707FFF  
0271FFFF  
02727FFF  
0273FFFF  
02747FFF  
0275FFFF  
027603FF  
02767FFF  
027683FF  
0276FFFF  
027703FF  
02777FFF  
027783FF  
0277FFFF  
027803FF  
02787FFF  
027883FF  
0278FFFF  
027903FF  
02797FFF  
027983FF  
0279FFFF  
027A03FF  
027A7FFF  
027A83FF  
027AFFFF  
027CFFFF  
027D3FFF  
027DFFFF  
027E3FFF  
027EFFFF  
027F3FFF  
027FFFFF  
02803FFF  
0280FFFF  
Reserved  
8K  
Secondary Interrupt Controller (INTC) 3  
72K  
2K  
Reserved  
Chip-Level Registers (boot cfg)  
126K  
2K  
Reserved  
Semaphore  
64K-2K  
704K  
32K  
96K  
32K  
96K  
32K  
96K  
1K  
Reserved  
Reserved  
EDMA Channel Controller (TPCC) 0  
Reserved  
EDMA Channel Controller (TPCC) 1  
Reserved  
EDMA Channel Controller (TPCC) 2  
Reserved  
EDMA TPCC0 Transfer Controller (TPTC) 0  
Reserved  
31K  
1K  
EDMA TPCC0 Transfer Controller (TPTC) 1  
Reserved  
31K  
1K  
EDMA TPCC1 Transfer Controller (TPTC) 0  
Reserved  
31K  
1K  
EDMA TPCC1 Transfer Controller (TPTC) 1  
Reserved  
31K  
1K  
EDMA TPCC1 Transfer Controller (TPTC) 2  
Reserved  
31K  
1K  
EDMA TPCC1Transfer Controller (TPTC) 3  
Reserved  
31K  
1K  
EDMA TPCC2 Transfer Controller (TPTC) 0  
Reserved  
31K  
1K  
EDMA TPCC2 Transfer Controller (TPTC) 1  
Reserved  
31K  
1K  
EDMA TPCC2 Transfer Controller (TPTC) 2  
Reserved  
31K  
1K  
EDMA TPCC2 Transfer Controller (TPTC) 3  
Reserved  
31K  
128K  
16K  
48K  
16K  
48K  
16K  
48K  
16K  
48K  
Reserved  
TI Embedded Trace Buffer (TETB) core 0  
Reserved  
TI Embedded Trace Buffer (TETB) core 1  
Reserved  
TI Embedded Trace Buffer (TETB) core 2  
Reserved  
TI Embedded Trace Buffer (TETB) core 3  
Reserved  
22  
Device Overview  
Copyright 2010 Texas Instruments Incorporated  
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
Table 2-2  
Memory Map Summary for TMS320C6678 (Part 5 of 7)  
Address  
End  
Start  
Bytes  
16K  
Description  
02810000  
02814000  
02820000  
02824000  
02830000  
02834000  
02840000  
02844000  
02850000  
02858000  
02860000  
02900000  
02908000  
02A00000  
02C00000  
08000000  
08010000  
0BC00000  
0BD00000  
0C000000  
0C400000  
10800000  
10880000  
10900000  
10E00000  
10E08000  
10F00000  
10F08000  
11800000  
11880000  
11900000  
11E00000  
11E08000  
11F00000  
11F08000  
12800000  
12880000  
12900000  
12E00000  
12E08000  
12F00000  
12F08000  
13800000  
02813FFF  
TI Embedded Trace Buffer (TETB) core 4  
0281FFFF  
02823FFF  
0282FFFF  
02833FFF  
0283FFFF  
02843FFF  
0284FFFF  
02857FFF  
0285FFFF  
028FFFFF  
02907FFF  
029FFFFF  
02BFFFFF  
07FFFFFF  
0800FFFF  
0BBFFFFF  
0BCFFFFF  
0BFFFFFF  
0C3FFFFF  
107FFFFF  
1087FFFF  
108FFFFF  
10DFFFFF  
10E07FFF  
10EFFFFF  
10F07FFF  
117FFFFF  
1187FFFF  
118FFFFF  
11DFFFFF  
11E07FFF  
11EFFFFF  
11F07FFF  
127FFFFF  
1287FFFF  
128FFFFF  
12DFFFFF  
12E07FFF  
12EFFFFF  
12F07FFF  
137FFFFF  
1387FFFF  
48K  
Reserved  
16K  
TI Embedded Trace Buffer (TETB) core 5  
48K  
Reserved  
16K  
TI Embedded Trace Buffer (TETB) core 6  
48K  
Reserved  
16K  
TI Embedded Trace Buffer (TETB) core 7  
48K  
Reserved  
32K  
TI Embedded Trace Buffer (TETB) — system  
32K  
Reserved  
640K  
32K  
Reserved  
Serial RapidIO (SRIO) Configuration  
1M-32K  
2M  
Reserved  
Queue Manager Subsystem Configuration  
84M  
64K  
Reserved  
Extended Memory Controller (XMC) Configuration  
60M-64K  
1M  
Reserved  
Multicore Shared Memory Controller (MSMC) Config  
Reserved  
3M  
4M  
Multicore Shared Memory  
Reserved  
68 M  
512K  
512K  
5M  
Core0 L2 SRAM  
Reserved  
Reserved  
32K  
Core0 L1P SRAM  
Reserved  
1M-32K  
32K  
Core0 L1D SRAM  
Reserved  
9M-32K  
512K  
512K  
5M  
Core1 L2 SRAM  
Reserved  
Reserved  
32K  
Core1 L1P SRAM  
Reserved  
1M-32K  
32K  
Core1 L1D SRAM  
Reserved  
9M-32K  
512K  
512K  
5M  
Core2 L2 SRAM  
Reserved  
Reserved  
32K  
Core2 L1P SRAM  
Reserved  
1M-32K  
32K  
Core2 L1D SRAM  
Reserved  
9M-32K  
512K  
Core3 L2 SRAM  
Copyright 2010 Texas Instruments Incorporated  
Device Overview 23  
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
Table 2-2  
Memory Map Summary for TMS320C6678 (Part 6 of 7)  
Address  
Start  
End  
Bytes  
512K  
5M  
Description  
Reserved  
13880000  
13900000  
13E00000  
13E08000  
13F00000  
13F08000  
14800000  
14880000  
14900000  
14E00000  
14E08000  
14F00000  
14F08000  
15800000  
15880000  
15900000  
15E00000  
15E08000  
15F00000  
15F08000  
16800000  
16880000  
16900000  
16E00000  
16E08000  
16F00000  
16F08000  
17800000  
17880000  
17900000  
17E00000  
17E08000  
17F00000  
17F08000  
20000000  
20100000  
20B00000  
20B20000  
20BF0000  
20BF0400  
20C00000  
20C00100  
21000000  
138FFFFF  
13DFFFFF  
13E07FFF  
13EFFFFF  
13F07FFF  
147FFFFF  
1487FFFF  
148FFFFF  
14DFFFFF  
14E07FFF  
14EFFFFF  
14F07FFF  
157FFFFF  
1587FFFF  
158FFFFF  
15DFFFFF  
15E07FFF  
15EFFFFF  
15F07FFF  
167FFFFF  
1687FFFF  
168FFFFF  
16DFFFFF  
16E07FFF  
16EFFFFF  
16F07FFF  
177FFFFF  
1787FFFF  
178FFFFF  
17DFFFFF  
17E07FFF  
17EFFFFF  
17F07FFF  
1FFFFFFF  
200FFFFF  
20AFFFFF  
20B1FFFF  
20BEFFFF  
20BF03FF  
20BFFFFF  
20C000FF  
20FFFFFF  
210000FF  
Reserved  
32K  
Core3 L1P SRAM  
Reserved  
1M-32K  
32K  
Core3 L1D SRAM  
Reserved  
9M-32K  
512K  
512K  
5M  
Core4 L2 SRAM  
Reserved  
Reserved  
32K  
Core4 L1P SRAM  
Reserved  
1M-32K  
32K  
Core4 L1D SRAM  
Reserved  
9M-32K  
512K  
512K  
5M  
Core5 L2 SRAM  
Reserved  
Reserved  
32K  
Core5 L1P SRAM  
Reserved  
1M-32K  
32K  
Core5 L1D SRAM  
Reserved  
9M-32K  
512K  
512K  
5M  
Core6 L2 SRAM  
Reserved  
Reserved  
32K  
Core6 L1P SRAM  
Reserved  
1M-32K  
32K  
Core6 L1D SRAM  
Reserved  
9M-32K  
512K  
512K  
5M  
Core7 L2 SRAM  
Reserved  
Reserved  
32K  
Core7 L1P SRAM  
Reserved  
1M-32K  
32K  
Core7 L1D SRAM  
Reserved  
129M-32K  
1M  
System Trace Manager (STM) Configuration  
Reserved  
10M  
128K  
832K  
1K  
Boot ROM  
Reserved  
SPI  
63K  
Reserved  
256  
EMIF-16 Config  
Reserved  
12M - 256  
256  
DDR3 EMIF Configuration  
24  
Device Overview  
Copyright 2010 Texas Instruments Incorporated  
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
Table 2-2  
Memory Map Summary for TMS320C6678 (Part 7 of 7)  
Address  
End  
Start  
Bytes  
4M-256  
1K  
Description  
21000100  
21400000  
21400400  
21800000  
21808000  
34000000  
34200000  
40000000  
50000000  
60000000  
70000000  
74000000  
78000000  
7C000000  
80000000  
90000000  
A0000000  
B0000000  
C0000000  
D0000000  
E0000000  
F0000000  
End of Table 2-2  
213FFFFF  
Reserved  
214003FF  
217FFFFF  
21807FFF  
33FFFFFF  
341FFFFF  
3FFFFFFF  
4FFFFFFF  
5FFFFFFF  
6FFFFFFF  
73FFFFFF  
77FFFFFF  
7BFFFFFF  
7FFFFFFF  
8FFFFFFF  
9FFFFFFF  
AFFFFFFF  
BFFFFFFF  
CFFFFFFF  
DFFFFFFF  
EFFFFFFF  
FFFFFFFF  
HyperLink Config  
Reserved  
4M-1K  
32K  
PCIe Config  
296M-32K  
2M  
Reserved  
Queue Manager Subsystem Data  
Reserved  
190M  
256M  
256M  
256M  
64M  
HyperLink data  
Reserved  
PCIe Data  
EMIF16 CS2 Data NAND Memory  
EMIF16 CS3 Data NAND Memory  
EMIF16 CS4 Data NOR Memory  
EMIF16 CS5 Data SRAM Memory  
DDR3_ Data  
64M  
64M  
64M  
256M  
256M  
256M  
256M  
256M  
256M  
256M  
256M  
DDR3_ Data  
DDR3_ Data  
DDR3_ Data  
DDR3_ Data  
DDR3_ Data  
DDR3_ Data  
DDR3_ Data  
2.4 Boot Sequence  
The boot sequence is a process by which the DSP's internal memory is loaded with program and data sections. The  
DSP's internal registers are programmed with predetermined values. The boot sequence is started automatically  
after each power-on reset, warm reset, and system reset. A local reset to an individual C66x CorePac should not affect  
the state of the hardware boot controller on the device. For more details on the initiators of the resets, see section  
‘‘Reset Controller’’.  
The C6678 supports several boot processes that begins execution at the ROM base address, which contains the  
bootloader code necessary to support various device boot modes. The boot processes are software-driven and use  
the BOOTMODE[12:0] device configuration inputs to determine the software configuration that must be  
completed. For more details on Boot Sequence see the Bootloader for the C66x DSP User Guide (literature number  
SPRUGY5).  
Copyright 2010 Texas Instruments Incorporated  
Device Overview 25  
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
2.5 Boot Modes Supported and PLL Settings  
The device supports several boot processes, which leverage the internal boot ROM. Most boot processes are software  
driven, using the BOOTMODE[3:0] device configuration inputs to determine the software configuration that must  
be completed. From a hardware perspective, there are two possible boot modes:  
Public ROM Boot - C66x CorePac 0 is released from reset and begins executing from the L3 ROM base  
address. After performing the boot process (e.g., from I2C ROM, Ethernet, or RapidIO), the C66x CorePac 0  
then begins execution from the provided boot entry point, other C66x CorePac’s are released from reset based  
on interrupts generated by C66x CorePac 0, see the Bootloader for the C66x DSP User Guide (literature number  
SPRUGY5) for more details.  
Secure ROM Boot - On secure devices, the C66x CorePac 0 is released from reset and begin executing from  
secure ROM. Software in the secure ROM will free up internal RAM pages, after which the C66x CorePac 0  
initiates the boot process. The C66x CorePac 0 performs any authentication and decryption required on the  
bootloaded image prior to beginning execution.  
The boot process performed by the C66x CorePac 0 in public ROM boot and secure ROM boot are determined by  
the BOOTMODE[12:0] value in the DEVSTAT register. The C66x CorePac 0 reads this value, and then executes the  
associated boot process in software. Figure 2-2 shows the bits associated with BOOTMODE[12:0].  
Figure 2-2  
Boot Mode Pin Decoding  
Boot Mode Pins  
6
12  
11  
10  
9
8
7
5
4
3
2
1
0
PLL Mult  
Device Configuration  
Boot Device  
I2C /SPI Ext Dev Cfg  
2.5.1 Boot Device Field  
The Boot Device field BOOTMODE[2:0] defines the boot device that is chosen. Table 2-3 shows the supported boot  
modes.  
Table 2-3  
Boot Mode Pins: Boot Device Values  
Bit  
Field  
Value  
Description  
2-0  
Boot Device  
0
1
2
3
4
5
6
7
Sleep / test modes / EMIF16  
Serial Rapid I/O  
Ethernet (SGMII) (PA driven from core clk)  
Ethernet (SGMII) (PA driver from PA clk)  
PCI  
I2C  
SPI  
HyperLink  
26  
Device Overview  
Copyright 2010 Texas Instruments Incorporated  
 
 
 
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
2.5.2 Device Configuration Field  
The device configuration fields BOOTMODE[9:3] are used to configure the boot peripheral and, therefore, the bit  
definitions depend on the boot mode  
2.5.2.1 Sleep / EMIF16 Boot Device Configuration  
Figure 2-3  
9
Sleep / EMIF16 Configuration Bit Fields  
8
7
6
5
4
3
Reserved  
Wait Enable  
Sub-Mode  
Reserved  
Table 2-4  
Sleep / EMIF16 Configuration Bit Field Descriptions  
Bit  
9-8  
7
Field  
Value  
Description  
Reserved  
0-3  
0
Reserved  
Wait Enable  
Wait enable disabled (EMIF16 sub mode)  
Wait enable enabled (EMIF16 sub mode)  
Sleep boot  
1
6-5  
4-3  
Sub-Mode  
Reserved  
0
1
EMIF16 boot  
0-3  
Reserved  
2.5.2.2 Ethernet (SGMII) Boot Device Configuration  
Figure 2-4  
9
Ethernet (SGMII) Device Configuration Bit Fields  
8
7
6
5
4
3
SerDes Clock Mult  
Ext connection  
Device ID  
Reserved  
Table 2-5  
Ethernet (SGMII) Configuration Bit Field Descriptions  
Value  
Bit  
Field  
Description  
9-8  
SerDes Clock Mult  
The output frequency of the PLL must be 1.25 GBs.  
×8 for input clock of 156.25 MHz  
0
1
2
3
×5 for input clock of 250 MHz  
×4 for input clock of 312.5 MHz  
Reserved  
7-6  
Ext connection  
0
1
2
3
Mac to Mac connection, master with auto negotiation  
Mac to Mac connection, slave, and Mac to Phy  
Mac to Mac, forced link  
Mac to fiber connection  
5
Device ID  
Reserved  
0-7  
0-3  
This value is used in the device ID field of the Ethernet-ready frame.  
Reserved  
4-3  
Copyright 2010 Texas Instruments Incorporated  
Device Overview 27  
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
2.5.2.3 Serial Rapid I/O Boot Device Configuration  
The device ID is always set to 0xff (8-bit node IDs) or 0xffff (16 bit node IDs) at power-on reset.  
Figure 2-5  
9
Serial Rapid I/O Device Configuration Bit Fields  
8
7
6
5
4
3
Lane Setup  
Data Rate  
Ref Clock  
Reserved  
Table 2-6  
Serial Rapid I/O Configuration Bit Field Descriptions  
Value  
Bit  
Field  
Description  
9
Lane Setup  
0
1
Port Configured as 4 ports each 1 lane wide (4 -1× ports)  
Port Configured as 2 ports 2 lanes wide (2 – 2× ports)  
Data Rate = 1.25 GBs  
8-7  
Data Rate  
0
1
Data Rate = 2.5 GBs  
2
Data Rate = 3.125 GBs  
3
Data Rate = 5.0 GBs  
6-5  
4-3  
Ref Clock  
Reserved  
0
Reference Clock = 156.25 MHz  
Reference Clock = 250 MHz  
Reference Clock = 312.5 MHz  
Reserved  
1
2
0-3  
In SRIO boot mode, the message mode will be enabled by default. If use of the memory reserved for received  
messages is required and reception of messages cannot be prevented, the master can disable the message mode by  
writing to the boot table and generating a boot restart.  
2.5.2.4 PCI Boot Device Configuration  
Extra device configuration is provided in the PCI bits in the DEVSTAT register.  
Figure 2-6  
9
PCI Device Configuration Bit Fields  
8
7
6
5
4
3
Reserved  
BAR Config  
Reserved  
Table 2-7  
PCI Device Configuration Bit Field Descriptions  
Value  
Bit  
9
Field  
Description  
Reserved  
Bar Config  
Reserved  
Reserved  
8-5  
4-3  
0-0xf  
0-3  
See Table 2-8.  
Reserved  
28  
Device Overview  
Copyright 2010 Texas Instruments Incorporated  
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
Table 2-8  
BAR Config / PCIe Window Sizes  
32-Bit Address Translation  
64-Bit Address Translation  
BAR cfg  
0b0000  
0b0001  
0b0010  
0b0011  
0b0100  
0b0101  
0b0110  
0b0111  
0b1000  
0b1001  
0b1010  
0b1011  
0b1100  
0b1101  
0b1110  
0b1111  
BAR0  
BAR1  
32  
16  
16  
32  
16  
16  
32  
32  
64  
4
BAR2  
32  
BAR3  
32  
BAR4  
32  
BAR5  
BAR1/2  
BAR3/4  
PCIe MMRs  
Clone of BAR4  
16  
32  
64  
32  
32  
64  
32  
32  
64  
16  
64  
64  
32  
64  
64  
32  
64  
64  
32  
64  
128  
256  
128  
256  
256  
64  
128  
128  
128  
256  
128  
128  
128  
4
4
256  
256  
512  
512  
1024  
2048  
1024  
2048  
2.5.2.5 I2C Boot Device Configuration  
2.5.2.5.1 I2C Master Mode  
In master mode, the I2C device configuration uses ten bits of device configuration instead of seven as used in other  
boot modes. In this mode, the device will make the initial read of the I2C EEPROM while the PLL is in bypass mode.  
The initial read will contain the desired clock multiplier, which will be set up prior to any subsequent reads.  
Figure 2-7  
I2C Master Mode Device Configuration Bit Fields  
12  
11  
10  
9
8
7
6
5
4
3
Reserved  
Speed  
Address  
Mode  
(0)  
Parameter Index  
Table 2-9  
I2C Master Mode Device Configuration Field Descriptions  
Bit  
12  
11  
Field  
Value  
Description  
Reserved  
Speed  
Reserved  
0
1
I2C data rate set to approximately 20 kHz  
I2C fast mode. Data rate set to approximately 400 kHz (will not exceed)  
Boot from I2C EEPROM at I2C bus address 0x50  
Boot from I2C EEPROM at I2C bus address 0x51  
Master mode  
10  
9
Address  
Mode  
0
1
0
1
Passive mode (see section I2C Passive Mode)  
Identifies the index of the configuration table initially read from the I2C EEPROM  
Reserved  
8-3  
4-3  
Parameter Index  
Reserved  
0-63  
0-3  
Copyright 2010 Texas Instruments Incorporated  
Device Overview 29  
 
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
2.5.2.5.2 I2C Passive Mode  
In passive mode, the device does not drive the clock, but simply acks data received on the specified address.  
Figure 2-8  
9
I2C Passive Mode Device Configuration Bit Fields  
8
7
6
5
4
3
Mode (1)  
Receive I2C Address  
Reserved  
Table 2-10  
I2C Passive Mode Device Configuration Field Descriptions  
Bit  
Field  
Value  
0
Description  
9
Mode  
Master Mode (See ‘‘I2C Master Mode’’ on page 29)  
Passive Mode  
1
8-5  
4-3  
Receive I2C Address  
Reserved  
0-15  
0-3  
The I2C Bus address the device will listen to for data  
Reserved  
2.5.2.6 SPI Boot Device Configuration  
In SPI boot mode, the SPI device configuration uses ten bits of device configuration instead of seven as used in other  
boot modes.  
Figure 2-9  
12  
SPI Device Configuration Bit Fields  
11  
10  
9
8
7
6
5
4
3
Mode  
4, 5 Pin  
Addr Width  
Chip Select  
Parameter Table Index  
Reserved  
Table 2-11  
SPI Device Configuration Field Descriptions  
Bit  
Field  
Value  
Description  
12-11  
Mode  
Clk Pol / Phase  
0
1
Data is output on the rising edge of SPICLK. Input data is latched on the falling edge.  
Data is output one half-cycle before the first rising edge of SPICLK and on subsequent falling edges.  
Input data is latched on the rising edge of SPICLK.  
2
3
Data is output on the falling edge of SPICLK. Input data is latched on the rising edge.  
Data is output one half-cycle before the first falling edge of SPICLK and on subsequent rising edges.  
Input data is latched on the falling edge of SPICLK.  
10  
9
4, 5 Pin  
0
1
4-pin mode used  
5-pin mode used  
Addr Width  
0
16-bit address values are used  
24-bit address values are used  
The chip select field value  
Specifies which parameter table is loaded  
Reserved  
1
8-7  
6-5  
4-3  
Chip Select  
0-3  
0-3  
0-3  
Parameter Table Index  
Reserved  
30  
Device Overview  
Copyright 2010 Texas Instruments Incorporated  
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
2.5.2.7 HyperLink Boot Device Configuration  
Figure 2-10  
HyperLink Boot Device Configuration Fields  
9
8
7
6
5
4
3
Reserved  
Data Rate  
Ref Clock  
Reserved  
Table 2-12  
HyperLink Boot Device Configuration Field Descriptions  
Bit  
9
Field  
Value  
Description  
Reserved  
Reserved  
8-7  
Data Rate  
0
1
1.25 GBs  
3.125 GBs  
6.25 GBs  
2
3
12.5 GBs  
6-5  
4-3  
Ref Clocks  
Reserved  
0
156.25 MHz  
250 MHz  
312.5 MHz  
Reserved  
1
2
0-3  
2.5.3 PLL Boot Configuration Settings  
The PLL default settings are determined by the BOOTMODE[12:10] bits. The Table 2-13 shows settings for various  
input clock frequencies. This will set the PLL to the maximum clock setting for the device.  
CLK = CLKIN × (PLLM+1) ÷ (2 × (PLLD+1))  
The PA configuration is also shown. The PA is configured with these values only if the Ethernet boot mode is  
selected with the input clock set to match the main PLL clock (not the PA SerDes clock). See Table 2-3 for details on  
configuring Ethernet boot mode. See section 7.8 ‘‘Main PLL and PLL Controller’’ on page 215 for further details  
Table 2-13  
C66x DSP System PLL Configuration  
800 MHz Device  
Input Clock  
1000 MHz Device  
DSP ƒ  
1000  
1200 MHz Device  
PLLD PLLM DSP ƒ  
47 1200  
PA = 350 MHz  
PLLD PLLM DSP ƒ  
BOOTMODE  
[12:10]  
Freq (MHz)  
PLLD PLLM  
DSP ƒ PLLD PLLM  
0b000  
0b001  
0b010  
0b011  
0b100  
0b101  
0b110  
0b111  
50.00  
0
31  
800  
800.04  
800  
800  
800  
800  
800  
800  
0
39  
29  
24  
19  
63  
7
0
0
41  
1050  
66.67  
0
23  
0
1000.05  
1000  
0
35  
1200.06  
1200  
1200  
1200  
1200  
1200  
1200  
1
62  
1050.053  
1050  
80.00  
0
19  
0
0
29  
3
104  
20  
100.00  
156.25  
250.00  
312.50  
122.88  
0
15  
0
1000  
0
23  
0
1050  
24  
4
255  
31  
4
1000  
24  
4
383  
47  
24  
4
335  
41  
1050  
0
1000  
1050  
24  
47  
127  
624  
4
31  
471  
1000  
24  
191  
624  
24  
11  
167  
204  
1050  
28  
999.989 31  
1049.6  
2.6 Second-Level Bootloaders  
Any of the boot modes can be used to download a second-level bootloader. A second-level bootloader allows for any  
level of customization to current boot methods as well as the definition of a completely customized boot.  
Copyright 2010 Texas Instruments Incorporated  
Device Overview 31  
 
 
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
2.7 Terminals  
Figure 2-11 Shows the TMS320C6678CYP ball grid area (BGA) package (bottom view)  
Figure 2-11  
CYP 841-Pin BGA Package Bottom View  
AJ  
AH  
AG  
AF  
AE  
AD  
AC  
AB  
AA  
Y
W
V
U
T
R
P
N
M
L
K
J
H
G
F
E
D
C
B
A
1
3
5
7
9
11 13 15 17 19 21 23 25 27 29  
10 12 14 16 18 20 22 24 26 28  
2
4
6
8
2.8 Terminal Functions  
The terminal functions table (Table 2-15) identifies the external signal names, the associated pin (ball) numbers, the  
pin type (I, O/Z, or I/O/Z), whether the pin has any internal pullup/pulldown resistors, and gives functional pin  
descriptions. This table is arranged by function. The power terminal functions table (Table 2-16) lists the various  
power supply pins and ground pins and gives functional pin descriptions. Table 2-17 shows all pins arranged by  
signal name. Table 2-18 shows all pins arranged by ball number.  
There are 17 pins that have a secondary function as well as a primary function. The secondary function is indicated  
with a dagger (†).  
For more detailed information on device configuration, peripheral selection, multiplexed/shared pins, and  
pullup/pulldown resistors, see section 3.4 ‘‘Pullup/Pulldown Resistors’’ on page 80.  
Use the symbol definitions in Table 2-14 when reading Table 2-15.  
Table 2-14  
I/O Functional Symbol Definitions  
Functional  
Symbol  
Table 2-15  
Column Heading  
Definition  
Internal 100-μA pulldown or pullup is provided for this terminal. In most systems, a 1-kΩ resistor can  
be used to oppose the IPD/IPU. For more detailed information on pulldown/pullup resistors and  
situations in which external pulldown/pullup resistors are required, see Hardware Design Guide for  
KeyStone Devices (literature number SPRABI2).  
IPD or IPU  
IPD/IPU  
A
Analog signal  
Type  
Type  
Type  
Type  
Type  
Type  
GND  
Ground  
I
Input terminal  
O
Output terminal  
Supply voltage  
S
Z
Three-state terminal or high impedance  
End of Table 2-14  
32  
Device Overview  
Copyright 2010 Texas Instruments Incorporated  
 
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
Table 2-15  
Signal Name  
Terminal Functions — Signals and Control by Function (Part 1 of 13)  
Ball No. Type IPD/IPU Description  
Boot Configuration Pins  
LENDIAN †  
H25  
J28  
J29  
J26  
J25  
J27  
J24  
K27  
K28  
K26  
K29  
L28  
L29  
K25  
K24  
L27  
L24  
IOZ  
IOZ  
IOZ  
IOZ  
IOZ  
IOZ  
IOZ  
IOZ  
IOZ  
IOZ  
IOZ  
IOZ  
IOZ  
IOZ  
IOZ  
IOZ  
I
UP  
Endian configuration pin (Pin shared with GPIO[0])  
BOOTMODE00 †  
BOOTMODE01†  
BOOTMODE02 †  
BOOTMODE03 †  
BOOTMODE04 †  
BOOTMODE05 †  
BOOTMODE06 †  
BOOTMODE07 †  
BOOTMODE08 †  
BOOTMODE09 †  
BOOTMODE10 †  
BOOTMODE11 †  
BOOTMODE12 †  
PCIESSMODE0 †  
PCIESSMODE1 †  
PCIESSEN †  
Down  
Down  
Down  
Down  
Down  
Down  
Down  
Down  
Down  
Down  
Down  
Down  
Down  
Down  
Down  
Down  
See Section 2.5 ‘‘Boot Modes Supported and PLL Settings’’ on page 26 for more details  
(Pins shared with GPIO[1:13])  
PCIe Mode selection pins (Pins shared with GPIO[14:15])  
PCIe module enable (Pin shared with TIMI0)  
Clock / Reset  
CORECLKP  
CORECLKN  
SRIOSGMIICLKP  
SRIOSGMIICLKN  
DDRCLKP  
DDRCLKN  
PCIECLKP  
PCIECLKN  
MCMCLKP  
MCMCLKN  
PASSCLKP  
PASSCLKN  
AVDDA1  
AG3  
AG4  
AG6  
AJ6  
I
Core Clock Input to main PLL.  
I
I
RapidIO/SGMII Reference Clock to drive the RapidIO and SGMII SerDes  
DDR Reference Clock Input to DDR PLL (  
I
G29  
H29  
AG5  
AH5  
W2  
I
I
I
PCIe Clock Input to drive PCIe SerDes  
I
I
HyperLink Reference Clock to drive the HyperLink SerDes  
Packet Sub-system Reference Clock  
Y2  
I
AJ5  
I
AJ4  
I
H22  
AC6  
AD5  
AE3  
AE4  
AD20  
M25  
N26  
M27  
P
SYS_CLK PLL Power Supply Pin  
AVDDA2  
P
DDR_CLK PLL Power Supply Pin  
AVDDA3  
P
PS_SS_CLK PLL Power Supply Pin  
SYSCLKOUT  
PACLKSEL  
HOUT  
OZ  
Down  
Down  
UP  
System Clock Output to be used as a general purpose output clock for debug purposes  
PA clock select to choose between core clock and PASSCLK pins  
Interrupt output pulse created by IPCGRH  
Non-maskable Interrupt  
I
OZ  
NMI  
I
I
I
UP  
LRESET  
UP  
Warm Reset  
LRESETNMIEN  
UP  
Enable for core selects  
Copyright 2010 Texas Instruments Incorporated  
Device Overview 33  
 
 
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
Table 2-15  
Terminal Functions — Signals and Control by Function (Part 2 of 13)  
Ball No. Type IPD/IPU Description  
Signal Name  
CORESEL0  
CORESEL1  
CORESEL2  
CORESEL3  
RESETFULL  
RESET  
AF2  
AD4  
AE6  
AE5  
N25  
M29  
AC20  
N27  
AE2  
G22  
I
Down  
Down  
Down  
Down  
UP  
I
Select for the target core for LRESET and NMI. For more details see Table 7-40‘‘NMI and  
Local Reset Timing Requirements’’ on page 193  
I
I
I
Full Reset  
I
UP  
Warm Reset of non isolated portion on the IC  
Power-on Reset  
POR  
I
RESETSTAT  
BOOTCOMPLETE  
PTV15  
O
OZ  
A
UP  
Reset Status Output  
Down  
Boot progress indication output  
PTV Compensation NMOS Reference Input  
DDR  
DDRDQM0  
DDRDQM1  
DDRDQM2  
DDRDQM3  
DDRDQM4  
DDRDQM5  
DDRDQM6  
DDRDQM7  
DDRDQM8  
DDRDQS0P  
DDRDQS0N  
DDRDQS1P  
DDRDQS1N  
DDRDQS2P  
DDRDQS2N  
DDRDQS3P  
DDRDQS3N  
DDRDQS4P  
DDRDQS4N  
DDRDQS5P  
DDRDQS5N  
DDRDQS6P  
DDRDQS6N  
DDRDQS7P  
DDRDQS7N  
DDRDQS8P  
DDRDQS8N  
E29  
C27  
A25  
A22  
A10  
A8  
OZ  
OZ  
OZ  
OZ  
OZ  
DDR EMIF Data Masks  
OZ  
B5  
OZ  
B2  
OZ  
A20  
C28  
C29  
A27  
B27  
A24  
B24  
A21  
B21  
A9  
OZ  
IOZ  
IOZ  
IOZ  
IOZ  
IOZ  
IOZ  
IOZ  
IOZ  
IOZ  
IOZ  
IOZ  
IOZ  
IOZ  
IOZ  
IOZ  
IOZ  
IOZ  
IOZ  
DDR EMIF Data Strobe  
B9  
B6  
A6  
B3  
A3  
D1  
C1  
A19  
B19  
34  
Device Overview  
Copyright 2010 Texas Instruments Incorporated  
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
Table 2-15  
Terminal Functions — Signals and Control by Function (Part 3 of 13)  
Signal Name  
DDRCB00  
DDRCB01  
DDRCB02  
DDRCB03  
DDRCB04  
DDRCB05  
DDRCB06  
DDRCB07  
Ball No. Type IPD/IPU Description  
E19  
C20  
D19  
B20  
C19  
C18  
B18  
A18  
IOZ  
IOZ  
IOZ  
IOZ  
IOZ  
IOZ  
IOZ  
IOZ  
DDR EMIF Check Bits  
Copyright 2010 Texas Instruments Incorporated  
Device Overview 35  
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
Table 2-15  
Terminal Functions — Signals and Control by Function (Part 4 of 13)  
Ball No. Type IPD/IPU Description  
Signal Name  
DDRD00  
DDRD01  
DDRD02  
DDRD03  
DDRD04  
DDRD05  
DDRD06  
DDRD07  
DDRD08  
DDRD09  
DDRD10  
DDRD11  
DDRD12  
DDRD13  
DDRD14  
DDRD15  
DDRD16  
DDRD17  
DDRD18  
DDRD19  
DDRD20  
DDRD21  
DDRD22  
DDRD23  
DDRD24  
DDRD25  
DDRD26  
DDRD27  
DDRD28  
DDRD29  
DDRD30  
DDRD31  
DDRD32  
DDRD33  
DDRD34  
DDRD35  
DDRD36  
DDRD37  
DDRD38  
DDRD39  
DDRD40  
DDRD41  
E28  
D29  
E27  
D28  
D27  
B28  
E26  
F25  
F24  
E24  
E25  
D25  
D26  
C26  
B26  
A26  
F23  
F22  
D24  
E23  
A23  
B23  
C24  
E22  
D21  
F20  
E21  
F21  
D22  
C21  
B22  
C22  
E10  
D10  
B10  
D9  
IOZ  
IOZ  
IOZ  
IOZ  
IOZ  
IOZ  
IOZ  
IOZ  
IOZ  
IOZ  
IOZ  
IOZ  
IOZ  
IOZ  
IOZ  
IOZ  
IOZ  
IOZ  
IOZ  
IOZ  
IOZ  
IOZ  
IOZ  
IOZ  
IOZ  
IOZ  
IOZ  
IOZ  
IOZ  
IOZ  
IOZ  
IOZ  
IOZ  
IOZ  
IOZ  
IOZ  
IOZ  
IOZ  
IOZ  
IOZ  
IOZ  
IOZ  
DDR EMIF Data Bus  
E9  
C9  
B8  
E8  
A7  
D7  
36  
Device Overview  
Copyright 2010 Texas Instruments Incorporated  
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
Table 2-15  
Terminal Functions — Signals and Control by Function (Part 5 of 13)  
Signal Name  
DDRD42  
DDRD43  
DDRD44  
DDRD45  
DDRD46  
DDRD47  
DDRD48  
DDRD49  
DDRD50  
DDRD51  
DDRD52  
DDRD53  
DDRD54  
DDRD55  
DDRD56  
DDRD57  
DDRD58  
DDRD59  
DDRD60  
DDRD61  
DDRD62  
DDRD63  
DDRCE0  
DDRCE1  
DDRBA0  
DDRBA1  
DDRBA2  
DDRA00  
DDRA01  
DDRA02  
DDRA03  
DDRA04  
DDRA05  
DDRA06  
DDRA07  
DDRA08  
DDRA09  
DDRA10  
DDRA11  
DDRA12  
DDRA13  
DDRA14  
DDRA15  
DDRCAS  
Ball No. Type IPD/IPU Description  
E7  
IOZ  
IOZ  
IOZ  
IOZ  
IOZ  
IOZ  
IOZ  
IOZ  
IOZ  
IOZ  
IOZ  
IOZ  
IOZ  
IOZ  
IOZ  
IOZ  
IOZ  
IOZ  
IOZ  
IOZ  
IOZ  
IOZ  
OZ  
OZ  
OZ  
OZ  
OZ  
OZ  
OZ  
OZ  
OZ  
OZ  
OZ  
OZ  
OZ  
OZ  
OZ  
OZ  
OZ  
OZ  
OZ  
OZ  
OZ  
OZ  
C7  
B7  
E6  
D6  
C6  
C5  
A5  
B4  
A4  
D4  
DDR EMIF Data Bus  
E4  
C4  
C3  
F4  
D2  
E2  
C2  
F2  
F3  
E1  
F1  
C11  
C12  
A13  
B13  
C13  
A14  
B14  
F14  
F13  
A15  
C15  
B15  
D15  
F15  
E15  
E16  
D16  
E17  
C16  
D17  
C17  
D12  
DDR EMIF Chip Enables  
DDR EMIF Bank Address  
DDR EMIF Address Bus  
DDR EMIF Column Address Strobe  
Copyright 2010 Texas Instruments Incorporated  
Device Overview 37  
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
Table 2-15  
Terminal Functions — Signals and Control by Function (Part 6 of 13)  
Ball No. Type IPD/IPU Description  
Signal Name  
DDRRAS  
C10  
E12  
D11  
E18  
A12  
B12  
A16  
B16  
D13  
E13  
E11  
G27  
H27  
E14  
OZ  
OZ  
OZ  
OZ  
OZ  
OZ  
OZ  
OZ  
OZ  
OZ  
OZ  
I
DDR EMIF Row Address Strobe  
DDR EMIF Write Enable  
DDR EMIF Clock Enable  
DDR EMIF Clock Enable  
DDRWE  
DDRCKE0  
DDRCKE1  
DDRCLKOUTP0  
DDRCLKOUTN0  
DDRCLKOUTP1  
DDRCLKOUTN1  
DDRODT0  
DDR EMIF Output Clocks to drive SDRAMs (one clock pair per SDRAM)  
DDR EMIF On Die Termination Outputs used to set termination on the SDRAMs  
DDR EMIF On Die Termination Outputs used to set termination on the SDRAMs  
DDR Reset signal  
DDRODT1  
DDRRESET  
DDRSLRATE0  
DDRSLRATE1  
VREFSSTL  
Down  
Down  
DDR Slew rate control  
I
P
Reference Voltage Input for SSTL15 buffers used by DDR EMIF (VDDS15 ÷ 2)  
EMIF16  
EMIFRW  
EMIFCE0  
EMIFCE1  
EMIFCE2  
EMIFCE3  
EMIFOE  
P26  
P25  
R27  
R28  
R25  
R26  
P24  
R24  
R23  
T29  
T28  
O
O
O
O
O
O
O
O
O
I
UP  
UP  
UP  
UP  
UP  
UP  
EMIF16 Control Signals  
EMIFWE  
UP  
EMIFBE0  
EMIFBE1  
EMIFWAIT0  
EMIFWAIT1  
UP  
UP  
Down  
Down  
I
38  
Device Overview  
Copyright 2010 Texas Instruments Incorporated  
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
Table 2-15  
Terminal Functions — Signals and Control by Function (Part 7 of 13)  
Signal Name  
EMIFA00  
EMIFA01  
EMIFA02  
EMIFA03  
EMIFA04  
EMIFA05  
EMIFA06  
EMIFA07  
EMIFA08  
EMIFA09  
EMIFA10  
EMIFA11  
EMIFA12  
EMIFA13  
EMIFA14  
EMIFA15  
EMIFA16  
EMIFA17  
EMIFA18  
EMIFA19  
EMIFA20  
EMIFA21  
EMIFA22  
EMIFA23  
EMIFD00  
EMIFD01  
EMIFD02  
EMIFD03  
EMIFD04  
EMIFD05  
EMIFD06  
EMIFD07  
EMIFD08  
EMIFD09  
EMIFD10  
EMIFD11  
EMIFD12  
EMIFD13  
EMIFD14  
EMIFD15  
Ball No. Type IPD/IPU Description  
T27  
O
Down  
Down  
Down  
Down  
Down  
Down  
Down  
Down  
Down  
Down  
Down  
Down  
Down  
Down  
Down  
Down  
Down  
Down  
Down  
Down  
Down  
Down  
Down  
Down  
Down  
Down  
Down  
Down  
Down  
Down  
Down  
Down  
Down  
Down  
Down  
Down  
Down  
Down  
Down  
Down  
T24  
O
U29  
T25  
O
O
U27  
U28  
U25  
U24  
V28  
O
O
O
O
O
V29  
O
V27  
O
V26  
O
EMIF16 Address  
V25  
O
V24  
O
W28  
W27  
W29  
W26  
W25  
W24  
W23  
Y29  
O
O
O
O
O
O
O
O
Y28  
O
U23  
Y27  
O
IOZ  
IOZ  
IOZ  
IOZ  
IOZ  
IOZ  
IOZ  
IOZ  
IOZ  
IOZ  
IOZ  
IOZ  
IOZ  
IOZ  
IOZ  
IOZ  
AB29  
AA29  
Y26  
AA27  
AB27  
AA26  
AA25  
Y25  
EMIF16 Data  
AB25  
AA24  
Y24  
AB23  
AB24  
AB26  
AC25  
Copyright 2010 Texas Instruments Incorporated  
Device Overview 39  
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
Table 2-15  
Signal Name  
Terminal Functions — Signals and Control by Function (Part 8 of 13)  
Ball No. Type IPD/IPU Description  
EMU  
EMU00  
EMU01  
EMU02  
EMU03  
EMU04  
EMU05  
EMU06  
EMU07  
EMU08  
EMU09  
EMU10  
EMU11  
EMU12  
EMU13  
EMU14  
EMU15  
EMU16  
EMU17  
EMU18  
AC29  
AC28  
AC27  
AC26  
AD29  
AD28  
AD27  
AE29  
AE28  
AF29  
AE27  
AF28  
AG29  
AD26  
AG28  
AG27  
AJ27  
IOZ  
IOZ  
IOZ  
IOZ  
IOZ  
IOZ  
IOZ  
IOZ  
IOZ  
IOZ  
IOZ  
IOZ  
IOZ  
IOZ  
IOZ  
IOZ  
IOZ  
IOZ  
IOZ  
UP  
UP  
UP  
UP  
UP  
UP  
UP  
UP  
UP  
UP  
UP  
UP  
UP  
UP  
UP  
UP  
UP  
UP  
UP  
Emulation and Trace Port  
AF27  
AH27  
General Purpose Input/Output (GPIO)  
GPIO00  
GPIO01  
GPIO02  
GPIO03  
GPIO04  
GPIO05  
GPIO06  
GPIO07  
GPIO08  
GPIO09  
GPIO10  
GPIO11  
GPIO12  
GPIO13  
GPIO14  
GPIO15  
H25  
J28  
J29  
J26  
J25  
J27  
J24  
K27  
K28  
K26  
K29  
L28  
L29  
K25  
K24  
L27  
IOZ  
IOZ  
IOZ  
IOZ  
IOZ  
IOZ  
IOZ  
IOZ  
IOZ  
IOZ  
IOZ  
IOZ  
IOZ  
IOZ  
IOZ  
IOZ  
UP  
Down  
Down  
Down  
Down  
Down  
Down  
Down  
Down  
Down  
Down  
Down  
Down  
Down  
Down  
Down  
General Purpose Input/Output  
These GPIO pins have secondary functions assigned to them as mentioned in the ‘‘Boot  
Configuration Pins’’ on page 33.  
40  
Device Overview  
Copyright 2010 Texas Instruments Incorporated  
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
Table 2-15  
Terminal Functions — Signals and Control by Function (Part 9 of 13)  
Signal Name  
Ball No. Type IPD/IPU Description  
HyperLink  
MCMRXN0  
U2  
T2  
I
MCMRXP0  
I
MCMRXN1  
T1  
I
MCMRXP1  
R1  
I
Serial HyperLink Receive Data  
MCMRXN2  
M1  
N1  
P2  
I
MCMRXP2  
I
MCMRXN3  
I
MCMRXP3  
N2  
M5  
N5  
T4  
I
MCMTXN0  
O
O
O
O
O
O
O
O
O
O
I
MCMTXP0  
MCMTXN1  
MCMTXP1  
U4  
R5  
Serial HyperLink Transmit Data  
MCMTXN2  
MCMTXP2  
T5  
MCMTXN3  
N4  
P4  
MCMTXP3  
MCMRXFLCLK  
MCMRXFLDAT  
MCMTXFLCLK  
MCMTXFLDAT  
MCMRXPMCLK  
MCMRXPMDAT  
MCMTXPMCLK  
MCMTXPMDAT  
MCMREFCLKOUTP  
MCMREFCLKOUTN  
W3  
W4  
AA1  
AA3  
Y3  
Down  
Down  
Down  
Down  
Down  
Down  
Down  
Down  
I
Serial HyperLink Sideband Signals  
I
Y4  
I
AA2  
AA4  
Y1  
O
O
O
O
HyperLink Reference clock output for daisy chain connection  
W1  
I2C  
SCL  
AD3  
AC4  
IOZ  
IOZ  
I2C Clock  
I2C Data  
SDA  
JTAG  
TCK  
TDI  
N29  
P27  
R29  
P29  
P28  
I
UP  
JTAG Clock Input  
JTAG Data Input  
JTAG Data Output  
JTAG Test Mode Input  
JTAG Reset  
I
UP  
TDO  
TMS  
TRST  
OZ  
UP  
I
I
UP  
Down  
MDIO  
MDIO  
G26  
H26  
IOZ  
O
UP  
MDIO Data  
MDCLK  
Down  
MDIO Clock  
PCIe  
PCIERXN0  
PCIERXP0  
PCIERXN1  
PCIERXP1  
AH7  
AH8  
AJ9  
AJ8  
I
I
I
I
PCIexpress Receive Data (2 links)  
Copyright 2010 Texas Instruments Incorporated  
Device Overview 41  
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
Table 2-15  
Terminal Functions — Signals and Control by Function (Part 10 of 13)  
Ball No. Type IPD/IPU Description  
Signal Name  
PCIETXN0  
PCIETXP0  
PCIETXN1  
PCIETXP1  
AF8  
AF7  
AG9  
AG8  
O
O
O
O
PCIexpress Transmit Data (2 links)  
Serial RapidIO  
RIORXN0  
RIORXP0  
RIORXN1  
RIORXP1  
RIORXN2  
RIORXP2  
RIORXN3  
RIORXP3  
RIOTXN0  
RIOTXP0  
RIOTXN1  
RIOTXP1  
RIOTXN2  
RIOTXP2  
RIOTXN3  
RIOTXP3  
AJ11  
AJ12  
AH10  
AH11  
AH14  
AH13  
AJ15  
AJ14  
AF10  
AF11  
AG11  
AG12  
AG15  
AG14  
AF14  
AF13  
I
I
Serial RapidIO Receive Data (2 links)  
I
I
I
I
Serial RapidIO Receive Data (2 links)  
Serial RapidIO Transmit Data (2 links)  
Serial RapidIO Transmit Data (2 links)  
I
I
O
O
O
O
O
O
O
O
SGMII  
SGMII0RXN  
SGMII0RXP  
SGMII0TXN  
SGMII0TXP  
SGMII1RXN  
SGMII1RXP  
SGMII1TXN  
SGMII1TXP  
AJ18  
AJ17  
AG18  
AG17  
AH17  
AH16  
AF17  
AF16  
I
Ethernet MAC SGMII Receive Data  
I
O
O
I
Ethernet MAC SGMII Transmit Data  
Ethernet MAC SGMII Receive Data  
Ethernet MAC SGMII Transmit Data  
I
O
O
SmartReflex  
Voltage Control I2C Clock  
Voltage Control I2C Data  
VCL  
M24  
M23  
L23  
K23  
J23  
IOZ  
IOZ  
OZ  
OZ  
OZ  
OZ  
VD  
VCNTL0  
VCNTL1  
VCNTL2  
VCNTL3  
Voltage Control Outputs to variable core power supply  
H23  
SPI  
SPI Interface Enable 0  
SPI Interface Enable 1  
SPI Clock  
SPISCS0  
SPISCS1  
SPICLK  
AG1  
AG2  
AE1  
AD2  
AB1  
OZ  
OZ  
OZ  
I
UP  
UP  
Down  
Down  
Down  
SPIDIN  
SPI Data In  
SPIDOUT  
OZ  
SPI Data Out  
42  
Device Overview  
Copyright 2010 Texas Instruments Incorporated  
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
Table 2-15  
Terminal Functions — Signals and Control by Function (Part 11 of 13)  
Signal Name  
Ball No. Type IPD/IPU Description  
Timer  
TIMI0  
TIMI1  
TIMO0  
TIMO1  
L24  
L26  
L25  
M26  
I
Down  
Down  
Down  
Down  
Timer Inputs  
I
OZ  
OZ  
Timer Outputs  
TSIP  
CLKA0  
CLKB0  
FSA0  
FSB0  
TR00  
TR01  
TR02  
TR03  
TR04  
TR05  
TR06  
TR07  
TX00  
TX01  
TX02  
TX03  
TX04  
TX05  
TX06  
TX07  
AF25  
AG25  
AJ26  
AG26  
AH26  
AJ25  
AD23  
AD24  
AC23  
AH25  
AC24  
AE25  
AE24  
AD25  
AJ24  
AG24  
AH24  
AF24  
AE23  
AF23  
I
Down  
Down  
Down  
Down  
Down  
Down  
Down  
Down  
Down  
Down  
Down  
Down  
Down  
Down  
Down  
Down  
Down  
Down  
Down  
Down  
I
I
I
I
I
I
I
I
I
TSIP0  
I
I
OZ  
OZ  
OZ  
OZ  
OZ  
OZ  
OZ  
OZ  
Copyright 2010 Texas Instruments Incorporated  
Device Overview 43  
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
Table 2-15  
Terminal Functions — Signals and Control by Function (Part 12 of 13)  
Ball No. Type IPD/IPU Description  
Signal Name  
CLKA1  
CLKB1  
FSA1  
FSB1  
AJ23  
AH23  
AG23  
AJ22  
AE22  
AD21  
AC21  
AJ21  
AH22  
AJ20  
AH21  
AG21  
AF21  
AD22  
AC22  
AE21  
AG20  
AE20  
AH20  
AF20  
I
Down  
Down  
Down  
Down  
Down  
Down  
Down  
Down  
Down  
Down  
Down  
Down  
Down  
Down  
Down  
Down  
Down  
Down  
Down  
Down  
I
I
I
TR10  
I
TR11  
I
TR12  
I
TR13  
I
TR14  
I
TR15  
I
TSIP1  
TR16  
I
TR17  
I
TX10  
TX11  
OZ  
OZ  
OZ  
OZ  
OZ  
OZ  
OZ  
OZ  
TX12  
TX13  
TX14  
TX15  
TX16  
TX17  
UART  
UARTRXD  
UARTTXD  
UARTCTS  
UARTRTS  
AD1  
AC1  
AB3  
AB2  
I
Down  
Down  
Down  
Down  
UART Serial Data In  
OZ  
I
UART Serial Data Out  
UART Clear To Send  
OZ  
UART Request To Send  
Reserved  
RSV01  
RSV02  
RSV03  
RSV04  
RSV05  
RSV06  
RSV07  
RSV08  
RSV09  
RSV10  
RSV11  
RSV12  
RSV13  
RSV14  
RSV15  
RSV16  
RSV17  
RSV24  
AH28  
N24  
N23  
AH2  
AJ3  
IOZ  
OZ  
OZ  
O
O
O
O
A
Down  
Down  
Down  
Reserved - leave unconnected  
Reserved - leave unconnected  
Reserved - leave unconnected  
Reserved - leave unconnected  
Reserved - leave unconnected  
Reserved - leave unconnected  
Reserved - leave unconnected  
Reserved - leave unconnected  
Reserved - leave unconnected  
Reserved - leave unconnected  
Reserved - leave unconnected  
Reserved - leave unconnected  
Reserved - leave unconnected  
Reserved - leave unconnected  
Reserved - leave unconnected  
Reserved - leave unconnected  
Reserved - leave unconnected  
Reserved - leave unconnected  
H28  
G28  
AH19  
AF19  
K22  
A
A
J22  
A
Y5  
A
W5  
A
W6  
A
AE12  
AC9  
AD19  
AH4  
A
A
A
O
44  
Device Overview  
Copyright 2010 Texas Instruments Incorporated  
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
Table 2-15  
Terminal Functions — Signals and Control by Function (Part 13 of 13)  
Signal Name  
RSV25  
Ball No. Type IPD/IPU Description  
AH3  
AF3  
G25  
AF1  
O
Reserved - leave unconnected  
Reserved - leave unconnected  
Reserved - leave unconnected  
Reserved - leave unconnected  
RSV20  
OZ  
OZ  
OZ  
Down  
Down  
Down  
RSV21  
RSV22  
End of Table 2-15  
Table 2-16  
Terminal Functions — Power and Ground  
Supply  
AVDDA1  
AVDDA2  
AVDDA3  
CVDD  
Ball No.  
Volts Description  
H22  
AC6  
AD5  
1.8  
1.8  
1.8  
PLL Supply - CORE_PLL  
PLL Supply - DDR3_PLL  
PLL Supply - PASS_PLL  
H7, H9, H11, H13, H15, H17, H19, H21, J10, J12, J16, J18, J20, K11, K17, K19, K21, L10, L12, L16, 0.9  
L18, M11, M13, M15, M17, M19, N8, N10, N12, N14, N16, N18, P9, P11, P13, P15, P17, P19, to  
SmartReflex core supply voltage  
P21, R8, R10, R18, R20, R22, T9, T11, T13, T15, T17, T19, T21, U8, U10, U18, U20, U22, V9, V11, 1.1  
V17, V19, V21, W8, W10, W18, W20, W22, Y9, Y11, Y13, Y15, Y17, Y19, Y21, AA8, AA10, AA12,  
AA14, AA16, AA18, AA22  
CVDD1  
J8, J14, K7, K9, K13, K15, L8, L14, L20, L22, M9, M21, N20, N22, R12, R14, R16, U12, U14, U16, 1.0  
V13, V15, W12, W14, W16  
Fixed core supply voltage  
DDR IO supply  
DVDD15  
DVDD18  
A2, A11, A17, A28, B1, B29, C14, C25, D5, D8, D20, D23, E3, F5, F7, F9, F11, F17, F19, F26, F28, 1.5  
G2, G4, G8, G10, G12, G14, G16, G18, G20, G23  
H24, N28, P23, T23, U26, V23, Y7, Y23, AA6, AB5, AB7, AB19, AB21, AB28, AC3, AF5, AF26,  
AG22, AH1, AH29, AJ2, AJ28  
1.8  
IO supply  
VDDR1  
VDDR2  
VDDR3  
VDDR4  
VDDT1  
V5  
1.5  
1.5  
1.5  
1.5  
1.0  
HyperLink SerDes regulator supply  
PCIe SerDes regulator supply  
SGMII SerDes regulator supply  
SRIO SerDes regulator supply  
AE10  
AE16  
AE14  
M7, N6, P7, R6, T7, U6, V7  
HyperLink SerDes termination  
supply  
VDDT2  
AB9, AB11, AB13, AB15, AB17, AC8, AC10, AC12, AC14, AC16, AC18, AD7, AD9, AD11, AD13, 1.0  
AD15, AD17, AE18  
SGMII/SRIO/PCIe SerDes  
termination supply  
VREFSSTL  
VSS  
E14  
0.75 DDR3 reference voltage  
A1, A29, B11, B17, B25, C8, C23, D3, D14, D18, E5, E20, F6, F8, F10, F12, F16, F18, F27, F29, G1, GND Ground  
G3, G5, G6, G7, G9, G11, G13, G15, G17, G19, G21, G24, H1, H2, H3, H4, H5, H6, H8, H10, H12,  
H14, H16, H18, H20, J1, J2, J3, J4, J5, J6, J7, J9, J11, J13, J15, J17, J19, J21, K1, K2, K3, K4, K5, K6,  
K8, K10, K12, K14, K16, K18, K20, L1, L2, L3, L4, L5, L6, L7, L9, L11, L13, L15, L17, L19, L21, M2,  
M3, M4, M6, M8, M10, M12, M14, M16, M18, M20, M22, M28, N3, N7, N9, N11, N13, N15, N17,  
N19, N21, P1, P3, P5, P6, P8, P10, P12, P14, P16, P18, P20, P22, R2, R3, R4, R7, R9, R11, R13,  
R15, R17, R19, R21, T3, T6, T8, T10, T12, T14, T16, T18, T20, T22, T26, U1, U3, U5, U7, U9, U11,  
U13, U15, U17, U19, U21, V1, V2, V3, V4, V6, V8, V10, V12, V14, V16, V18, V20, V22, W7, W9,  
W11, W13, W15, W17, W19, W21, Y6, Y8, Y10, Y12, Y14, Y16, Y18, Y20, Y22, AA5, AA7, AA9,  
AA11, AA13, AA15, AA17, AA19, AA23, AA28, AB4, AB6, AB8, AB10, AB12, AB14, AB16, AB18,  
AB20, AB22, AC2, AC5, AC7, AC11, AC13, AC15, AC17, AC19, AD6, AD8, AD10, AD12, AD14,  
AD16, AD18, AE7, AE8, AE9, AE11, AE13, AE15, AE17, AE19, AE26, AF4, AF6, AF9, AF12, AF15,  
AF18, AF22, AG7, AG10, AG13, AG16, AG19, AH6, AH9, AH12, AH15, AH18, AJ1, AJ7, AJ10,  
AJ13, AJ16, AJ19, AJ29  
End of Table 2-16  
Copyright 2010 Texas Instruments Incorporated  
Device Overview 45  
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
Table 2-17  
Terminal Functions  
— By Signal Name  
(Part 2 of 13)  
Table 2-17  
Terminal Functions  
— By Signal Name  
(Part 3 of 13)  
Table 2-17  
Terminal Functions  
— By Signal Name  
(Part 1 of 13)  
Signal Name  
Ball Number  
Signal Name  
DDRD00  
DDRD01  
DDRD02  
DDRD03  
DDRD04  
DDRD05  
DDRD06  
DDRD07  
DDRD08  
DDRD09  
DDRD10  
DDRD11  
DDRD12  
DDRD13  
DDRD14  
DDRD15  
DDRD16  
DDRD17  
DDRD18  
DDRD19  
DDRD20  
DDRD21  
DDRD22  
DDRD23  
DDRD24  
DDRD25  
DDRD26  
DDRD27  
DDRD28  
DDRD29  
DDRD30  
DDRD31  
DDRD32  
DDRD33  
DDRD34  
DDRD35  
DDRD36  
DDRD37  
DDRD38  
DDRD39  
DDRD40  
DDRD41  
Ball Number  
E28  
D29  
E27  
D28  
D27  
B28  
E26  
F25  
F24  
E24  
E25  
D25  
D26  
C26  
B26  
A26  
F23  
F22  
D24  
E23  
A23  
B23  
C24  
E22  
D21  
F20  
E21  
F21  
D22  
C21  
B22  
C22  
E10  
D10  
B10  
D9  
Signal Name  
AVDDA1  
Ball Number  
H22  
AC6  
AD5  
AE2  
CVDD1  
J8, J14, K7, K9, K13,  
K15, L8, L14, L20,  
L22, M9, M21, N20,  
N22, R12, R14, R16,  
U12, U14, U16, V13,  
V15, W12, W14,  
W16  
AVDDA2  
AVDDA3  
BOOTCOMPLETE  
BOOTMODE00 †  
BOOTMODE01†  
BOOTMODE02 †  
BOOTMODE03 †  
BOOTMODE04 †  
BOOTMODE05 †  
BOOTMODE06 †  
BOOTMODE07 †  
BOOTMODE08 †  
BOOTMODE09 †  
BOOTMODE10 †  
BOOTMODE11 †  
BOOTMODE12 †  
CLKA0  
J28  
DDRA00  
A14  
B14  
F14  
F13  
A15  
C15  
B15  
D15  
F15  
E15  
E16  
D16  
E17  
C16  
D17  
C17  
A13  
B13  
C13  
D12  
E19  
C20  
D19  
B20  
C19  
C18  
B18  
A18  
C11  
C12  
D11  
E18  
H29  
B12  
B16  
A12  
A16  
G29  
J29  
DDRA01  
J26  
DDRA02  
J25  
DDRA03  
J27  
DDRA04  
J24  
DDRA05  
K27  
DDRA06  
K28  
DDRA07  
K26  
DDRA08  
K29  
DDRA09  
L28  
DDRA10  
L29  
DDRA11  
K25  
DDRA12  
AF25  
AJ23  
AG25  
AH23  
AG4  
AG3  
AF2  
DDRA13  
CLKA1  
DDRA14  
CLKB0  
DDRA15  
CLKB1  
DDRBA0  
CORECLKN  
DDRBA1  
CORECLKP  
DDRBA2  
CORESEL0  
DDRCAS  
CORESEL1  
AD4  
AE6  
DDRCB00  
DDRCB01  
DDRCB02  
DDRCB03  
DDRCB04  
DDRCB05  
DDRCB06  
DDRCB07  
DDRCE0  
CORESEL2  
CORESEL3  
AE5  
CVDD  
H7, H9, H11, H13,  
H15, H17, H19, H21,  
J10, J12, J16, J18,  
J20, K11, K17, K19,  
K21, L10, L12, L16,  
L18, M11, M13,  
M15, M17, M19, N8,  
N10, N12, N14,  
CVDD  
CVDD  
N16, N18, P9, P11,  
P13, P15, P17, P19,  
P21, R8, R10, R18,  
R20, R22, T9, T11,  
T13, T15, T17, T19,  
T21, U8, U10, U18,  
U20, U22, V9, V11,  
V17, V19, V21, W8,  
DDRCE1  
DDRCKE0  
DDRCKE1  
DDRCLKN  
DDRCLKOUTN0  
DDRCLKOUTN1  
DDRCLKOUTP0  
DDRCLKOUTP1  
DDRCLKP  
E9  
C9  
B8  
W10, W18, W20,  
W22, Y9, Y11, Y13,  
Y15, Y17, Y19, Y21,  
AA8, AA10, AA12,  
AA14, AA16, AA18,  
AA22  
E8  
A7  
D7  
46  
Device Overview  
Copyright 2010 Texas Instruments Incorporated  
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
Table 2-17  
Terminal Functions  
— By Signal Name  
(Part 4 of 13)  
Table 2-17  
Terminal Functions  
— By Signal Name  
(Part 5 of 13)  
Table 2-17  
Terminal Functions  
— By Signal Name  
(Part 6 of 13)  
Signal Name  
DDRD42  
Ball Number  
E7  
Signal Name  
DDRDQS5P  
DDRDQS6N  
DDRDQS6P  
DDRDQS7N  
DDRDQS7P  
DDRDQS8N  
DDRDQS8P  
DDRODT0  
DDRODT1  
DDRRAS  
Ball Number  
B6  
Signal Name  
EMIFA18  
EMIFA19  
EMIFA20  
EMIFA21  
EMIFA22  
EMIFA23  
EMIFBE0  
EMIFBE1  
EMIFCE0  
EMIFCE1  
EMIFCE2  
EMIFCE3  
EMIFD00  
EMIFD01  
EMIFD02  
EMIFD03  
EMIFD04  
EMIFD05  
EMIFD06  
EMIFD07  
EMIFD08  
EMIFD09  
EMIFD10  
EMIFD11  
EMIFD12  
EMIFD13  
EMIFD14  
EMIFD15  
EMIFOE  
Ball Number  
W25  
W24  
W23  
Y29  
DDRD43  
C7  
A3  
DDRD44  
B7  
B3  
DDRD45  
E6  
C1  
DDRD46  
D6  
D1  
Y28  
DDRD47  
C6  
B19  
A19  
D13  
E13  
U23  
DDRD48  
C5  
R24  
DDRD49  
A5  
R23  
DDRD50  
B4  
P25  
DDRD51  
A4  
C10  
E11  
R27  
DDRD52  
D4  
DDRRESET  
DDRSLRATE0  
DDRSLRATE1  
DDRWE  
R28  
DDRD53  
E4  
G27  
H27  
E12  
R25  
DDRD54  
C4  
Y27  
DDRD55  
C3  
AB29  
AA29  
Y26  
DDRD56  
F4  
DVDD15  
A2, A11, A17, A28,  
B1, B29, C14, C25,  
D5, D8, D20, D23,  
E3, F5, F7, F9, F11,  
F17, F19, F26, F28,  
G2, G4, G8, G10,  
G12, G14, G16, G18,  
G20, G23  
DDRD57  
D2  
DDRD58  
E2  
AA27  
AB27  
AA26  
AA25  
Y25  
DDRD59  
C2  
DDRD60  
F2  
DDRD61  
F3  
DVDD18  
H24, N28, P23, T23,  
U26, V23, Y7, Y23,  
AA6, AB5, AB7,  
AB19, AB21, AB28,  
AC3, AF5, AF26,  
AG22, AH1, AH29,  
AJ2, AJ28  
DDRD62  
E1  
DDRD63  
F1  
AB25  
AA24  
Y24  
DDRDQM0  
DDRDQM1  
DDRDQM2  
DDRDQM3  
DDRDQM4  
DDRDQM5  
DDRDQM6  
DDRDQM7  
DDRDQM8  
DDRDQS0N  
DDRDQS0P  
DDRDQS1N  
DDRDQS1P  
DDRDQS2N  
DDRDQS2P  
DDRDQS3N  
DDRDQS3P  
DDRDQS4N  
DDRDQS4P  
DDRDQS5N  
E29  
C27  
A25  
A22  
A10  
A8  
AB23  
AB24  
AB26  
AC25  
R26  
EMIFA00  
EMIFA01  
EMIFA02  
EMIFA03  
EMIFA04  
EMIFA05  
EMIFA06  
EMIFA07  
EMIFA08  
EMIFA09  
EMIFA10  
EMIFA11  
EMIFA12  
EMIFA13  
EMIFA14  
EMIFA15  
EMIFA16  
EMIFA17  
T27  
T24  
U29  
T25  
U27  
U28  
U25  
U24  
V28  
V29  
V27  
V26  
V25  
V24  
W28  
W27  
W29  
W26  
B5  
B2  
EMIFRW  
EMIFWAIT0  
EMIFWAIT1  
EMIFWE  
EMU00  
P26  
A20  
C29  
C28  
B27  
A27  
B24  
A24  
B21  
A21  
B9  
T29  
T28  
P24  
AC29  
AC28  
AC27  
AC26  
AD29  
AD28  
AD27  
AE29  
AE28  
EMU01  
EMU02  
EMU03  
EMU04  
EMU05  
EMU06  
A9  
EMU07  
A6  
EMU08  
Copyright 2010 Texas Instruments Incorporated  
Device Overview 47  
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
Table 2-17  
Terminal Functions  
— By Signal Name  
(Part 7 of 13)  
Table 2-17  
Terminal Functions  
— By Signal Name  
(Part 8 of 13)  
Table 2-17  
Terminal Functions  
— By Signal Name  
(Part 9 of 13)  
Signal Name  
EMU09  
EMU10  
EMU11  
EMU12  
EMU13  
EMU14  
EMU15  
EMU16  
EMU17  
EMU18  
FSA0  
Ball Number  
AF29  
AE27  
AF28  
AG29  
AD26  
AG28  
AG27  
AJ27  
AF27  
AH27  
AJ26  
AG23  
AG26  
AJ22  
H25  
Signal Name  
MCMRXN2  
MCMRXN3  
MCMRXP0  
MCMRXP1  
MCMRXP2  
MCMRXP3  
MCMRXPMCLK  
MCMRXPMDAT  
MCMTXFLCLK  
MCMTXFLDAT  
MCMTXN0  
MCMTXN1  
MCMTXN2  
MCMTXN3  
MCMTXP0  
MCMTXP1  
MCMTXP2  
MCMTXP3  
MCMTXPMCLK  
MCMTXPMDAT  
MDCLK  
Ball Number  
M1  
Signal Name  
RESETSTAT  
RESET  
Ball Number  
N27  
P2  
M29  
AJ11  
AH10  
AH14  
AJ15  
AJ12  
AH11  
AH13  
AJ14  
AF10  
AG11  
AG15  
AF14  
AF11  
AG12  
AG14  
AF13  
AH28  
N24  
T2  
RIORXN0  
RIORXN1  
RIORXN2  
RIORXN3  
RIORXP0  
RIORXP1  
RIORXP2  
RIORXP3  
RIOTXN0  
RIOTXN1  
RIOTXN2  
RIOTXN3  
RIOTXP0  
RIOTXP1  
RIOTXP2  
RIOTXP3  
RSV01  
R1  
N1  
N2  
Y3  
Y4  
AA1  
AA3  
M5  
FSA1  
T4  
FSB0  
R5  
FSB1  
N4  
GPIO00  
GPIO01  
GPIO02  
GPIO03  
GPIO04  
GPIO05  
GPIO06  
GPIO07  
GPIO08  
GPIO09  
GPIO10  
GPIO11  
GPIO12  
GPIO13  
GPIO14  
GPIO15  
HOUT  
N5  
J28  
U4  
J29  
T5  
J26  
P4  
J25  
AA2  
AA4  
H26  
G26  
M25  
AE4  
AJ4  
AJ5  
AH5  
AG5  
AH7  
AJ9  
AH8  
AJ8  
K24  
L27  
L24  
AF8  
AG9  
AF7  
AG8  
AC20  
G22  
N25  
J27  
RSV02  
J24  
RSV03  
N23  
K27  
MDIO  
RSV04  
AH2  
K28  
NMI  
RSV05  
AJ3  
K26  
PACLKSEL  
PASSCLKN  
PASSCLKP  
PCIECLKN  
PCIECLKP  
RSV06  
H28  
K29  
RSV07  
G28  
L28  
RSV08  
AH19  
AF19  
AA21  
AA20  
K22  
L29  
RSV09  
K25  
RSV0A  
K24  
PCIERXN0  
PCIERXN1  
PCIERXP0  
RSV0B  
L27  
RSV10  
AD20  
H25  
RSV11  
J22  
LENDIAN †  
LRESETNMIEN  
LRESET  
MCMCLKN  
MCMCLKP  
PCIERXP1  
RSV12  
Y5  
M27  
N26  
PCIESSMODE0 †  
PCIESSMODE1 †  
PCIESSEN †  
PCIETXN0  
PCIETXN1  
PCIETXP0  
RSV13  
W5  
RSV14  
W6  
Y2  
RSV15  
AE12  
AC9  
W2  
RSV16  
MCMREFCLKOUTN  
MCMREFCLKOUTP  
MCMRXFLCLK  
MCMRXFLDAT  
MCMRXN0  
W1  
Y1  
RSV17  
AD19  
AF3  
RSV20  
W3  
W4  
U2  
T1  
PCIETXP1  
RSV21  
G25  
POR  
RSV22  
AF1  
PTV15  
RSV24  
AH4  
MCMRXN1  
RESETFULL  
RSV25  
AH3  
48  
Device Overview  
Copyright 2010 Texas Instruments Incorporated  
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
Table 2-17  
Terminal Functions  
— By Signal Name  
(Part 10 of 13)  
Table 2-17  
Terminal Functions  
— By Signal Name  
(Part 11 of 13)  
Table 2-17  
Terminal Functions  
— By Signal Name  
(Part 12 of 13)  
Signal Name  
SCL  
Ball Number  
AD3  
Signal Name  
TRST  
Ball Number  
P28  
Signal Name  
Ball Number  
VSS  
A1, A29, B11, B17,  
B25, C8, C23, D3,  
D14, D18, E5, E20,  
F6, F8, F10, F12,  
F16, F18, F27, F29,  
G1, G3, G5, G6, G7,  
G9, G11, G13, G15,  
G17, G19, G21, G24,  
SDA  
AC4  
TX00  
AE24  
AD25  
AJ24  
AG24  
AH24  
AF24  
AE23  
AF23  
AF21  
AD22  
AC22  
AE21  
AG20  
AE20  
AH20  
AF20  
AB3  
SGMII0RXN  
SGMII0RXP  
SGMII0TXN  
SGMII0TXP  
SGMII1RXN  
SGMII1RXP  
SGMII1TXN  
SGMII1TXP  
SPICLK  
SPIDIN  
SPIDOUT  
SPISCS0  
SPISCS1  
SRIOSGMIICLKN  
SRIOSGMIICLKP  
SYSCLKOUT  
TCK  
AJ18  
AJ17  
AG18  
AG17  
AH17  
AH16  
AF17  
AF16  
AE1  
TX01  
TX02  
TX03  
TX04  
VSS  
VSS  
VSS  
VSS  
VSS  
VSS  
H1, H2, H3, H4, H5,  
H6, H8, H10, H12,  
H14, H16, H18, H20,  
J1, J2, J3, J4, J5, J6,  
J7, J9, J11, J13, J15,  
J17, J19, J21, K1, K2,  
K3, K4, K5, K6, K8,  
K10, K12, K14, K16,  
TX05  
TX06  
TX07  
TX10  
TX11  
AD2  
TX12  
K18, K20, L1, L2, L3,  
L4, L5, L6, L7, L9,  
L11, L13, L15, L17,  
L19, L21, M2, M3,  
M4, M6, M8, M10,  
M12, M14, M16,  
M18, M20, M22,  
M28, N3, N7, N9,  
AB1  
TX13  
AG1  
TX14  
AG2  
TX15  
AJ6  
TX16  
AG6  
TX17  
N11, N13, N15, N17,  
N19, N21, P1, P3,  
P5, P6, P8, P10, P12,  
P14, P16, P18, P20,  
P22, R2, R3, R4, R7,  
R9, R11, R13, R15,  
R17, R19, R21, T3,  
T6, T8, T10, T12,  
AE3  
UARTCTS  
UARTRTS  
UARTRXD  
UARTTXD  
VCL  
N29  
AB2  
TDI  
P27  
AD1  
TDO  
R29  
AC1  
TIMI0  
L24  
M24  
TIMI1  
L26  
VCNTL0  
VCNTL1  
VCNTL2  
VCNTL3  
VD  
L23  
T14, T16, T18, T20,  
T22, T26, U1, U3,  
U5, U7, U9, U11,  
U13, U15, U17, U19,  
U21, V1, V2, V3, V4,  
V6, V8, V10, V12,  
V14, V16, V18, V20,  
V22, W7, W9, W11,  
TIMO0  
TIMO1  
TMS  
L25  
K23  
M26  
J23  
P29  
H23  
TR00  
AH26  
AJ25  
AD23  
AD24  
AC23  
AH25  
AC24  
AE25  
AE22  
AD21  
AC21  
AJ21  
AH22  
AJ20  
AH21  
AG21  
M23  
TR01  
VDDR1  
VDDR2  
VDDR3  
VDDR4  
VDDT1  
V5  
W13, W15, W17,  
W19, W21, Y6, Y8,  
Y10, Y12, Y14, Y16,  
Y18, Y20, Y22, AA5,  
AA7, AA9, AA11,  
AA13, AA15, AA17,  
AA19, AA23, AA28,  
AB4, AB6, AB8,  
TR02  
AE10  
AE16  
AE14  
TR03  
TR04  
TR05  
M7, N6, P7, R6, T7,  
U6, V7  
TR06  
VDDT2  
AB9, AB11, AB13,  
AB15, AB17, AC8,  
AC10, AC12, AC14,  
AC16, AC18, AD7,  
AD9, AD11, AD13,  
AD15, AD17, AE18  
TR07  
AB10, AB12, AB14,  
AB16, AB18, AB20,  
AB22, AC2, AC5,  
AC7, AC11, AC13,  
AC15, AC17, AC19,  
AD6, AD8, AD10,  
AD12, AD14, AD16,  
AD18, AE7, AE8,  
TR10  
TR11  
TR12  
VREFSSTL  
E14  
TR13  
TR14  
TR15  
TR16  
TR17  
Copyright 2010 Texas Instruments Incorporated  
Device Overview 49  
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
Table 2-17  
Terminal Functions  
— By Signal Name  
(Part 13 of 13)  
Signal Name  
Ball Number  
VSS  
AE9, AE11, AE13,  
AE15, AE17, AE19,  
AE26, AF4, AF6,  
AF9, AF12, AF15,  
AF18, AF22AG7,  
AG10, AG13, AG16,  
AG19, AH6, AH9,  
AH12, AH15, AH18,  
VSS  
AJ1, AJ7, AJ10,  
AJ13, AJ16, AJ19,  
AJ29  
End of Table 2-17  
50  
Device Overview  
Copyright 2010 Texas Instruments Incorporated  
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
Table 2-18  
Terminal Functions  
— By Ball Number  
(Part 2 of 21)  
Table 2-18  
Terminal Functions  
— By Ball Number  
(Part 3 of 21)  
Table 2-18  
Terminal Functions  
— By Ball Number  
(Part 1 of 21)  
Ball Number  
B14  
B15  
B16  
B17  
B18  
B19  
B20  
B21  
B22  
B23  
B24  
B25  
B26  
B27  
B28  
B29  
C1  
Signal Name  
DDRA01  
DDRA06  
DDRCLKOUTN1  
VSS  
Ball Number  
C27  
C28  
C29  
D1  
Signal Name  
DDRDQM1  
DDRDQS0P  
DDRDQS0N  
DDRDQS7P  
DDRD57  
VSS  
Ball Number  
A1  
Signal Name  
VSS  
A2  
DVDD15  
A3  
DDRDQS6N  
DDRD51  
A4  
DDRCB06  
DDRDQS8N  
DDRCB03  
DDRDQS3N  
DDRD30  
DDRD21  
DDRDQS2N  
VSS  
D2  
A5  
DDRD49  
D3  
A6  
DDRDQS5N  
DDRD40  
D4  
DDRD52  
DVDD15  
DDRD46  
DDRD41  
DVDD15  
DDRD35  
DDRD33  
DDRCKE0  
DDRCAS  
DDRODT0  
VSS  
A7  
D5  
A8  
DDRDQM5  
DDRDQS4P  
DDRDQM4  
DVDD15  
D6  
A9  
D7  
A10  
A11  
A12  
A13  
A14  
A15  
A16  
A17  
A18  
A19  
A20  
A21  
A22  
A23  
A24  
A25  
A26  
A27  
A28  
A29  
B1  
D8  
D9  
DDRCLKOUTP0  
DDRBA0  
DDRD14  
DDRDQS1N  
DDRD05  
DVDD15  
DDRDQS7N  
DDRD59  
DDRD55  
DDRD54  
DDRD48  
DDRD47  
DDRD43  
VSS  
D10  
D11  
D12  
D13  
D14  
D15  
D16  
D17  
D18  
D19  
D20  
D21  
D22  
D23  
D24  
D25  
D26  
D27  
D28  
D29  
E1  
DDRA00  
DDRA04  
DDRCLKOUTP1  
DVDD15  
C2  
DDRA07  
DDRA11  
DDRA14  
VSS  
DDRCB07  
DDRDQS8P  
DDRDQM8  
DDRDQS3P  
DDRDQM3  
DDRD20  
C3  
C4  
C5  
C6  
DDRCB02  
DVDD15  
DDRD24  
DDRD28  
DVDD15  
DDRD18  
DDRD11  
DDRD12  
DDRD04  
DDRD03  
DDRD01  
DDRD62  
DDRD58  
DVDD15  
DDRD53  
VSS  
C7  
C8  
DDRDQS2P  
DDRDQM2  
DDRD15  
C9  
DDRD37  
DDRRAS  
DDRCE0  
DDRCE1  
DDRBA2  
DVDD15  
DDRA05  
DDRA13  
DDRA15  
DDRCB05  
DDRCB04  
DDRCB01  
DDRD29  
DDRD31  
VSS  
C10  
C11  
C12  
C13  
C14  
C15  
C16  
C17  
C18  
C19  
C20  
C21  
C22  
C23  
C24  
C25  
C26  
DDRDQS1P  
DVDD15  
VSS  
DVDD15  
B2  
DDRDQM7  
DDRDQS6P  
DDRD50  
B3  
B4  
E2  
B5  
DDRDQM6  
DDRDQS5P  
DDRD44  
E3  
B6  
E4  
B7  
E5  
B8  
DDRD38  
E6  
DDRD45  
DDRD42  
DDRD39  
DDRD36  
DDRD32  
B9  
DDRDQS4N  
DDRD34  
E7  
B10  
B11  
B12  
B13  
DDRD22  
DVDD15  
DDRD13  
E8  
VSS  
E9  
DDRCLKOUTN0  
DDRBA1  
E10  
Copyright 2010 Texas Instruments Incorporated  
Device Overview 51  
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
Table 2-18  
Terminal Functions  
— By Ball Number  
(Part 4 of 21)  
Table 2-18  
Terminal Functions  
— By Ball Number  
(Part 5 of 21)  
Table 2-18  
Terminal Functions  
— By Ball Number  
(Part 6 of 21)  
Ball Number  
E11  
E12  
E13  
E14  
E15  
E16  
E17  
E18  
E19  
E20  
E21  
E22  
E23  
E24  
E25  
E26  
E27  
E28  
E29  
F1  
Signal Name  
DDRRESET  
DDRWE  
DDRODT1  
VREFSSTL  
DDRA09  
DDRA10  
DDRA12  
DDRCKE1  
DDRCB00  
VSS  
Ball Number  
F24  
F25  
F26  
F27  
F28  
F29  
G1  
Signal Name  
DDRD08  
DDRD07  
DVDD15  
VSS  
Ball Number  
H8  
Signal Name  
VSS  
H9  
CVDD  
VSS  
H10  
H11  
H12  
H13  
H14  
H15  
H16  
H17  
H18  
H19  
H20  
H21  
H22  
H23  
H24  
H25  
H25  
H26  
H27  
H28  
H29  
J1  
CVDD  
VSS  
DVDD15  
VSS  
CVDD  
VSS  
VSS  
G2  
DVDD15  
VSS  
CVDD  
VSS  
G3  
G4  
DVDD15  
VSS  
CVDD  
VSS  
DDRD26  
DDRD23  
DDRD19  
DDRD09  
DDRD10  
DDRD06  
DDRD02  
DDRD00  
DDRDQM0  
DDRD63  
DDRD60  
DDRD61  
DDRD56  
DVDD15  
VSS  
G5  
G6  
VSS  
CVDD  
VSS  
G7  
VSS  
G8  
DVDD15  
VSS  
CVDD  
AVDDA1  
VCNTL3  
DVDD18  
GPIO00  
LENDIAN †  
MDCLK  
DDRSLRATE1  
RSV06  
DDRCLKN  
VSS  
G9  
G10  
G11  
G12  
G13  
G14  
G15  
G16  
G17  
G18  
G19  
G20  
G21  
G22  
G23  
G24  
G25  
G26  
G27  
G28  
G29  
H1  
DVDD15  
VSS  
DVDD15  
VSS  
DVDD15  
VSS  
F2  
F3  
DVDD15  
VSS  
F4  
F5  
DVDD15  
VSS  
F6  
J2  
VSS  
F7  
DVDD15  
VSS  
DVDD15  
VSS  
J3  
VSS  
F8  
J4  
VSS  
F9  
DVDD15  
VSS  
PTV15  
DVDD15  
VSS  
J5  
VSS  
F10  
F11  
F12  
F13  
F14  
F15  
F16  
F17  
F18  
F19  
F20  
F21  
F22  
F23  
J6  
VSS  
DVDD15  
VSS  
J7  
VSS  
RSV21  
MDIO  
DDRSLRATE0  
RSV07  
DDRCLKP  
VSS  
J8  
CVDD1  
VSS  
DDRA03  
DDRA02  
DDRA08  
VSS  
J9  
J10  
J11  
J12  
J13  
J14  
J15  
J16  
J17  
J18  
J19  
CVDD  
VSS  
CVDD  
VSS  
DVDD15  
VSS  
H2  
VSS  
CVDD1  
VSS  
DVDD15  
DDRD25  
DDRD27  
DDRD17  
DDRD16  
H3  
VSS  
H4  
VSS  
CVDD  
VSS  
H5  
VSS  
H6  
VSS  
CVDD  
VSS  
H7  
CVDD  
52  
Device Overview  
Copyright 2010 Texas Instruments Incorporated  
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
Table 2-18  
Terminal Functions  
— By Ball Number  
(Part 7 of 21)  
Table 2-18  
Terminal Functions  
— By Ball Number  
(Part 8 of 21)  
Table 2-18  
Terminal Functions  
— By Ball Number  
(Part 9 of 21)  
Ball Number  
J20  
J21  
J22  
J23  
J24  
J24  
J25  
J25  
J26  
J26  
J27  
J27  
J28  
J28  
J29  
J29  
K1  
Signal Name  
CVDD  
Ball Number  
K25  
K26  
K26  
K27  
K27  
K28  
K28  
K29  
K29  
L1  
Signal Name  
BOOTMODE12 †  
GPIO09  
BOOTMODE08 †  
GPIO07  
BOOTMODE06 †  
GPIO08  
BOOTMODE07 †  
GPIO10  
BOOTMODE09 †  
VSS  
Ball Number  
M1  
Signal Name  
MCMRXN2  
VSS  
VSS  
M2  
RSV11  
M3  
VSS  
VCNTL2  
GPIO06  
BOOTMODE05 †  
GPIO04  
BOOTMODE03 †  
GPIO03  
BOOTMODE02 †  
GPIO05  
BOOTMODE04 †  
GPIO01  
BOOTMODE00 †  
GPIO02  
BOOTMODE01†  
VSS  
M4  
VSS  
M5  
MCMTXN0  
VSS  
M6  
M7  
VDDT1  
VSS  
M8  
M9  
CVDD1  
VSS  
M10  
M11  
M12  
M13  
M14  
M15  
M16  
M17  
M18  
M19  
M20  
M21  
M22  
M23  
M24  
M25  
M26  
M27  
M28  
M29  
N1  
L2  
VSS  
CVDD  
VSS  
L3  
VSS  
L4  
VSS  
CVDD  
VSS  
L5  
VSS  
L6  
VSS  
CVDD  
VSS  
L7  
VSS  
L8  
CVDD1  
VSS  
CVDD  
VSS  
K2  
VSS  
L9  
K3  
VSS  
L10  
L11  
L12  
L13  
L14  
L15  
L16  
L17  
L18  
L19  
L20  
L21  
L22  
L23  
L24  
L24  
L25  
L26  
L27  
L27  
L28  
L28  
L29  
L29  
CVDD  
CVDD  
VSS  
K4  
VSS  
VSS  
K5  
VSS  
CVDD  
CVDD1  
VSS  
K6  
VSS  
VSS  
K7  
CVDD1  
VSS  
CVDD1  
VSS  
VD  
K8  
VCL  
K9  
CVDD1  
VSS  
CVDD  
NMI  
K10  
K11  
K12  
K13  
K14  
K15  
K16  
K17  
K18  
K19  
K20  
K21  
K22  
K23  
K24  
K24  
K25  
VSS  
TIMO1  
LRESETNMIEN  
VSS  
CVDD  
CVDD  
VSS  
VSS  
CVDD1  
VSS  
CVDD1  
VSS  
RESET  
MCMRXP2  
MCMRXP3  
VSS  
CVDD1  
VSS  
CVDD1  
VCNTL0  
TIMI0  
N2  
N3  
CVDD  
N4  
MCMTXN3  
MCMTXP0  
VDDT1  
VSS  
VSS  
PCIESSEN †  
TIMO0  
N5  
CVDD  
N6  
VSS  
TIMI1  
N7  
CVDD  
GPIO15  
PCIESSMODE1 †  
GPIO11  
BOOTMODE10 †  
GPIO12  
BOOTMODE11 †  
N8  
CVDD  
VSS  
RSV10  
N9  
VCNTL1  
GPIO14  
PCIESSMODE0 †  
GPIO13  
N10  
N11  
N12  
N13  
CVDD  
VSS  
CVDD  
VSS  
Copyright 2010 Texas Instruments Incorporated  
Device Overview 53  
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
Table 2-18  
Terminal Functions  
— By Ball Number  
(Part 10 of 21)  
Table 2-18  
Terminal Functions  
— By Ball Number  
(Part 11 of 21)  
Table 2-18  
Terminal Functions  
— By Ball Number  
(Part 12 of 21)  
Ball Number  
N14  
N15  
N16  
N17  
N18  
N19  
N20  
N21  
N22  
N23  
N24  
N25  
N26  
N27  
N28  
N29  
P1  
Signal Name  
CVDD  
VSS  
Ball Number  
P27  
P28  
P29  
R1  
Signal Name  
TDI  
Ball Number  
T11  
T12  
T13  
T14  
T15  
T16  
T17  
T18  
T19  
T20  
T21  
T22  
T23  
T24  
T25  
T26  
T27  
T28  
T29  
U1  
Signal Name  
CVDD  
VSS  
TRST  
CVDD  
VSS  
TMS  
CVDD  
VSS  
MCMRXP1  
VSS  
CVDD  
VSS  
R2  
CVDD  
VSS  
R3  
VSS  
CVDD1  
VSS  
R4  
VSS  
CVDD  
VSS  
R5  
MCMTXN2  
VDDT1  
VSS  
CVDD1  
RSV03  
RSV02  
RESETFULL  
LRESET  
RESETSTAT  
DVDD18  
TCK  
R6  
CVDD  
VSS  
R7  
R8  
CVDD  
VSS  
CVDD  
VSS  
R9  
R10  
R11  
R12  
R13  
R14  
R15  
R16  
R17  
R18  
R19  
R20  
R21  
R22  
R23  
R24  
R25  
R26  
R27  
R28  
R29  
T1  
CVDD  
VSS  
DVDD18  
EMIFA01  
EMIFA03  
VSS  
CVDD1  
VSS  
VSS  
CVDD1  
VSS  
EMIFA00  
EMIFWAIT1  
EMIFWAIT0  
VSS  
P2  
MCMRXN3  
VSS  
P3  
CVDD1  
VSS  
P4  
MCMTXP3  
VSS  
P5  
CVDD  
VSS  
U2  
MCMRXN0  
VSS  
P6  
VSS  
U3  
P7  
VDDT1  
VSS  
CVDD  
VSS  
U4  
MCMTXP1  
VSS  
P8  
U5  
P9  
CVDD  
VSS  
CVDD  
EMIFBE1  
EMIFBE0  
EMIFCE3  
EMIFOE  
EMIFCE1  
EMIFCE2  
TDO  
U6  
VDDT1  
VSS  
P10  
P11  
P12  
P13  
P14  
P15  
P16  
P17  
P18  
P19  
P20  
P21  
P22  
P23  
P24  
P25  
P26  
U7  
CVDD  
VSS  
U8  
CVDD  
VSS  
U9  
CVDD  
VSS  
U10  
U11  
U12  
U13  
U14  
U15  
U16  
U17  
U18  
U19  
U20  
U21  
U22  
U23  
CVDD  
VSS  
CVDD  
VSS  
CVDD1  
VSS  
CVDD  
VSS  
MCMRXN1  
MCMRXP0  
VSS  
CVDD1  
VSS  
T2  
CVDD  
VSS  
T3  
CVDD1  
VSS  
T4  
MCMTXN1  
MCMTXP2  
VSS  
CVDD  
VSS  
T5  
CVDD  
VSS  
T6  
DVDD18  
EMIFWE  
EMIFCE0  
EMIFRW  
T7  
VDDT1  
VSS  
CVDD  
VSS  
T8  
T9  
CVDD  
VSS  
CVDD  
EMIFA23  
T10  
54  
Device Overview  
Copyright 2010 Texas Instruments Incorporated  
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
Table 2-18  
Terminal Functions  
— By Ball Number  
(Part 13 of 21)  
Table 2-18  
Terminal Functions  
— By Ball Number  
(Part 14 of 21)  
Table 2-18  
Terminal Functions  
— By Ball Number  
(Part 15 of 21)  
Ball Number  
U24  
U25  
U26  
U27  
U28  
U29  
V1  
Signal Name  
EMIFA07  
EMIFA06  
DVDD18  
EMIFA04  
EMIFA05  
EMIFA02  
VSS  
Ball Number  
W8  
Signal Name  
CVDD  
Ball Number  
Y21  
Signal Name  
CVDD  
W9  
VSS  
Y22  
VSS  
W10  
W11  
W12  
W13  
W14  
W15  
W16  
W17  
W18  
W19  
W20  
W21  
W22  
W23  
W24  
W25  
W26  
W27  
W28  
W29  
Y1  
CVDD  
Y23  
DVDD18  
EMIFD11  
EMIFD08  
EMIFD03  
EMIFD00  
EMIFA22  
EMIFA21  
MCMTXFLCLK  
MCMTXPMCLK  
MCMTXFLDAT  
MCMTXPMDAT  
VSS  
VSS  
Y24  
CVDD1  
VSS  
Y25  
Y26  
CVDD1  
VSS  
Y27  
V2  
VSS  
Y28  
V3  
VSS  
CVDD1  
VSS  
Y29  
V4  
VSS  
AA1  
V5  
VDDR1  
VSS  
CVDD  
AA2  
V6  
VSS  
AA3  
V7  
VDDT1  
VSS  
CVDD  
AA4  
V8  
VSS  
AA5  
V9  
CVDD  
CVDD  
AA6  
DVDD18  
VSS  
V10  
V11  
V12  
V13  
V14  
V15  
V16  
V17  
V18  
V19  
V20  
V21  
V22  
V23  
V24  
V25  
V26  
V27  
V28  
V29  
W1  
VSS  
EMIFA20  
EMIFA19  
EMIFA18  
EMIFA17  
EMIFA15  
EMIFA14  
EMIFA16  
MCMREFCLKOUTP  
MCMCLKN  
MCMRXPMCLK  
MCMRXPMDAT  
RSV12  
VSS  
AA7  
CVDD  
AA8  
CVDD  
VSS  
AA9  
VSS  
CVDD1  
VSS  
AA10  
AA11  
AA12  
AA13  
AA14  
AA15  
AA16  
AA17  
AA18  
AA19  
AA20  
AA21  
AA22  
AA23  
AA24  
AA25  
AA26  
AA27  
AA28  
AA29  
AB1  
CVDD  
VSS  
CVDD1  
VSS  
CVDD  
VSS  
CVDD  
CVDD  
VSS  
Y2  
VSS  
CVDD  
Y3  
CVDD  
VSS  
Y4  
VSS  
CVDD  
Y5  
CVDD  
VSS  
Y6  
VSS  
DVDD18  
EMIFA13  
EMIFA12  
EMIFA11  
EMIFA10  
EMIFA08  
EMIFA09  
MCMREFCLKOUTN  
MCMCLKP  
MCMRXFLCLK  
MCMRXFLDAT  
RSV13  
Y7  
DVDD18  
VSS  
RSV0B  
Y8  
RSV0A  
Y9  
CVDD  
CVDD  
Y10  
Y11  
Y12  
Y13  
Y14  
Y15  
Y16  
Y17  
Y18  
Y19  
Y20  
VSS  
VSS  
CVDD  
EMIFD10  
EMIFD07  
EMIFD06  
EMIFD04  
VSS  
VSS  
CVDD  
VSS  
W2  
CVDD  
W3  
VSS  
EMIFD02  
SPIDOUT  
UARTRTS  
UARTCTS  
VSS  
W4  
CVDD  
W5  
VSS  
AB2  
W6  
RSV14  
CVDD  
AB3  
W7  
VSS  
VSS  
AB4  
Copyright 2010 Texas Instruments Incorporated  
Device Overview 55  
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
Table 2-18  
Terminal Functions  
— By Ball Number  
(Part 16 of 21)  
Table 2-18  
Terminal Functions  
— By Ball Number  
(Part 17 of 21)  
Table 2-18  
Terminal Functions  
— By Ball Number  
(Part 18 of 21)  
Ball Number  
AB5  
Signal Name  
DVDD18  
VSS  
Ball Number  
AC18  
AC19  
AC20  
AC21  
AC22  
AC23  
AC24  
AC25  
AC26  
AC27  
AC28  
AC29  
AD1  
Signal Name  
VDDT2  
VSS  
Ball Number  
AE2  
Signal Name  
BOOTCOMPLETE  
SYSCLKOUT  
PACLKSEL  
CORESEL3  
CORESEL2  
VSS  
AB6  
AE3  
AB7  
DVDD18  
VSS  
POR  
AE4  
AB8  
TR12  
AE5  
AB9  
VDDT2  
VSS  
TX12  
AE6  
AB10  
AB11  
AB12  
AB13  
AB14  
AB15  
AB16  
AB17  
AB18  
AB19  
AB20  
AB21  
AB22  
AB23  
AB24  
AB25  
AB26  
AB27  
AB28  
AB29  
AC1  
TR04  
AE7  
VDDT2  
VSS  
TR06  
AE8  
VSS  
EMIFD15  
EMU03  
EMU02  
EMU01  
EMU00  
UARTRXD  
SPIDIN  
SCL  
AE9  
VSS  
VDDT2  
VSS  
AE10  
AE11  
AE12  
AE13  
AE14  
AE15  
AE16  
AE17  
AE18  
AE19  
AE20  
AE21  
AE22  
AE23  
AE24  
AE25  
AE26  
AE27  
AE28  
AE29  
AF1  
VDDR2  
VSS  
VDDT2  
VSS  
RSV15  
VSS  
VDDT2  
VSS  
VDDR4  
VSS  
AD2  
DVDD18  
VSS  
AD3  
VDDR3  
VSS  
AD4  
CORESEL1  
AVDDA3  
VSS  
DVDD18  
VSS  
AD5  
VDDT2  
VSS  
AD6  
EMIFD12  
EMIFD13  
EMIFD09  
EMIFD14  
EMIFD05  
DVDD18  
EMIFD01  
UARTTXD  
VSS  
AD7  
VDDT2  
VSS  
TX15  
AD8  
TX13  
AD9  
VDDT2  
VSS  
TR10  
AD10  
AD11  
AD12  
AD13  
AD14  
AD15  
AD16  
AD17  
AD18  
AD19  
AD20  
AD21  
AD22  
AD23  
AD24  
AD25  
AD26  
AD27  
AD28  
AD29  
AE1  
TX06  
VDDT2  
VSS  
TX00  
TR07  
VDDT2  
VSS  
VSS  
EMU10  
EMU08  
EMU07  
RSV22  
CORESEL0  
RSV20  
VSS  
AC2  
VDDT2  
VSS  
AC3  
DVDD18  
SDA  
AC4  
VDDT2  
VSS  
AC5  
VSS  
AF2  
AC6  
AVDDA2  
VSS  
RSV17  
HOUT  
TR11  
AF3  
AC7  
AF4  
AC8  
VDDT2  
RSV16  
VDDT2  
VSS  
AF5  
DVDD18  
VSS  
AC9  
TX11  
AF6  
AC10  
AC11  
AC12  
AC13  
AC14  
AC15  
AC16  
AC17  
TR02  
AF7  
PCIETXP0  
PCIETXN0  
VSS  
TR03  
AF8  
VDDT2  
VSS  
TX01  
AF9  
EMU13  
EMU06  
EMU05  
EMU04  
SPICLK  
AF10  
AF11  
AF12  
AF13  
AF14  
RIOTXN0  
RIOTXP0  
VSS  
VDDT2  
VSS  
VDDT2  
VSS  
RIOTXP3  
RIOTXN3  
56  
Device Overview  
Copyright 2010 Texas Instruments Incorporated  
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
Table 2-18  
Terminal Functions  
— By Ball Number  
(Part 19 of 21)  
Table 2-18  
Terminal Functions  
— By Ball Number  
(Part 20 of 21)  
Table 2-18  
Terminal Functions  
— By Ball Number  
(Part 21 of 21)  
Ball Number  
AF15  
AF16  
AF17  
AF18  
AF19  
AF20  
AF21  
AF22  
AF23  
AF24  
AF25  
AF26  
AF27  
AF28  
AF29  
AG1  
Signal Name  
VSS  
Ball Number  
AG28  
AG29  
AH1  
Signal Name  
EMU14  
EMU12  
DVDD18  
RSV04  
Ball Number  
AJ12  
AJ13  
AJ14  
AJ15  
AJ16  
AJ17  
AJ18  
AJ19  
AJ20  
AJ21  
AJ22  
AJ23  
AJ24  
AJ25  
AJ26  
AJ27  
AJ28  
AJ29  
Signal Name  
RIORXP0  
VSS  
SGMII1TXP  
SGMII1TXN  
VSS  
RIORXP3  
RIORXN3  
VSS  
AH2  
RSV09  
AH3  
RSV25  
TX17  
AH4  
RSV24  
SGMII0RXP  
SGMII0RXN  
VSS  
TX10  
AH5  
PCIECLKN  
VSS  
VSS  
AH6  
TX07  
AH7  
PCIERXN0  
PCIERXP0  
VSS  
TR15  
TX05  
AH8  
TR13  
CLKA0  
AH9  
FSB1  
DVDD18  
EMU17  
EMU11  
EMU09  
SPISCS0  
SPISCS1  
CORECLKP  
CORECLKN  
PCIECLKP  
SRIOSGMIICLKP  
VSS  
AH10  
AH11  
AH12  
AH13  
AH14  
AH15  
AH16  
AH17  
AH18  
AH19  
AH20  
AH21  
AH22  
AH23  
AH24  
AH25  
AH26  
AH27  
AH28  
AH29  
AJ1  
RIORXN1  
RIORXP1  
VSS  
CLKA1  
TX02  
TR01  
RIORXP2  
RIORXN2  
VSS  
FSA0  
EMU16  
DVDD18  
VSS  
AG2  
AG3  
SGMII1RXP  
SGMII1RXN  
VSS  
AG4  
End of Table 2-18  
AG5  
AG6  
RSV08  
AG7  
TX16  
AG8  
PCIETXP1  
PCIETXN1  
VSS  
TR16  
AG9  
TR14  
AG10  
AG11  
AG12  
AG13  
AG14  
AG15  
AG16  
AG17  
AG18  
AG19  
AG20  
AG21  
AG22  
AG23  
AG24  
AG25  
AG26  
AG27  
CLKB1  
RIOTXN1  
RIOTXP1  
VSS  
TX04  
TR05  
TR00  
RIOTXP2  
RIOTXN2  
VSS  
EMU18  
RSV01  
DVDD18  
VSS  
SGMII0TXP  
SGMII0TXN  
VSS  
AJ2  
DVDD18  
RSV05  
AJ3  
TX14  
AJ4  
PASSCLKN  
PASSCLKP  
SRIOSGMIICLKN  
VSS  
TR17  
AJ5  
DVDD18  
FSA1  
AJ6  
AJ7  
TX03  
AJ8  
PCIERXP1  
PCIERXN1  
VSS  
CLKB0  
AJ9  
FSB0  
AJ10  
AJ11  
EMU15  
RIORXN0  
Copyright 2010 Texas Instruments Incorporated  
Device Overview 57  
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
2.9 Development  
2.9.1 Development Support  
In case the customer would like to develop their own features and software on the C6678 device, TI offers an  
extensive line of development tools for the TMS320C6000™ DSP platform, including tools to evaluate the  
performance of the processors, generate code, develop algorithm implementations, and fully integrate and debug  
software and hardware modules. The tool's support documentation is electronically available within the Code  
Composer Studio™ Integrated Development Environment (IDE).  
The following products support development of C6000™ DSP-based applications:  
Software Development Tools:  
Code Composer Studio™ Integrated Development Environment (IDE), including Editor C/C++/Assembly  
Code Generation, and Debug plus additional development tools  
Scalable, Real-Time Foundation Software (DSP/BIOS™), which provides the basic run-time target software  
needed to support any DSP application.  
Hardware Development Tools:  
Extended Development System (XDS™) Emulator (supports C6000™ DSP multiprocessor system debug)  
EVM (Evaluation Module)  
2.9.2 Device Support  
2.9.2.1 Device and Development-Support Tool Nomenclature  
To designate the stages in the product development cycle, TI assigns prefixes to the part numbers of all DSP devices  
and support tools. Each DSP commercial family member has one of three prefixes: TMX, TMP, or TMS (e.g.,  
TMX320CMH). Texas Instruments recommends two of three possible prefix designators for its support tools:  
TMDX and TMDS. These prefixes represent evolutionary stages of product development from engineering  
prototypes (TMX/TMDX) through fully qualified production devices/tools (TMS/TMDS).  
Device development evolutionary flow:  
TMX: Experimental device that is not necessarily representative of the final device's electrical specifications  
TMP: Final silicon die that conforms to the device's electrical specifications but has not completed quality and  
reliability verification  
TMS: Fully qualified production device  
Support tool development evolutionary flow:  
TMDX: Development-support product that has not yet completed Texas Instruments internal qualification  
testing.  
TMDS: Fully qualified development-support product  
TMX and TMP devices and TMDX development-support tools are shipped with the following disclaimer:  
"Developmental product is intended for internal evaluation purposes."  
TMS devices and TMDS development-support tools have been characterized fully, and the quality and reliability of  
the device have been demonstrated fully. TI's standard warranty applies.  
Predictions show that prototype devices (TMX or TMP) have a greater failure rate than the standard production  
devices. Texas Instruments recommends that these devices not be used in any production system because their  
expected end-use failure rate still is undefined. Only qualified production devices are to be used.  
58  
Device Overview  
Copyright 2010 Texas Instruments Incorporated  
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
Related Documentation from Texas Instruments  
These documents describe the TMS320C6678 Multicore Fixed and Floating-Point Digital Signal Processor. Copies  
of these documents are available on the Internet at www.ti.com  
64-bit Timer (Timer 64) for KeyStone Devices User Guide  
Antenna Interface 2 (AIF2) for KeyStone Devices User Guide  
Bootloader for the C66x DSP User Guide  
SPRUGV5  
SPRUGV7  
SPRUGY5  
SPRUGW0  
SPRUGH7  
SPRUGY8  
SPRABI1  
C66x CorePac User Guide  
C66x CPU and Instruction Set Reference Guide  
C66x DSP Cache User Guide  
DDR3 Design Guide for KeyStone Devices  
Emulation and Trace Headers Technical Reference  
SPRU655  
SPRUGS5  
SPRUGV9  
SPRUGZ3  
SPRUGS2  
SPRUGV1  
SPRABI2  
Enhanced Direct Memory Access 3 (EDMA3) for KeyStone Devices User Guide  
Ethernet Media Access Control (EMAC) for KeyStone Devices User Guide  
External Memory Interface (EMIF16) for KeyStone Devices User Guide  
Fast Fourier Transform Coprocessor (FFTC) for KeyStone Devices User Guide  
General Purpose Input/Output (GPIO) for KeyStone Devices User Guide  
Hardware Design Guide for KeyStone Devices  
HyperLink for KeyStone Devices User Guide  
SPRUGW8  
SPRUGV3  
SPRUGW4  
SPRUGW5  
SPRUGR9  
SPRUGW7  
SPRUGS4  
SPRUGS6  
SPRUGV2  
SPRABH0  
SPRUGV4  
SPRUGP2  
SPRUGW1  
SPRUGY4  
SPRUGP1  
SPRA387  
SPRA753  
Inter Integrated Circuit (I2C) for KeyStone Devices User Guide  
Interrupt Controller (INTC) for KeyStone Devices User Guide  
Memory Protection Unit (MPU) for KeyStone Devices User Guide  
Multicore Navigator for KeyStone Devices User Guide  
Multicore Shared Memory Controller (MSMC) for KeyStone Devices User Guide  
Packet Accelerator (PA) for KeyStone Devices User Guide  
Peripheral Component Interconnect Express (PCIe) for KeyStone Devices User Guide  
Phase Locked Loop (PLL) Controller for KeyStone Devices User Guide  
Power Management for KeyStone Devices  
Power Sleep Controller (PSC) for KeyStone Devices User Guide  
Serial Peripheral Interface (SPI) for KeyStone Devices User Guide  
Serial RapidIO (SRIO) for KeyStone Devices User Guide  
Telecom Serial Interface Port (TSIP) for the C66x DSP User Guide  
Universal Asynchronous Receiver/Transmitter (UART) for KeyStone Devices User Guide  
Using Advanced Event Triggering to Debug Real-Time Problems in High Speed Embedded Microprocessor Systems  
Using Advanced Event Triggering to Find and Fix Intermittent Real-Time Bugs  
Copyright 2010 Texas Instruments Incorporated  
Device Overview 59  
 
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
60  
Device Overview  
Copyright 2010 Texas Instruments Incorporated  
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
3 Device Configuration  
On the TMS320C6678 device, certain device configurations like boot mode and endianess, are selected at device  
power-on reset. The status of the peripherals (enabled/disabled) is determined after device power-on reset. By  
default, the peripherals on the device are disabled and need to be enabled by software before being used.  
3.1 Device Configuration at Device Reset  
Table 3-1 describes the device configuration pins. The logic level is latched at power-on reset to determine the device  
configuration. The logic level on the device configuration pins can be set by using external pullup/pulldown resistors  
or by using some control device (e.g., FPGA/CPLD) to intelligently drive these pins. When using a control device,  
care should be taken to ensure there is no contention on the lines when the device is out of reset. The device  
configuration pins are sampled during power-on reset and are driven after the reset is removed. To avoid  
contention, the control device must stop driving the device configuration pins of the DSP.  
Note—If a configuration pin must be routed out from the device and it is not driven (Hi-Z state), the internal  
pullup/pulldown (IPU/IPD) resistor should not be relied upon. TI recommends the use of an external  
pullup/pulldown resistor. For more detailed information on pullup/pulldown resistors and situations in  
which external pullup/pulldown resistors are required, see Section 3.4 ‘‘Pullup/Pulldown Resistors’’ on  
page 80.  
Table 3-1  
TMS320C6678 Device Configuration Pins  
(1)  
Configuration Pin  
Pin No.  
IPD/IPU  
Functional Description  
LENDIAN(1) (2)  
H25  
IPU  
Device endian mode (LENDIAN).  
0 = Device operates in big endian mode  
1 = Device operates in little endian mode  
BOOTMODE[12:0] (1) (2)  
PCIESSMODE[1:0] (1) (2)  
J28, J29, J26, J25,  
J27, J24, K27, K28,  
K26, K29, L28, L29,  
K25  
IPD  
IPD  
Method of boot.  
Some pins may not be used by bootloader and can be used as general purpose config  
pins. Refer to the Bootloader for the C66x DSP User Guide (literature number SPRUGY5) for  
how to determine the device enumeration ID value.  
L27, K24  
PCIe Subsystem mode selection.  
00 = PCIe in end point mode  
01 = PCIe legacy end point (no support for MSI)  
10 = PCIe in root complex mode  
11 = Reserved  
PCIESSEN (1) (2)  
PACLKSEL(1)  
L24  
AE4  
IPD  
IPD  
PCIe subsystem enable/disable.  
0 = PCIE Subsystem is disabled  
1 = PCIE Subsystem is enabled  
Packet accelerator subsystem clock select.  
0 = SYSCLK / ALTCORECLK (controlled by CORECLKSEL pin) is used as the input to PA_SS  
PLL  
1 = PASSCLK is used as the input to PASS PLL  
End of Table 3-1  
1 Internal 100-μA pulldown or pullup is provided for this terminal. In most systems, a 1-kΩ resistor can be used to oppose the IPD/IPU. For more detailed information on  
pulldown/pullup resistors and situations in which external pulldown/pullup resistors are required, see Section 3.4 ‘‘Pullup/Pulldown Resistors’’ on page 80.  
2 These signal names are the secondary functions of these pins.  
3.2 Peripheral Selection After Device Reset  
Several of the peripherals on the TMS320C6678 are controlled by the Power Sleep Controller (PSC). By default, the  
PCIe, SRIO, and HyperLink are held in reset and clock-gated. The memories in these modules are also in a  
low-leakage sleep mode. Software is required to turn these memories on. Then, the software enables the modules  
(turns on clocks and de-asserts reset) before these modules can be used.  
Copyright 2010 Texas Instruments Incorporated  
Device Configuration 61  
 
 
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
If one of the above modules is used in the selected ROM boot mode, the ROM code will automatically enable the  
module.  
All other modules come up enabled by default and there is no special software sequence to enable. For more detailed  
information on the PSC usage, see the Power Sleep Controller (PSC) for KeyStone Devices User Guide (literature  
number SPRUGV4).  
3.3 Device State Control Registers  
The TMS320C6678 device has a set of registers that are used to control the status of its peripherals. These registers  
are shown in Table 3-2.  
Table 3-2  
Device State Control Registers (Part 1 of 3)  
Address Start  
0x02620000  
0x02620008  
0x02620018  
0x0262001C  
0x02620020  
0x02620024  
0x02620038  
0x0262003C  
0x02620040  
0x02620044  
0x02620048  
0x0262004C  
0x02620050  
0x02620054  
0x02620058  
0x0262005C  
0x02620060  
0x026200E0  
0x02620110  
0x02620118  
0x02620130  
0x02620134  
0x02620138  
0x0262013C  
0x02620140  
0x02620144  
0x02620148  
0x0262014C  
0x02620150  
0x02620154  
0x02620180  
0x02620184  
Address End  
0x02620007  
0x02620017  
0x0262001B  
0x0262001F  
0x02620023  
0x02620037  
0x0262003B  
0x0262003F  
0x02620043  
0x02620047  
0x0262004B  
0x0262004F  
0x02620053  
0x02620057  
0x0262005B  
0x0262005F  
0x026200DF  
0x0262010F  
0x02620117  
0x0262012F  
0x02620133  
0x02620137  
0x0262013B  
0x0262013F  
0x02620143  
0x02620147  
0x0262014B  
0x0262014F  
0x02620153  
0x0262017F  
0x02620183  
0x0262018F  
Size  
8B  
16B  
4B  
Acronym  
Description  
Reserved  
Reserved  
JTAGID  
See section 3.3.3  
See section 3.3.1  
See section 3.3.4  
4B  
4B  
Reserved  
DEVSTAT  
20B  
4B  
Reserved  
KICK0  
4B  
4B  
4B  
4B  
4B  
4B  
KICK1  
DSP_BOOT_ADDR0  
DSP_BOOT_ADDR1  
DSP_BOOT_ADDR2  
DSP_BOOT_ADDR3  
DSP_BOOT_ADDR4  
DSP_BOOT_ADDR5  
DSP_BOOT_ADDR6  
DSP_BOOT_ADDR7  
The boot address for C66x DSP CorePac 0  
The boot address for C66x DSP CorePac 1  
The boot address for C66x DSP CorePac 2  
The boot address for C66x DSP CorePac 3  
The boot address for C66x DSP CorePac 4  
The boot address for C66x DSP CorePac 5  
The boot address for C66x DSP CorePac 6  
The boot address for C66x DSP CorePac 7  
4B  
4B  
4B  
128B Reserved  
48B  
8B  
Reserved  
MACID  
See section 7.19 ‘‘Ethernet MAC (EMAC)’’ on page 190  
24B  
4B  
Reserved  
LRSTNMIPIN  
RESET_STAT_CLR  
Reserved  
See section 3.3.6  
See section 3.3.8  
4B  
4B  
4B  
BOOTCOMPLETE  
Reserved  
See section 3.3.9  
4B  
4B  
RESET_STAT  
LRSTNMIPINSTAT  
DEVCFG  
See section 3.3.7  
See section 3.3.5  
See section 3.3.2  
See section 3.3.10  
4B  
4B  
4B  
PWRSTATECTL  
Reserved  
44B  
4B  
Reserved  
12B  
Reserved  
62  
Device Configuration  
Copyright 2010 Texas Instruments Incorporated  
 
 
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
Table 3-2  
Device State Control Registers (Part 2 of 3)  
Address Start  
0x02620190  
0x02620194  
0x02620198  
0x0262019C  
0x026201A0  
0x026201A4  
0x026201A8  
0x026201AC  
0x026201B0  
0x026201B4  
0x026201B8  
0x026201BC  
0x026201C0  
0x026201C4  
0x026201C8  
0x026201CC  
0x026201D0  
0x02620200  
0x02620204  
0x02620208  
0x0262020C  
0x02620210  
0x02620214  
0x02620218  
0x0262021C  
0x02620220  
0x02620240  
0x02620244  
0x02620248  
0x0262024C  
0x02620250  
0x02620254  
0x02620258  
0x0262025C  
0x02620260  
0x0262027C  
0x02620280  
0x02620284  
0x02620288  
0x0262028C  
0x02620290  
0x02620294  
0x02620298  
0x0262029C  
Address End  
0x02620193  
0x02620197  
0x0262019B  
0x0262019F  
0x026201A3  
0x026201A7  
0x026201AB  
0x026201AF  
0x026201B3  
0x026201B7  
0x026201BB  
0x026201BF  
0x026201C3  
0x026201C7  
0x026201CB  
0x026201CF  
0x026201FF  
0x02620203  
0x02620207  
0x0262020B  
0x0262020F  
0x02620213  
0x02620217  
0x0262021B  
0x0262021F  
0x0262023F  
0x02620243  
0x02620247  
0x0262024B  
0x0262024F  
0x02620253  
0x02620257  
0x0262025B  
0x0262025F  
0x0262027B  
0x0262027F  
0x02620283  
0x02620287  
0x0262028B  
0x0262028F  
0x02620293  
0x02620297  
0x0262029B  
0x0262029F  
Size  
4B  
4B  
4B  
4B  
4B  
4B  
4B  
4B  
4B  
4B  
4B  
4B  
4B  
4B  
4B  
4B  
48B  
4B  
4B  
4B  
4B  
4B  
4B  
4B  
4B  
32B  
4B  
4B  
4B  
4B  
4B  
4B  
4B  
4B  
28B  
4B  
4B  
4B  
4B  
4B  
4B  
4B  
4B  
4B  
Acronym  
Reserved  
Reserved  
Reserved  
Reserved  
Reserved  
Reserved  
Reserved  
Reserved  
Reserved  
Reserved  
Reserved  
Reserved  
Reserved  
Reserved  
Reserved  
Reserved  
Reserved  
NMIGR0  
NMIGR1  
NMIGR2  
NMIGR3  
NMIGR4  
NMIGR5  
NMIGR6  
NMIGR7  
Reserved  
IPCGR0  
Description  
See section 3.3.11  
See section 3.3.12  
IPCGR1  
IPCGR2  
IPCGR3  
IPCGR4  
IPCGR5  
IPCGR6  
IPCGR7  
Reserved  
IPCGRH  
IPCAR0  
See section 3.3.14  
See section 3.3.13  
IPCAR1  
IPCAR2  
IPCAR3  
IPCAR4  
IPCAR5  
IPCAR6  
IPCAR7  
Copyright 2010 Texas Instruments Incorporated  
Device Configuration 63  
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
Table 3-2  
Device State Control Registers (Part 3 of 3)  
Address Start  
0x026202A0  
0x026202BC  
0x026202C0  
0x02620300  
0x02620304  
0x02620308  
0x0262030C  
0x02620310  
0x02620314  
0x02620318  
0x0262031C  
0x02620320  
0x02620324  
0x02620328  
0x0262032C  
0x02620330  
0x02620334  
0x02620338  
0x0262033C  
End of Table 3-2  
Address End  
0x026202BB  
0x026202BF  
0x026202FF  
0x02620303  
0x02620307  
0x0262030B  
0x0262030F  
0x02620313  
0x02620317  
0x0262031B  
0x0262031F  
0x02620323  
0x02620327  
0x0262032B  
0x0262032F  
0x02620333  
0x02620337  
0x0262033B  
0x0262033F  
Size  
28B  
4B  
64B  
4B  
4B  
4B  
4B  
4B  
4B  
4B  
4B  
4B  
4B  
4B  
4B  
4B  
4B  
4B  
4B  
Acronym  
Reserved  
IPCARH  
Description  
See section 3.3.15  
Reserved  
TINPSEL  
See section 3.3.16  
See section 3.3.17  
TOUTPSEL  
RSTMUX0  
RSTMUX1  
RSTMUX2  
RSTMUX3  
RSTMUX4  
RSTMUX5  
RSTMUX6  
RSTMUX7  
MAINPLLCTL0  
Reserved  
DDR3PLLCTL0  
Reserved  
PAPLLCTL0  
Reserved  
See section 3.3.18  
See section 7.8 ‘‘Main PLL and PLL Controller’’ on page 215  
See section 7.9 ‘‘DD3 PLL’’ on page 228  
See section 7.10 ‘‘PASS PLL’’ on page 230  
3.3.1 Device Status Register  
The Device Status Register depicts the device configuration selected upon a power-on reset by either the POR or  
RESETFULL pin. Once set, these bits will remain set until a power-on reset. The Device Status Register is shown in  
Figure 3-1 and described in Table 3-3.  
Figure 3-1  
Device Status Register  
31  
18  
17  
PACLKSEL  
16  
PCIESSEN  
R-x  
15  
14  
13  
1
0
Reserved  
R-0  
PCIESSMODE[1:0  
R/W-xx  
BOOTMODE[12:0]  
R/W-xxxxxxxxxxxx  
LENDIAN  
R-x (1)  
Legend: R = Read only; RW = Read/Write; -n = value after reset  
1 x indicates the bootstrap value latched via the external pin  
Table 3-3  
Device Status Register Field Descriptions (Part 1 of 2)  
Bit  
Field  
Description  
31-18 Reserved  
Reserved. Read only, writes have no effect.  
17  
PACLKSEL  
PA Clock select to select the reference clock for PA Sub-System PLL  
0 = Selects PASSCLKP/N  
1 = Selects output of Main PLL MUX (SYSCLK vs. ALTCORECLK - depending on CORECLKSEL pin)  
16  
PCIESSEN  
PCIe module enable  
0 = PCIe module disabled  
1 = PCIe module enabled  
64  
Device Configuration  
Copyright 2010 Texas Instruments Incorporated  
 
 
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
Table 3-3  
Device Status Register Field Descriptions (Part 2 of 2)  
Bit  
Field  
Description  
15-14 PCIESSMODE[1:0] PCIe Mode selection pins  
00b = PCIe in End-point mode  
01b = PCIe in Legacy End-point mode (no support for MSI)  
10b = PCIe in Root complex mode  
11b = Reserved  
13-1  
0
BOOTMODE[12:0] Determines the bootmode configured for the device. For more information on bootmode, refer to Section 2.5 ‘‘Boot  
Modes Supported and PLL Settings’’ on page 27 and see the Bootloader for the C66x DSP User Guide (literature number  
SPRUGY5).  
LENDIAN  
Device Endian mode (LENDIAN) — Shows the status of whether the system is operating in Big Endian mode or Little  
Endian mode (default).  
0 = System is operating in Big Endian mode  
1 = System is operating in Little Endian mode (default)  
End of Table 3-3  
3.3.2 Device Configuration Register  
The Device Configuration Register is one-time writeable through software. The register is reset on all hard resets  
and is locked after the first write. The Device Configuration Register is shown in Figure 3-2 and described in  
Table 3-4.  
Figure 3-2  
Device Configuration Register (DEVCFG)  
31  
1
0
Reserved  
R-0  
SYSCLKOUTEN  
R/W-1  
Legend: R = Read only; RW = Read/Write; -n = value after reset  
Table 3-4  
Device Configuration Register Field Descriptions  
Bit  
31:1 Reserved  
SYSCLKOUTEN  
Field  
Description  
Reserved. Read only, writes have no effect.  
0
SYSCLKOUT Enable  
0 = No clock output  
1 = Clock output enabled (default)  
End of Table 3-4  
3.3.3 JTAG ID (JTAGID) Register Description  
The JTAG ID register is a read-only register that identifies to the customer the JTAG/Device ID. For the device, the  
JTAG ID register resides at address location 0x0262 0018. The JTAG ID Register is shown in Figure 3-3 and  
described in Table 3-5.  
Figure 3-3  
JTAG ID (JTAGID) Register  
31  
28  
27  
12  
11  
1
0
VARIANT  
R-0000  
PART NUMBER  
MANUFACTURER  
0000 0010 111b  
LSB  
R-1  
R-0000 0000 1001 1110b  
Legend: RW = Read/Write; R = Read only; -n = value after reset  
Copyright 2010 Texas Instruments Incorporated  
Device Configuration 65  
 
 
 
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
Table 3-5  
JTAG ID Register Field Descriptions  
Bit  
Acronym  
Value  
Description  
Variant (4-Bit) value. The value of this field depends on the silicon revision being used.  
31-28 VARIANT  
0000b  
27-12 PART NUMBER  
11-1 MANUFACTURER  
0000 0000 1001 1110b Part Number for boundary scan  
0000 0010 111b  
1b  
Manufacturer  
0
LSB  
This bit is read as a 1 for TMS320C6678  
End of Table 3-5  
3.3.4 Kicker Mechanism (KICK0 and KICK1) Register  
The Bootcfg module contains a kicker mechanism to prevent any spurious writes from changing any of the Bootcfg  
MMR values. When the kicker is locked (which it is initially after power on reset) none of the Bootcfg MMRs are  
writable (they are only readable). This mechanism requires two MMR writes to the KICK0 and KICK1 registers with  
exact data values before the kicker lock mechanism is un-locked. See Table 3-2 ‘‘Device State Control Registers’’ on  
page 62 for the address location. Once released then all the Bootcfg MMRs having “write” permissions are writable  
(the read only MMRs are still read only). The first KICK0 data is 0x83e70b13. The second KICK1 data is 0x95a4f1e0.  
Writing any other data value to either of these kick MMRs will lock the kicker mechanism and block any writes to  
Bootcfg MMRs. In order to ensure protection to all Bootcfg MMRs, software must always re-lock the kicker  
mechanism after completing the MMR writes.  
3.3.5 LRESETNMI PIN Status (LRSTNMIPINSTAT) Register  
The LRSTNMIPINSTAT Register is created in Boot Configuration to latch the status of LRESET and NMI based on  
CORESEL. The LRESETNMI PIN Status Register is shown in Figure 3-4 and described in Table 3-6.  
Figure 3-4  
LRESETNMI PIN Status Register (LRSTNMIPINSTAT)  
31  
24  
23  
NMI7 NMI6 NMI5 NMI4 NMI3 NMI2 NMI1 NMI0  
R-0 R-0 R-0 R-0 R-0 R-0 R-0 R-0  
Legend: R = Read only; -n = value after reset  
22  
21  
20  
19  
18  
17  
16  
15  
8
7
6
5
4
3
2
1
0
Reserved  
R, +0000 0000  
Reserved  
R, +0000 0000  
LR7 LR6 LR5 LR4 LR3 LR2 LR1 LR0  
R-0 R-0 R-0 R-0 R-0 R-0 R-0 R-0  
Table 3-6  
LRESETNMI PIN Status Register (LRSTNMIPINSTAT) Field Descriptions (Part 1 of 2)  
Bit  
Field  
Description  
31-24 Reserved  
Reserved  
23  
22  
21  
20  
19  
18  
17  
16  
NMI7  
NMI6  
NMI5  
NMI4  
NMI3  
NMI2  
NMI1  
NMI0  
CorePac 7 in NMI  
CorePac 6 in NMI  
CorePac 5 in NMI  
CorePac 4 in NMI  
CorePac 3 in NMI  
CorePac 2 in NMI  
CorePac 1 in NMI  
CorePac 0 in NMI  
Reserved  
15-8 Reserved  
7
6
5
4
LR7  
LR6  
LR5  
LR4  
CorePac 7 in Local Reset  
CorePac 6 in Local Reset  
CorePac 5 in Local Reset  
CorePac 4 in Local Reset  
66  
Device Configuration  
Copyright 2010 Texas Instruments Incorporated  
 
 
 
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
Table 3-6  
LRESETNMI PIN Status Register (LRSTNMIPINSTAT) Field Descriptions (Part 2 of 2)  
Bit  
Field  
Description  
3
2
1
0
LR3  
CorePac 3 in Local Reset  
CorePac 2 in Local Reset  
CorePac 1 in Local Reset  
CorePac 0 in Local Reset  
LR2  
LR1  
LR0  
End of Table 3-6  
3.3.6 LRESETNMI PIN Status Clear (LRSTNMIPINSTAT_CLR) Register  
The LRSTNMIPINSTAT_CLR Register is used to clear the status of LRESET and NMI based on CORESEL. The  
LRESETNMI PIN Status Clear Register is shown in Figure 3-5 and described in Table 3-7.  
Figure 3-5  
LRESETNMI PIN Status Clear Register (LRSTNMIPINSTAT_CLR)  
31  
24  
23  
22  
21  
20  
19  
18  
17  
16  
15  
8
7
6
5
4
3
2
1
0
Reserved  
R, +0000 0000  
NMI7 NMI6 NMI5 NMI4 NMI3 NMI2 NMI1 NMI0  
WC,+ WC,+ WC,+ WC,+ WC,+ WC,+ WC,+ WC,+  
Reserved  
R, +0000 0000  
LR7 LR6 LR5 LR4 LR3 LR2 LR1 LR0  
WC, WC, WC, WC, WC, WC, WC, WC,  
+0 +0 +0 +0 +0 +0 +0 +0  
0 (1)  
0
0
0
0
0
0
0
Legend: R = Read only; -n = value after reset; WC = Write 1 to Clear  
1 RC: Write one to clear.  
Table 3-7  
LRESETNMI PIN Status Clear Register (LRSTNMIPINSTAT_CLR) Field Descriptions  
Bit  
Field  
Description  
31-24 Reserved  
Reserved  
23  
22  
21  
20  
19  
18  
17  
16  
NMI7  
NMI6  
NMI5  
NMI4  
NMI3  
NMI2  
NMI1  
NMI0  
CorePac 7 in NMI Clear  
CorePac 6 in NMI Clear  
CorePac 5 in NMI Clear  
CorePac 4 in NMI Clear  
CorePac 3 in NMI Clear  
CorePac 2 in NMI Clear  
CorePac 1 in NMI Clear  
CorePac 0 in NMI Clear  
Reserved  
15-8 Reserved  
7
6
5
4
3
2
1
0
LR7  
LR6  
LR5  
LR4  
LR3  
LR2  
LR1  
LR0  
CorePac 7 in Local Reset Clear  
CorePac 6 in Local Reset Clear  
CorePac 5 in Local Reset Clear  
CorePac 4 in Local Reset Clear  
CorePac 3 in Local Reset Clear  
CorePac 2 in Local Reset Clear  
CorePac 1 in Local Reset Clear  
CorePac 0 in Local Reset Clear  
End of Table 3-7  
Copyright 2010 Texas Instruments Incorporated  
Device Configuration 67  
 
 
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
3.3.7 Reset Status (RESET_STAT) Register  
The reset status register (RESET_STAT) captures the status of Local reset (LRx) for each of the cores and also the  
global device reset (GR). Software can use this information to take different device initialization steps, if desired.  
In case of Local reset: The LRx bits are written as 1 and GR bit is written as 0 only when the CorePac receives  
an local reset without receiving a global reset.  
In case of Global reset: The LRx bits are written as 0 and GR bit is written as 1 only when a global reset is  
asserted.  
The Reset Status Register is shown in Figure 3-6 and described in Table 3-8.  
Figure 3-6  
Reset Status Register (RESET_STAT)  
31  
GR  
30  
8
7
6
5
4
3
2
1
0
Reserved  
LR7  
R,+0  
LR6  
R,+0  
LR5  
R,+0  
LR4  
R,+0  
LR3  
R,+0  
LR2  
R,+0  
LR1  
R,+0  
LR0  
R,+0  
R, +1  
R, + 000 0000 0000 0000 0000 0000  
Legend: R = Read only; -n = value after reset  
Table 3-8  
Bit  
Reset Status Register (RESET_STAT) Field Descriptions  
Field  
Description  
31  
GR  
Global reset status  
0 = Device has not received a global reset.  
1 = Device received a global reset.  
30-8 Reserved  
Reserved.  
7
6
5
4
3
2
1
0
LR7  
LR6  
LR5  
LR4  
LR3  
LR2  
LR1  
LR0  
CorePac 7 reset status  
0 = CorePac 7 has not received a local reset.  
1 = CorePac 7 received a local reset.  
CorePac 6 reset status  
0 = CorePac 6 has not received a local reset.  
1 = CorePac 6 received a local reset.  
CorePac 5 reset status  
0 = CorePac 5 has not received a local reset.  
1 = CorePac 5 received a local reset.  
CorePac 4 reset status  
0 = CorePac 4 has not received a local reset.  
1 = CorePac 4 received a local reset.  
CorePac 3 reset status  
0 = CorePac 3 has not received a local reset.  
1 = CorePac 3 received a local reset.  
CorePac 2 reset status  
0 = CorePac 2 has not received a local reset.  
1 = CorePac 2 received a local reset.  
CorePac 1 reset status  
0 = CorePac 1 has not received a local reset.  
1 = CorePac 1 received a local reset.  
CorePac 0 reset status  
0 = CorePac 0 has not received a local reset.  
1 = CorePac 0 received a local reset.  
End of Table 3-8  
68  
Device Configuration  
Copyright 2010 Texas Instruments Incorporated  
 
 
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
3.3.8 Reset Status Clear (RESET_STAT_CLR) Register  
The RESET_STAT bits can be cleared by writing 1 to the corresponding bit in the RESET_STAT_CLR register. The  
Reset Status Clear Register is shown in Figure 3-7 and described in Table 3-9.  
Figure 3-7  
Reset Status Clear Register (RESET_STAT_CLR)  
31  
GR  
30  
8
7
6
5
4
3
2
1
0
Reserved  
LR7  
LR6  
LR5  
LR4  
LR3  
LR2  
LR1  
LR0  
RW, +0  
R, + 000 0000 0000 0000 0000 0000  
RW,+0  
RW,+0  
RW,+0  
RW,+0  
RW,+0  
RW,+0  
RW,+0  
RW,+0  
Legend: R = Read only; RW = Read/Write; -n = value after reset  
Table 3-9  
Reset Status Clear Register (RESET_STAT_CLR) Field Descriptions  
Description  
Bit  
31  
Field  
GR  
Global Reset Clear bit  
0 = Writing a 0 has no effect.  
1 = Writing a 1 to the GR bit clears the corresponding bit in the RESET_STAT register.  
30-8 Reserved  
Reserved.  
7
6
5
4
3
2
1
0
LR7  
LR6  
LR5  
LR4  
LR3  
LR2  
LR1  
LR0  
CorePac 7 reset Clear bit  
0 = Writing a 0 has no effect.  
1 = Writing a 1 to the LR3 bit clears the corresponding bit in the RESET_STAT register.  
CorePac 6 reset Clear bit  
0 = Writing a 0 has no effect.  
1 = Writing a 1 to the LR3 bit clears the corresponding bit in the RESET_STAT register.  
CorePac 5 reset Clear bit  
0 = Writing a 0 has no effect.  
1 = Writing a 1 to the LR3 bit clears the corresponding bit in the RESET_STAT register.  
CorePac 4 reset Clear bit  
0 = Writing a 0 has no effect.  
1 = Writing a 1 to the LR3 bit clears the corresponding bit in the RESET_STAT register.  
CorePac 3 reset Clear bit  
0 = Writing a 0 has no effect.  
1 = Writing a 1 to the LR3 bit clears the corresponding bit in the RESET_STAT register.  
CorePac 2 reset Clear bit  
0 = Writing a 0 has no effect.  
1 = Writing a 1 to the LR2 bit clears the corresponding bit in the RESET_STAT register.  
CorePac 1 reset Clear bit  
0 = Writing a 0 has no effect.  
1 = Writing a 1 to the LR1 bit clears the corresponding bit in the RESET_STAT register.  
CorePac 0 reset Clear bit  
0 = Writing a 0 has no effect.  
1 = Writing a 1 to the LR0 bit clears the corresponding bit in the RESET_STAT register.  
End of Table 3-9  
Copyright 2010 Texas Instruments Incorporated  
Device Configuration 69  
 
 
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
3.3.9 Boot Complete (BOOTCOMPLETE) Register  
The BOOTCOMPLETE register controls the BOOTCOMPLETE pin status. The purpose is to indicate the  
completion of the ROM booting process. The Boot Complete Register is shown in Figure 3-8 and described in  
Table 3-10.  
Figure 3-8  
Boot Complete Register (BOOTCOMPLETE)  
31  
8
7
6
5
4
3
2
1
0
Reserved  
BC7  
BC6  
BC5  
BC4  
BC3  
BC2  
BC1  
BC0  
R, + 0000 0000 0000 0000 0000 0000  
RW,+0  
RW,+0  
RW,+0  
RW,+0  
RW,+0  
RW,+0  
RW,+0  
RW,+0  
Legend: R = Read only; RW = Read/Write; -n = value after reset  
Table 3-10  
Boot Complete Register (BOOTCOMPLETE) Field Descriptions  
Bit  
Field  
Description  
31-8 Reserved  
Reserved.  
7
BC7  
BC6  
BC5  
BC4  
BC3  
BC2  
BC1  
BC0  
CorePac 7 boot status  
0 = CorePac 7 boot NOT complete  
1 = CorePac 7 boot complete  
6
5
4
3
2
1
0
CorePac 6 boot status  
0 = CorePac 6 boot NOT complete  
1 = CorePac 6 boot complete  
CorePac 5 boot status  
0 = CorePac 5 boot NOT complete  
1 = CorePac 5 boot complete  
CorePac 4 boot status  
0 = CorePac 4 boot NOT complete  
1 = CorePac 4 boot complete  
CorePac 3 boot status  
0 = CorePac 3 boot NOT complete  
1 = CorePac 3 boot complete  
CorePac 2 boot status  
0 = CorePac 2 boot NOT complete  
1 = CorePac 2 boot complete  
CorePac 1 boot status  
0 = CorePac 1 boot NOT complete  
1 = CorePac 1 boot complete  
CorePac 0 boot status  
0 = CorePac 0 boot NOT complete  
1 = CorePac 0 boot complete  
End of Table 3-10  
The BCx bit indicates the boot complete status of the corresponding core. All BCx bits will be sticky bits — that is  
they can be set only once by the software after device reset and they will be cleared to 0 on all device resets.  
Boot ROM code will be implemented such that each core will set its corresponding BCx bit immediately before  
branching to the predefined location in memory.  
70  
Device Configuration  
Copyright 2010 Texas Instruments Incorporated  
 
 
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
3.3.10 Power State Control (PWRSTATECTL) Register  
The PWRSTATECTL register is controlled by the software to indicate the power-saving mode. ROM code reads this  
register to differentiate between the various power saving modes. This register is cleared only by POR and will  
survive all other device resets. See the Hardware Design Guide for KeyStone Devices in ‘‘Related Documentation from  
Texas Instruments’’ on page 59 for more information. The Power State Control Register is shown in Figure 3-9 and  
described in Table 3-11.  
Figure 3-9  
Power State Control Register (PWRSTATECTL)  
31  
3
2
1
0
GENERAL_PURPOSE  
HIBERNATION_MODE  
RW,+0  
HIBERNATION  
RW,+0  
STANDBY  
RW,+0  
RW, +0000 0000 0000 0000 0000 0000 0000 0  
Legend: RW = Read/Write; -n = value after reset  
Table 3-11  
Power State Control Register (PWRSTATECTL) Field Descriptions  
Description  
Bit  
Field  
31-3 GENERAL_PURPOSE  
Used to provide a start address for execution out of the hibernation modes. See the Bootloader for the C66x DSP User  
Guide in ‘‘Related Documentation from Texas Instruments’’ on page 59.  
2
1
0
HIBERNATION_MODE Indicates whether the device is in hibernation mode 1 or mode 2.  
0 = Hibernation mode 1  
1 = Hibernation mode 2  
HIBERNATION  
STANDBY  
Indicates whether the device is in hibernation mode or not.  
0 = Not in hibernation mode  
1 = Hibernation mode  
Indicates whether the device is in standby mode or not.  
0 = Not in standby mode  
1 = Standby mode  
End of Table 3-11  
3.3.11 NMI Even Generation to CorePac (NMIGRx) Register  
NMIGRx registers are used for generating NMI events to the corresponding CorePac. The C6678 has  
eight NMIGRx registers (NMIGR0 through NMIGR7). The NMIGR0 register generates an NMI event to CorePac0,  
the NMIGR1 register generates an NMI event to CorePac1, and so on. Writing a 1 to the NMIG field generates a  
NMI pulse. Writing a 0 has no effect and Reads return 0 and have no other effect. The NMI Even Generation to  
CorePac Register is shown in Figure 3-10 and described in Table 3-12.  
Figure 3-10  
NMI Generation Register (NMIGRx)  
31  
1
0
GENERAL_PURPOSE  
R, +0000 0000 0000 0000 0000 0000 0000 000  
NMIG  
RW,+0  
Legend: RW = Read/Write; -n = value after reset  
Copyright 2010 Texas Instruments Incorporated  
Device Configuration 71  
 
 
 
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
Table 3-12  
NMI Generation Register (NMIGRx) Field Descriptions  
Bit  
Field  
Description  
Reserved  
31-1 Reserved  
0
NMIG  
Reads return 0  
Writes:  
0 = No effect  
1 = Creates NMI pulse to the corresponding CorePac — CorePac0 for NMIGR0, etc.  
End of Table 3-12  
3.3.12 IPC Generation (IPCGRx) Registers  
IPCGRx are the IPC interrupt generation registers to facilitate inter CorePac interrupts.  
The C6678 has eight IPCGRx registers (IPCGR0 through IPCGR7) registers. This can be used by external hosts or  
CorePacs to generate interrupts to other CorePacs. A write of 1 to IPCG field of IPCGRx register will generate an  
interrupt pulse to CorePacx (0 <= x <= 7).  
These registers also provide a Source ID facility by which up to 28 different sources of interrupts can be identified.  
Allocation of source bits to source processor and meaning is entirely based on software convention. The register field  
descriptions are given in the following tables. Virtually anything can be a source for these registers as this is  
completely controlled by software. Any master that has access to BOOTCFG module space can write to these  
registers. The IPC Generation Register is shown in Figure 3-11 and described in Table 3-13.  
Figure 3-11  
IPC Generation Registers (IPCGRx)  
31  
30  
29  
28  
27  
8
7
6
5
4
3
1
0
SRCS27 SRCS26 SRCS25 SRCS24  
RW +0 RW +0 RW +0 RW +0  
SRCS23 – SRCS4  
SRCS3  
RW +0  
SRCS2  
RW +0  
RCS1  
RW +0  
SRCS0  
RW +0  
Reserved  
R, +000  
IPCG  
RW +0  
RW +0 (per bit field)  
Legend: R = Read only; RW = Read/Write; -n = value after reset  
Table 3-13  
IPC Generation Registers (IPCGRx) Field Descriptions  
Bit  
Field  
Description  
31-4 SRCSx  
Reads return current value of internal register bit.  
Writes:  
0 = No effect  
1 = Sets both SRCSx and the corresponding SRCCx.  
3-1  
0
Reserved  
IPCG  
Reserved  
Reads return 0.  
Writes:  
0 = No effect  
1 = Creates an Inter-DSP interrupt.  
End of Table 3-13  
3.3.13 IPC Acknowledgement (IPCARx) Registers  
IPCARx are the IPC interrupt-acknowledgement registers to facilitate inter-CorePac core interrupts.  
72  
Device Configuration  
Copyright 2010 Texas Instruments Incorporated  
 
 
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
The C6678 has eight IPCARx (IPCAR0 through IPCAR7) registers. These registers also provide a Source ID facility  
by which up to 28 different sources of interrupts can be identified. Allocation of source bits to source processor and  
meaning is entirely based on software convention. The register field descriptions are given in the following tables.  
Virtually anything can be a source for these registers as this is completely controlled by software. Any master that  
has access to BOOTCFG module space can write to these registers. The IPC Acknowledgement Register is shown in  
Figure 3-12 and described in Table 3-14.  
Figure 3-12  
IPC Acknowledgement Registers (IPCARx)  
31  
30  
29  
28  
27  
8
7
6
5
4
3
0
SRCC27 SRCC26 SRCC25 SRCC24  
RW +0 RW +0 RW +0 RW +0  
SRCC23 – SRCC4  
SRCC3  
RW +0  
SRCC2  
RW +0  
RCC1  
RW +0  
SRCC0  
RW +0  
Reserved  
R, +0000  
RW +0 (per bit field)  
Legend: R = Read only; RW = Read/Write; -n = value after reset  
Table 3-14  
IPC Acknowledgement Registers (IPCARx) Field Descriptions  
Bit  
Field  
Description  
31-4 SRCCx  
Reads return current value of internal register bit.  
Writes:  
0 = No effect  
1 = Clears both SRCCx and the corresponding SRCSx  
3-0  
Reserved  
Reserved  
End of Table 3-14  
3.3.14 IPC Generation Host (IPCGRH) Register  
IPCGRH register is provided to facilitate host DSP interrupt. Operation and use of IPCGRH is the same as other  
IPCGR registers. Interrupt output pulse created by IPCGRH is driven on a device pin, host interrupt/event output  
(HOUT).  
The host interrupt output pulse should be stretched. It should be asserted for 4 bootcfg clock cycles (DSP/6) followed  
by a deassertion of 4 bootcfg clock cycles. Generating the pulse will result in 8 DSP/6 cycle pulse blocking window.  
Write to IPCGRH with IPCG bit (bit 0) set will only generate a pulse if they are beyond 8 DSP/6 cycle period. The  
IPC Generation Host Register is shown in Figure 3-13 and described in Table 3-15.  
Figure 3-13  
IPC Generation Registers (IPCGRH)  
31  
30  
29  
28  
27  
8
7
6
5
4
3
1
0
SRCS27 SRCS26 SRCS25 SRCS24  
RW +0 RW +0 RW +0 RW +0  
SRCS23 – SRCS4  
SRCS3  
RW +0  
SRCS2  
RW +0  
RCS1  
RW +0  
SRCS0  
RW +0  
Reserved  
R, +000  
IPCG  
RW +0  
RW +0 (per bit field)  
Legend: R = Read only; RW = Read/Write; -n = value after reset  
Copyright 2010 Texas Instruments Incorporated  
Device Configuration 73  
 
 
 
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
Table 3-15  
IPC Generation Registers (IPCGRH) Field Descriptions  
Bit  
Field  
Description  
31-4 SRCSx  
Reads return current value of internal register bit.  
Writes:  
0 = No effect  
1 = Sets both SRCSx and the corresponding SRCCx.  
3-1  
0
Reserved  
IPCG  
Reserved  
Reads return 0.  
Writes:  
0 = No effect  
1 = Creates an interrupt pulse on device pin (host interrupt/event output in HOUT pin)  
End of Table 3-15  
3.3.15 IPC Acknowledgement Host (IPCARH) Register  
IPCARH registers are provided to facilitate host DSP interrupt. Operation and use of IPCARH is the same as  
other IPCAR registers. The IPC Acknowledgement Host Register is shown in Figure 3-14 and described in  
Table 3-16.  
Figure 3-14  
IPC Acknowledgement Register (IPCARH)  
31  
30  
29  
28  
27  
8
7
6
5
4
3
0
SRCC27 SRCC26 SRCC25 SRCC24  
RW +0 RW +0 RW +0 RW +0  
SRCC23 – SRCC4  
SRCC3  
RW +0  
SRCC2  
RW +0  
RCC1  
RW +0  
SRCC0  
RW +0  
Reserved  
R, +0000  
RW +0 (per bit field)  
Legend: R = Read only; RW = Read/Write; -n = value after reset  
Table 3-16  
IPC Acknowledgement Register (IPCARH) Field Descriptions  
Bit  
Field  
Description  
31-4 SRCCx  
Reads return current value of internal register bit.  
Writes:  
0 = No effect  
1 = Clears both SRCCx and the corresponding SRCSx  
3-0  
Reserved  
Reserved  
End of Table 3-16  
74  
Device Configuration  
Copyright 2010 Texas Instruments Incorporated  
 
 
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
3.3.16 Timer Input Selection Register (TINPSEL)  
Timer input selection is handled within the control register TINPSEL. The Timer Input Selection Register is shown  
in Figure 3-15 and described in Table 3-17.  
Figure 3-15  
Timer Input Selection Register (TINPSEL)  
31  
30  
29  
28  
27  
26  
25  
24  
23  
22  
21  
20  
19  
18  
17  
16  
TINPH TINPL TINPH TINPL TINPH TINPL TINPH TINPL TINPH TINPL TINPH TINPL TINPH TINPL TINPH TINPL  
SEL15 SEL15 SEL14 SEL14 SEL13 SEL13 SEL12 SEL12 SEL11 SEL11 SEL10 SEL10 SEL9  
SEL9  
SEL8  
SEL8  
RW,  
+1  
RW,  
+0  
RW,  
+1  
RW,  
+0  
RW,  
+1  
RW,  
+0  
RW,  
+1  
RW,  
+0  
RW,  
+1  
RW,  
+0  
RW,  
+1  
RW,  
+0  
RW,  
+1  
RW,  
+1  
RW,  
+1  
RW,  
+0  
spacer  
15  
14  
13  
12  
11  
10  
9
8
7
6
5
4
3
2
1
0
TINPH TINPL TINPH TINPL TINPH TINPL TINPH TINPL TINPH TINPL TINPH TINPL TINPH TINPL TINPH TINPL  
SEL7  
SEL7  
SEL6  
SEL6  
SEL5  
SEL5  
SEL4  
SEL4  
SEL3  
SEL3  
SEL2  
SEL2  
SEL1  
SEL1  
SEL0  
SEL0  
RW,  
+1  
RW,  
+0  
RW,  
+1  
RW,  
+0  
RW,  
+1  
RW,  
+0  
RW,  
+1  
RW,  
+0  
RW,  
+1  
RW,  
+0  
RW,  
+1  
RW,  
+0  
RW,  
+1  
RW,  
+1  
RW,  
+1  
RW,  
+0  
Legend: R = Read only; RW = Read/Write; -n = value after reset  
Table 3-17  
Timer Input Selection Field Description (TINPSEL) (Part 1 of 3)  
Description  
Bit  
Field  
31 TINPHSEL15  
30 TINPLSEL15  
29 TINPHSEL14  
28 TINPLSEL14  
27 TINPHSEL13  
26 TINPLSEL13  
25 TINPHSEL12  
24 TINPLSEL12  
23 TINPHSEL11  
22 TINPLSEL11  
Input select for TIMER15 high.  
0 = TIMI0  
1 = TIMI1  
Input select for TIMER15 low.  
0 = TIMI0  
1 = TIMI1  
Input select for TIMER14 high.  
0 = TIMI0  
1 = TIMI1  
Input select for TIMER14 low.  
0 = TIMI0  
1 = TIMI1  
Input select for TIMER13 high.  
0 = TIMI0  
1 = TIMI1  
Input select for TIMER13 low.  
0 = TIMI0  
1 = TIMI1  
Input select for TIMER12 high.  
0 = TIMI0  
1 = TIMI1  
Input select for TIMER12 low.  
0 = TIMI0  
1 = TIMI1  
Input select for TIMER11 high.  
0 = TIMI0  
1 = TIMI1  
Input select for TIMER11 low.  
0 = TIMI0  
1 = TIMI1  
Copyright 2010 Texas Instruments Incorporated  
Device Configuration 75  
 
 
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
Table 3-17  
Timer Input Selection Field Description (TINPSEL) (Part 2 of 3)  
Description  
Bit  
Field  
21 TINPHSEL10  
Input select for TIMER10 high.  
0 = TIMI0  
1 = TIMI1  
20 TINPLSEL10  
Input select for TIMER10 low.  
0 = TIMI0  
1 = TIMI1  
19 TINPHSEL9  
18 TINPLSEL9  
17 TINPHSEL8  
16 TINPLSEL8  
15 TINPHSEL7  
14 TINPLSEL7  
13 TINPHSEL6  
12 TINPLSEL6  
11 TINPHSEL5  
10 TINPLSEL5  
Input select for TIMER9 high.  
0 = TIMI0  
1 = TIMI1  
Input select for TIMER9 low.  
0 = TIMI0  
1 = TIMI1  
Input select for TIMER8 high.  
0 = TIMI0  
1 = TIMI1  
Input select for TIMER8 low.  
0 = TIMI0  
1 = TIMI1  
Input select for TIMER7 high.  
0 = TIMI0  
1 = TIMI1  
Input select for TIMER7 low.  
0 = TIMI0  
1 = TIMI1  
Input select for TIMER6 high.  
0 = TIMI0  
1 = TIMI1  
Input select for TIMER6 low.  
0 = TIMI0  
1 = TIMI1  
Input select for TIMER5 high.  
0 = TIMI0  
1 = TIMI1  
Input select for TIMER5 low.  
0 = TIMI0  
1 = TIMI1  
9
8
7
6
5
TINPHSEL4  
TINPLSEL4  
TINPHSEL3  
TINPLSEL3  
TINPHSEL2  
Input select for TIMER4 high.  
0 = TIMI0  
1 = TIMI1  
Input select for TIMER4 low.  
0 = TIMI0  
1 = TIMI1  
Input select for TIMER3 high.  
0 = TIMI0  
1 = TIMI1  
Input select for TIMER3 low.  
0 = TIMI0  
1 = TIMI1  
Input select for TIMER2 high.  
0 = TIMI0  
1 = TIMI1  
76  
Device Configuration  
Copyright 2010 Texas Instruments Incorporated  
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
Table 3-17  
Timer Input Selection Field Description (TINPSEL) (Part 3 of 3)  
Bit  
Field  
Description  
4
TINPLSEL2  
TINPHSEL1  
TINPLSEL1  
TINPHSEL0  
TINPLSEL0  
Input select for TIMER2 low.  
0 = TIMI0  
1 = TIMI1  
3
2
1
0
Input select for TIMER1 high.  
0 = TIMI0  
1 = TIMI1  
Input select for TIMER1 low.  
0 = TIMI0  
1 = TIMI1  
Input select for TIMER0 high.  
0 = TIMI0  
1 = TIMI1  
Input select for TIMER0 low.  
0 = TIMI0  
1 = TIMI1 Legend:  
End of Table 3-17  
3.3.17 Timer Output Selection Register (TOUTPSEL)  
The timer output selection is handled within the control register TOUTSEL. The Timer Output Selection Register  
is shown in Figure 3-16 and described in Table 3-18.  
Figure 3-16  
Timer Output Selection Register (TOUTPSEL)  
31  
10  
9
5
4
0
Reserved  
TOUTPSEL1  
RW,+00001  
TOUTPSEL0  
RW,+00000  
R,+000000000000000000000000  
Legend: R = Read only; RW = Read/Write; -n = value after reset  
Table 3-18  
Timer Output Selection Field Description (TOUTPSEL) (Part 1 of 2)  
Description  
Bit  
Field  
Reserved  
TOUTPSEL1  
31-9  
9-5  
Reserved  
Output select for TIMO1  
00000: TOUTL0  
00001: TOUTH0  
00010: TOUTL1  
00011: TOUTH1  
00100: TOUTL2  
00101: TOUTH2  
00110: TOUTL3  
00111: TOUTH3  
01000: TOUTL4  
01001: TOUTH4  
01010: TOUTL5  
01011: TOUTH5  
01100: TOUTL6  
01101: TOUTH6  
01110: TOUTL7  
01111: TOUTH7  
10000: TOUTL8  
10001: TOUTH8  
10010: TOUTL9  
10011: TOUTH9  
10100: TOUTL10  
10101: TOUTH10  
10110: TOUTL11  
10111: TOUTH11  
11000: TOUTL12  
11001: TOUTH12  
11010: TOUTL13  
11011: TOUTH13  
11100: TOUTL14  
11101: TOUTH14  
11110: TOUTL15  
11111: TOUTH15  
Copyright 2010 Texas Instruments Incorporated  
Device Configuration 77  
 
 
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
Table 3-18  
Timer Output Selection Field Description (TOUTPSEL) (Part 2 of 2)  
Description  
Bit  
4
Field  
Reserved  
TOUTPSEL0  
Reserved  
4-0  
Output select for TIMO0  
00000: TOUTL0  
00001: TOUTH0  
00010: TOUTL1  
00011: TOUTH1  
00100: TOUTL2  
00101: TOUTH2  
00110: TOUTL3  
00111: TOUTH3  
01000: TOUTL4  
01001: TOUTH4  
01010: TOUTL5  
01011: TOUTH5  
01100: TOUTL6  
01101: TOUTH6  
01110: TOUTL7  
01111: TOUTH7  
10000: TOUTL8  
10001: TOUTH8  
10010: TOUTL9  
10011: TOUTH9  
10100: TOUTL10  
10101: TOUTH10  
10110: TOUTL11  
10111: TOUTH11  
11000: TOUTL12  
11001: TOUTH12  
11010: TOUTL13  
11011: TOUTH13  
11100: TOUTL14  
11101: TOUTH14  
11110: TOUTL15  
11111: TOUTH15  
End of Table 3-18  
3.3.18 Reset Mux (RSTMUXx) Register  
The software controls the Reset Mux block through the reset multiplex registers using RSTMUX0 through  
RSTMUX7 for each of the eight CorePacs on the C6678. These registers are located in Bootcfg memory space. The  
Timer Output Selection Register is shown in Figure 3-17 and described in Table 3-19.  
Figure 3-17  
Reset Mux Register RSTMUXx  
31  
10  
9
8
7
5
4
3
1
0
Reserved  
R, +0000 0000 0000 0000 0000 00  
EVTSTATCLR  
RC, +0  
Reserved  
R, +0  
DELAY  
RW, +100  
EVTSTAT  
R, +0  
OMODE  
RW, +000  
LOCK  
RW, +0  
Legend: R = Read only; RW = Read/Write; -n = value after reset; RC = Read only and write 1 to clear  
Table 3-19  
Reset Mux Register Field Descriptions (Part 1 of 2)  
Bit  
Field  
Description  
31-10 Reserved  
9
Reserved  
EVTSTATCLR  
0 = Writing O had no effect  
1 = Writing 1 to this bit clears the EVTSTAT bit  
8
Reserved  
DELAY  
Reserved  
7-5  
000b = 256 DSP/6 cycles delay between NMI & Local reset, when OMODE = 100b  
001b = 512 DSP/6 cycles delay between NMI & Local reset, when OMODE=100b  
010b = 1024 DSP/6 cycles delay between NMI & Local reset, when OMODE=100b  
011b = 2048 DSP/6 cycles delay between NMI & Local reset, when OMODE=100b  
100b = 4096 DSP/6 cycles delay between NMI & Local reset, when OMODE=100b (Default)  
101b = 8192 DSP/6 cycles delay between NMI & Local reset, when OMODE=100b  
110b = 16384 DSP/6 cycles delay between NMI & Local reset, when OMODE=100b  
111b = 32768 DSP/6 cycles delay between NMI & Local reset, when OMODE=100b  
4
EVTSTAT  
0 = No event received (Default)  
1 = WD timer event received by Reset Mux block  
78  
Device Configuration  
Copyright 2010 Texas Instruments Incorporated  
 
 
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
Table 3-19  
Reset Mux Register Field Descriptions (Part 2 of 2)  
Bit  
3-1  
Field  
OMODE  
Description  
000b = WD Timer Event input to the Reset Mux block does not cause any output event (Default)  
001b = Reserved  
010b = WD Timer Event input to the Reset Mux block causes local reset input to CorePac  
011b = WD Timer Event input to the Reset Mux block causes NMI input to CorePac  
100b = WD Timer Event input to the Reset Mux block causes NMI input followed by Local reset input to CorePac. Delay  
between NMI and local reset is set in DELAY bit field.  
101b = WD Timer Event input to the Reset Mux block causes Device Reset to C6678  
110b = Reserved  
111b = Reserved  
0
LOCK  
0 = Register fields are not locked (Default)  
1 = Register fields are locked until the next timer reset  
End of Table 3-19  
Copyright 2010 Texas Instruments Incorporated  
Device Configuration 79  
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
3.4 Pullup/Pulldown Resistors  
Proper board design should ensure that input pins to the device always be at a valid logic level and not floating. This  
may be achieved via pullup/pulldown resistors. The device features internal pullup (IPU) and internal pulldown  
(IPD) resistors on most pins to eliminate the need, unless otherwise noted, for external pullup/pulldown resistors.  
An external pullup/pulldown resistor needs to be used in the following situations:  
Device Configuration Pins: If the pin is both routed out and are not driven (in Hi-Z state), an external  
pullup/pulldown resistor must be used, even if the IPU/IPD matches the desired value/state.  
Other Input Pins: If the IPU/IPD does not match the desired value/state, use an external pullup/pulldown  
resistor to pull the signal to the opposite rail.  
For the device configuration pins (listed in Table 3-1), if they are both routed out and are not driven (in Hi-Z state),  
it is strongly recommended that an external pullup/pulldown resistor be implemented. Although, internal  
pullup/pulldown resistors exist on these pins and they may match the desired configuration value, providing  
external connectivity can help ensure that valid logic levels are latched on these device configuration pins. In  
addition, applying external pullup/pulldown resistors on the device configuration pins adds convenience to the user  
in debugging and flexibility in switching operating modes.  
Tips for choosing an external pullup/pulldown resistor:  
Consider the total amount of current that may pass through the pullup or pulldown resistor. Make sure to  
include the leakage currents of all the devices connected to the net, as well as any internal pullup or pulldown  
resistors.  
Decide a target value for the net. For a pulldown resistor, this should be below the lowest VIL level of all inputs  
connected to the net. For a pullup resistor, this should be above the highest VIH level of all inputs on the net.  
A reasonable choice would be to target the VOL or VOH levels for the logic family of the limiting device; which,  
by definition, have margin to the VIL and VIH levels.  
Select a pullup/pulldown resistor with the largest possible value that can still ensure that the net will reach the  
target pulled value when maximum current from all devices on the net is flowing through the resistor. The  
current to be considered includes leakage current plus, any other internal and external pullup/pulldown  
resistors on the net.  
For bidirectional nets, there is an additional consideration that sets a lower limit on the resistance value of the  
external resistor. Verify that the resistance is small enough that the weakest output buffer can drive the net to  
the opposite logic level (including margin).  
Remember to include tolerances when selecting the resistor value.  
For pullup resistors, also remember to include tolerances on the DVDD rail.  
For most systems:  
A 1-kΩ resistor can be used to oppose the IPU/IPD while meeting the above criteria. Users should confirm this  
resistor value is correct for their specific application.  
A 20-kΩ resistor can be used to compliment the IPU/IPD on the device configuration pins while meeting the  
above criteria. Users should confirm this resistor value is correct for their specific application.  
For more detailed information on input current (II), and the low-level/high-level input voltages (VIL and VIH) for  
the TMS320C6678 device, see Section 6.3 ‘‘Electrical Characteristics’’ on page 95.  
To determine which pins on the device include internal pullup/pulldown resistors, see Table 2-15 ‘‘Terminal  
Functions — Signals and Control by Function’’ on page 33.  
80  
Device Configuration  
Copyright 2010 Texas Instruments Incorporated  
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
4 System Interconnect  
On the TMS320C6678 device, the C66x CorePac, the EDMA3 transfer controllers, and the system peripherals are  
interconnected through two switch fabrics. The switch fabrics allow for low-latency, concurrent data transfers  
between master peripherals and slave peripherals. The switch fabrics also allow for seamless arbitration between the  
system masters when accessing system slaves.  
4.1 Internal Buses, Bridges, and Switch Fabrics  
Two types of buses exist in the device: data buses and configuration buses. Some peripherals have both a data bus  
and a configuration bus interface, while others only have one type of interface. Furthermore, the bus interface width  
and speed varies from peripheral to peripheral. Configuration buses are mainly used to access the register space of  
a peripheral and the data buses are used mainly for data transfers. However, in some cases, the configuration bus is  
also used to transfer data. Similarly, the data bus can also be used to access the register space of a peripheral. For  
example, the DDR3 memory controller registers are accessed through their data bus interface.  
The C66x CorePac, the EDMA3 traffic controllers, and the various system peripherals can be classified into two  
categories: masters and slaves.  
Masters are capable of initiating read and write transfers in the system and do not rely on the EDMA3 for their data  
transfers. Slaves on the other hand rely on the EDMA3 to perform transfers to and from them. Examples of masters  
include the EDMA3 traffic controllers, SRIO, and EMAC. Examples of slaves include the SPI, UART, and I2C.  
The device contains two switch fabrics (the TeraNet) through which masters and slaves communicate. The data  
switch fabric, known as the data switched central resource (SCR), is a high-throughput interconnect mainly used to  
move data across the system (for more information, see Section 4.2 ‘‘Data Switch Fabric Connections’’). The data  
SCR is further divided into two smaller SCRs. One connects very high speed masters to slaves via 256-bit data buses  
running at a DSP/2 frequency. The other connects masters to slaves via 128-bit data buses running at a DSP/3  
frequency. Peripherals that match the native bus width of the SCR it is connected to can connect directly to the data  
SCR; other peripherals require a bridge.  
The configuration switch fabric, also known as the configuration switch central resource (SCR), is mainly used to  
access peripheral registers (for more information, see Section 4.3 ‘‘Configuration Switch Fabric’’). The  
configuration SCR connects the C66x CorePac and masters on the data switch fabric to slaves via  
32-bit configuration buses running at a DSP/3 frequency. As with the data SCR, some peripherals require the use of  
a bridge to interface to the configuration SCR.  
Bridges perform a variety of functions:  
Conversion between configuration bus and data bus.  
Width conversion between peripheral bus width and SCR bus width.  
Frequency conversion between peripheral bus frequency and SCR bus frequency.  
Copyright 2010 Texas Instruments Incorporated  
System Interconnect 81  
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
4.2 Data Switch Fabric Connections  
A detailed figure will be added here for a future release. Connection information is shown in the tables below.  
Table 4-1  
DSP/2 Data SCR Connection Matrix  
Slave  
To DSP/3 Data SCR  
Masters  
HyperLink_Slave  
MSMC_SMS  
MSMC_SES  
Br_1  
N
Br_2  
Y
Br_3  
N
Br_4  
N
TPCC0 TC0_RD  
TPCC0 TC0_WR  
TPCC0 TC1_RD  
TPCC0 TC1_WR  
Y
Y
Y
Y
Y
Y
Y
Y
Y
N
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
N
Y
Y
Y
Y
Y
Y
N
Y
N
N
N
N
Y
N
N
N
Y
N
HyperLink_Master  
N
Y
Y
Y
Y
Y
Y
Y
Y
N
N
N
MSMC_master  
N
N
N
Y
From DSP/3 Data SCR Br_5  
From DSP/3 Data SCR Br_6  
From DSP/3 Data SCR Br_7  
From DSP/3 Data SCR Br_8  
From DSP/3 Data SCR Br_9  
From DSP/3 Data SCR Br_10  
End of Table 4-1  
N
N
N
N
N
N
N
N
N
N
N
N
N
N
N
N
N
N
N
N
N
N
N
N
Table 4-2  
DSP/3 Data SCR Connection Matrix (Part 1 of 2)  
Slaves  
Masters  
HyperLink Data  
TPCC0_TC0_RD  
TPCC0_TC0_WR  
TPCC0_TC1_RD  
TPCC0_TC1_WR  
TPCC1_TC0_RD  
TPCC1_TC0_WR  
TPCC1_TC1_RD  
TPCC1_TC1_WR  
TPCC1_TC2_RD  
TPCC1_TC2_WR  
TPCC1_TC3_RD  
TPCC1_TC3_WR  
TPCC2_TC0_RD  
TPCC2_TC0_WR  
TPCC2_TC1_RD  
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
N
Y
N
Y
N
Y
N
Y
N
Y
N
Y
N
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
N
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
N
N
N
N
N
Y
N
N
N
N
N
N
N
N
N
N
Y
N
N
N
N
N
N
N
N
N
N
N
N
N
N
Y
N
Y
Y
Y
Y
Y
Y
Y
N
N
N
N
Y
Y
Y
Y
N
N
N
N
N
N
N
N
Y
N
N
N
N
N
N
N
N
N
Y
N
N
N
N
N
N
Y
N
N
N
N
N
N
Y
N
N
N
N
N
N
N
N
N
N
Y
N
N
N
N
N
N
N
N
N
N
N
N
N
N
Y
Y
N
N
N
N
N
N
N
N
N
Y
Y
Y
N
N
N
N
N
N
Y
N
N
N
N
N
N
N
N
N
N
N
N
N
Y
Y
Y
N
N
N
N
N
N
N
N
N
N
Y
N
N
N
Y
N
82  
System Interconnect  
Copyright 2010 Texas Instruments Incorporated  
 
 
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
Table 4-2  
DSP/3 Data SCR Connection Matrix (Part 2 of 2)  
Slaves  
Masters  
TPCC2_TC1_WR  
TPCC2_TC2_RD  
TPCC2_TC2_WR  
TPCC2_TC3_RD  
TPCC2_TC3_WR  
SRIO Messaging  
SRIO Data Master  
PCIe Master  
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
Y
N
Y
Y
Y
Y
Y
Y
N
Y
Y
N
Y
N
N
N
Y
Y
N
N
N
N
Y
Y
Y
Y
Y
Y
N
N
Y
Y
Y
Y
Y
N
Y
Y
N
Y
Y
N
N
Y
Y
Y
Y
Y
Y
Y
Y
N
Y
N
N
N
N
Y
N
N
N
Y
N
N
N
N
N
N
N
Y
N
N
N
N
N
N
N
N
N
N
Y
N
N
N
N
N
Y
Y
N
Y
Y
N
N
N
Y
Y
Y
N
N
N
N
N
N
N
Y
N
N
N
N
N
N
N
N
N
N
N
N
Y
N
Y
Y
Y
Y
Y
Y
N
N
N
N
N
N
N
N
Y
N
N
N
Y
Y
N
N
N
N
N
Y
Y
Y
Y
N
N
N
N
Y
N
N
N
N
Y
N
N
N
N
N
N
N
N
N
N
N
N
N
N
N
N
Y
N
N
N
N
N
N
Y
Packet Accelerator Data  
MSMC Data (Br_4)  
Queue Manager  
TSIP 0  
N
N
N
N
N
N
Y
N
N
N
N
N
N
N
N
N
N
N
N
N
N
N
N
N
N
TSIP 1  
Y
End of Table 4-2  
Copyright 2010 Texas Instruments Incorporated  
System Interconnect 83  
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
4.3 Configuration Switch Fabric  
A detailed figure will be added here for a future release. All masters can talk to all slaves on the configuration switch  
fabric.  
4.4 Bus Priorities  
The priority level of all master peripheral traffic is defined at the TeraNet boundary. User programmable priority  
registers will be present to allow software configuration of the data traffic through the TeraNet. Note that a lower  
number means higher priority - PRI = 000b = urgent, PRI = 111b = low.  
All other masters provide their priority directly and do not need a default priority setting. Examples include the  
CorePacs, whose priorities are set through software in the UMC control registers. All the Packet DMA based  
peripherals also have internal registers to define the priority level of their initiated transactions.  
The Packet DMA secondary port is one master port that does not have priority allocation register inside the IP. The  
priority level for transaction from this master port is described by PKTDMA_PRI_ALLOC register in Figure 4-1 and  
Table 4-3.  
Figure 4-1  
Packed DMA Priority Allocation Register (PKTDMA_PRI_ALLOC)  
31  
16  
15  
10  
9
8
7
4
3
2
0
Reserved  
R/W-00000000000000000000001000011  
Legend: R = Read only; R/W = Read/Write; -n = value after reset  
PKTDMA_PRI  
RW-000  
Table 4-3  
Packed DMA Priority Allocation Register (PKTDMA_PRI_ALLOC) Field Descriptions  
Bit  
Acronym  
Description  
31-10 Reserved  
Reserved.  
2-0  
PKDTDMA_PRI  
Control the priority level for the transactions from Packet DMA Master port, which access the external linking  
RAM.  
End of Table 4-3  
For all other modules, see the respective User Guides in “Related Documentation from Texas Instruments” on  
page 59 for programmable priority registers.  
84  
System Interconnect  
Copyright 2010 Texas Instruments Incorporated  
 
 
 
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
5 C66x CorePac  
The C66x CorePac consists of several components:  
The C66x DSP and associated C66x CorePac core  
Level-one and level-two memories (L1P, L1D, L2)  
Data Trace Formatter (DTF)  
Embedded Trace Buffer (ETB)  
Interrupt controller  
Power-down controller  
External memory controller  
Extended memory controller  
A dedicated power/sleep controller (LPSC)  
The C66x CorePac also provides support for memory protection, bandwidth management (for resources local to the  
C66x CorePac) and address extension. Figure 5-1 shows a block diagram of the C66x CorePac.  
Figure 5-1  
C66x CorePac Block Diagram  
32KB L1P  
Program Memory Controller (PMC) With  
Memory Protect/Bandwidth Mgmt  
L2 Cache/  
SRAM  
512KB  
C66x DSP Core  
Instruction Fetch  
16-/32-bit Instruction Dispatch  
Control Registers  
MSM  
SRAM  
4096KB  
In-Circuit Emulation  
Boot  
Controller  
Instruction Decode  
Data Path A  
Data Path B  
DDR3  
SRAM  
A Register File  
B Register File  
PLLC  
LPSC  
GPSC  
A31-A16  
A15-A0  
B31-B16  
B15-B0  
DMA Switch  
Fabric  
.M1  
.L1 .S1 xx .D1  
xx  
.M2  
.D2 xx .S2 .L2  
xx  
Data Memory Controller (DMC) With  
Memory Protect/Bandwidth Mgmt  
CFG Switch  
Fabric  
32KB L1D  
For more detailed information on the TMS320C66x CorePac on the C6678 device, see the C66x CorePac User Guide  
(literature number SPRUGW0).  
Copyright 2010 Texas Instruments Incorporated  
C66x CorePac 85  
 
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
5.1 Memory Architecture  
Each C66x CorePac of the TMS320C6678 device contains a 512KB level-2 memory (L2), a 32KB level-1 program  
memory (L1P), and a 32KB level-1 data memory (L1D). The device also contain a 4096KB multicore shared memory  
(MSM). All memory on the C6678 has a unique location in the memory map (see Table 2-2 ‘‘Memory Map  
Summary for TMS320C6678’’ on page 19.  
The L1P and L1D cache can be reconfigured via software through the L1PMODE field of the L1P Configuration  
Register (L1PCFG) and the L1DMODE field of the L1D Configuration Register (L1DCFG) of the C66x CorePac.  
L1D is a two-way set-associative cache, while L1P is a direct-mapped cache.  
The on-chip bootloader changes the reset configuration for L1P and L1D. For more information, see the Bootloader  
for the C66x DSP User Guide (literature number SPRUGY5).  
For more information on the operation L1 and L2 caches, see the C66x DSP Cache User Guide (literature number  
SPRUGY8).  
5.1.1 L1P Memory  
The L1P memory configuration for the C6678 device is as follows:  
Region 0 size is 0K bytes (disabled)  
Region 1 size is 32K bytes with no wait states  
Figure 5-2 shows the available SRAM/cache configurations for L1P.  
Figure 5-2  
TMS320C6678 L1P Memory Configurations  
L1P mode bits  
Block base  
address  
00E0 0000h  
000  
001  
010  
011  
100  
L1P memory  
16K bytes  
1/2  
SRAM  
3/4  
SRAM  
7/8  
SRAM  
direct  
mapped  
cache  
All  
SRAM  
00E0 4000h  
00E0 6000h  
8K bytes  
direct  
mapped  
cache  
4K bytes  
4K bytes  
direct  
mapped  
cache  
00E0 7000h  
00E0 8000h  
dm  
cache  
86  
C66x CorePac  
Copyright 2010 Texas Instruments Incorporated  
 
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
5.1.2 L1D Memory  
The L1D memory configuration for the C6678 device is as follows:  
Region 0 size is 0K bytes (disabled)  
Region 1 size is 32K bytes with no wait states  
Figure 5-3 shows the available SRAM/cache configurations for L1D.  
Figure 5-3  
TMS320C6678 L1D Memory Configurations  
L1D mode bits  
Block base  
address  
00F0 0000h  
000  
001  
010  
011  
100  
L1D memory  
16K bytes  
1/2  
SRAM  
3/4  
SRAM  
7/8  
SRAM  
All  
SRAM  
2-way  
cache  
00F0 4000h  
00F0 6000h  
8K bytes  
2-way  
cache  
4K bytes  
4K bytes  
2-way  
cache  
00F0 7000h  
00F0 8000h  
2-way  
cache  
5.1.3 L2 Memory  
The L2 memory configuration for the C6678 device is as follows:  
Total memory size is 4096KB  
Each core contains 512KB of memory  
Local starting address for each core is 0080 0000h  
Copyright 2010 Texas Instruments Incorporated  
C66x CorePac 87  
 
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
L2 memory can be configured as all SRAM, all 4-way set-associative cache, or a mix of the two. The amount of L2  
memory that is configured as cache is controlled through the L2MODE field of the L2 Configuration Register  
(L2CFG) of the C66x CorePac. Figure 5-4 shows the available SRAM/cache configurations for L2. By default, L2 is  
configured as all SRAM after device reset.  
Figure 5-4  
TMS320C6678 L2 Memory Configurations  
L2 Mode Bits  
Block Base  
Address  
000  
001  
010  
011  
100  
101  
L2 Memory  
0080 0000h  
ALL  
SRAM  
15/16  
SRAM  
7/8  
SRAM  
3/4  
SRAM  
1/2  
SRAM  
ALL  
Cache  
256Kbytes  
4-Way  
Cache  
0084 0000h  
0086 0000h  
128Kbytes  
64Kbytes  
4-Way  
Cache  
4-Way  
Cache  
0087 0000h  
0087 8000h  
0087 FFFFh  
32Kbytes  
32Kbytes  
4-Way  
Cache  
4-Way  
Cache  
Global addresses are accessible to all masters in the system. In addition, local memory can be accessed directly by  
the associated processor through aliased addresses, where the eight MSBs are masked to zero. The aliasing is handled  
within the C66x CorePac and allows for common code to be run unmodified on multiple cores. For example, address  
location 0x10800000 is the global base address for C66x CorePac Core 0's L2 memory. C66x CorePac Core 0 can  
access this location by either using 0x10800000 or 0x00800000. Any other master on the device must use 0x10800000  
only. Conversely, 0x00800000 can by used by any of the cores as their own L2 base addresses.  
For C66x CorePac Core 0, as mentioned, this is equivalent to 0x10800000, for C66x CorePac Core 1 this is equivalent  
to 0x11800000, and for C66x CorePac Core 2 this is equivalent to 0x12800000. Local addresses should be used only  
for shared code or data, allowing a single image to be included in memory. Any code/data targeted to a specific core,  
or a memory region allocated during run-time by a particular core should always use the global address only.  
5.1.4 MSMC SRAM  
The MSMC SRAM configuration for the C6678 device is as follows:  
Memory size is 4096KB  
The MSMC SRAM can be configured as shared L2 and/or shared L3 memory  
Allows extension of external addresses from 2GB to up to 8GB  
Has built in memory protection features  
88  
C66x CorePac  
Copyright 2010 Texas Instruments Incorporated  
 
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
The MSM SRAM is always configured as all SRAM. When configured as a shared L2, its contents can be cached in  
L1P and L1D. When configured in shared L3 mode, it’s contents can be cached in L2 also. For more details on  
external memory address extension and memory protection features, see the Multicore Shared Memory Controller  
(MSMC) for KeyStone Devices User Guide (literature number SPRUGW7).  
5.1.5 L3 Memory  
The L3 ROM on the device is 128KB. The ROM contains software used to boot the device. There is no requirement  
to block accesses from this portion to the ROM.  
5.2 Memory Protection  
Memory protection allows an operating system to define who or what is authorized to access L1D, L1P, and L2  
memory. To accomplish this, the L1D, L1P, and L2 memories are divided into pages. There are 16 pages of L1P (2KB  
each), 16 pages of L1D (2KB each), and 32 pages of L2 (16KB each). The L1D, L1P, and L2 memory controllers in  
the C66x CorePac are equipped with a set of registers that specify the permissions for each memory page.  
Each page may be assigned with fully orthogonal user and supervisor read, write, and execute permissions. In  
addition, a page may be marked as either (or both) locally accessible or globally accessible. A local access is a direct  
DSP access to L1D, L1P, and L2, while a global access is initiated by a DMA (either IDMA or the EDMA3) or by  
other system masters. Note that EDMA or IDMA transfers programmed by the DSP count as global accesses. On a  
secure device, pages can be restricted to secure access only (default) or opened up for public, non-secure access.  
The DSP and each of the system masters on the device are all assigned a privilege ID. It is possible to specify whether  
memory pages are locally or globally accessible.  
The AIDx and LOCAL bits of the memory protection page attribute registers specify the memory page protection  
scheme, see Table 5-1.  
Table 5-1  
Available Memory Page Protection Schemes  
AIDx Bit  
Local Bit  
Description  
0
0
1
0
1
No access to memory page is permitted.  
0
Only direct access by DSP is permitted.  
1
Only accesses by system masters and IDMA are permitted (includes EDMA and IDMA accesses initiated by the DSP).  
All accesses permitted.  
1
End of Table 5-1  
Faults are handled by software in an interrupt (or an exception, programmable within the C66x CorePac interrupt  
controller) service routine. A DSP or DMA access to a page without the proper permissions will:  
Block the access — reads return zero, writes are ignored  
Capture the initiator in a status register — ID, address, and access type are stored  
Signal event to DSP interrupt controller  
The software is responsible for taking corrective action to respond to the event and resetting the error status in the  
memory controller. For more information on memory protection for L1D, L1P, and L2, see the C66x CorePac User  
Guide (literature number SPRUGW0).  
Copyright 2010 Texas Instruments Incorporated  
C66x CorePac 89  
 
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
5.3 Bandwidth Management  
When multiple requestors contend for a single C66x CorePac resource, the conflict is resolved by granting access to  
the highest priority requestor. The following four resources are managed by the Bandwidth Management control  
hardware:  
Level 1 Program (L1P) SRAM/Cache  
Level 1 Data (L1D) SRAM/Cache  
Level 2 (L2) SRAM/Cache  
Memory-mapped registers configuration bus  
The priority level for operations initiated within the C66x CorePac are declared through registers in the C66x  
CorePac. These operations are:  
DSP-initiated transfers  
User-programmed cache coherency operations  
IDMA-initiated transfers  
The priority level for operations initiated outside the C66x CorePac by system peripherals is declared through the  
Priority Allocation Register (PRI_ALLOC) System peripherals with no fields in PRI_ALLOC have their own  
registers to program their priorities, see section 4.4 ‘‘Bus Priorities’’ on page 84 for more details.  
More information on the bandwidth management features of the C66x CorePac can be found in the C66x CorePac  
User Guide (literature number SPRUGW0.)  
5.4 Power-Down Control  
The C66x CorePac supports the ability to power-down various parts of the C66x CorePac. The power-down  
controller (PDC) of the C66x CorePac can be used to power down L1P, the cache control hardware, the DSP, and  
the entire C66x CorePac. These power-down features can be used to design systems for lower overall system power  
requirements.  
Note—The C6678 does not support power-down modes for the L2 memory at this time.  
More information on the power-down features of the C66x CorePac can be found in the TMS320C66x CorePac  
Reference Guide (literature number SPRUGW0).  
5.5 C66x CorePac Resets  
Table 5-2 shows the reset types supported on the C6678 device and how they affect the resetting of the CorePac,  
either both globally or just locally.  
Table 5-2  
C66x CorePac Reset (Global or Local)  
Reset Type  
Global C66x CorePac Reset  
Local C66x CorePac Reset  
Power-On Reset  
Warm Reset  
Y
Y
Y
N
Y
Y
Y
Y
System Reset  
DSP Reset  
End of Table 5-2  
For more detailed information on the global and local C66x CorePac resets, see the C66x CorePac Reference Guide  
(literature number SPRUGW0). And for more detailed information on device resets, see section 7.7 ‘‘Reset  
Controller’’ .  
90  
C66x CorePac  
Copyright 2010 Texas Instruments Incorporated  
 
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
5.6 C66x CorePac Revision  
The version and revision of the C66x CorePac can be read from the CorePac Revision ID Register (MM_REVID)  
located at address 0181 2000h. The MM_REVID register is shown in Table 5-3 and described in Table 5-4. The C66x  
CorePac revision is dependant on the silicon revision being used.  
Table 5-3  
CorePac Revision ID Register (MM_REVID)  
Address - 0181 2000h  
Bit  
31  
15  
30  
14  
29  
13  
28  
12  
27  
11  
26  
10  
25  
9
24  
VERSION  
R-h  
23  
22  
6
21  
5
20  
4
19  
3
18  
2
17  
1
16  
0
Acronym  
(1)  
Reset  
Bit  
8
7
REVISION  
R-n  
Acronym  
(1)  
Reset  
1 R/W = Read/Write; R = Read only; -n = value after reset  
Table 5-4  
CorePac Revision ID Register (MM_REVID) Field Descriptions  
Bit  
Acronym  
Value  
Description  
Version of the C66x CorePac implemented on the device.  
Revision of the C66x CorePac version implemented on the device.  
31:16 VERSION  
-
-
15:0  
REVISION  
End of Table 5-4  
5.7 C66x CorePac Register Descriptions  
See the C66x CorePac Reference Guide (literature number SPRUGW0) for register offsets and definitions.  
Copyright 2010 Texas Instruments Incorporated  
C66x CorePac 91  
 
 
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
92  
C66x CorePac  
Copyright 2010 Texas Instruments Incorporated  
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
6 Device Operating Conditions  
6.1 Absolute Maximum Ratings  
Table 6-1  
Absolute Maximum Ratings (1)  
Over Operating Case Temperature Range (Unless Otherwise Noted)  
CVDD  
-0.3 V to TBD V  
-0.3 V to TBD V  
CVDD1  
DVDD15  
DVDD18  
VREFSSTL  
-0.3 V to TBD V  
-0.3 V to TBD V  
0.49 × DVDD15 to 0.51 × DVDD15  
-0.3 V to TBD V  
Supply voltage range (2)  
:
VDDT1, VDDT2, VDDT3  
VDDT4, VDDT5, VDDT6  
VDDR1, VDDR2, VDDR3  
AVDDA1, AVDDA2, AVDDA3  
VSS Ground  
-0.3 V to TBD V  
-0.3 V to TBD V  
0 V  
LVCMOS (1.8V)  
-0.3 V to TBD V  
-0.3 V to TBD V  
-0.3 V to TBD V  
-0.3 V to TBD V  
-0.3 V to TBD V  
-0.3 V to TBD V  
-0.3 V to TBD V  
-0.3 V to TBD V  
-0.3 V to TBD V  
-0.3 V to TBD V  
0°C to 85°C  
DDR3  
I2C  
Input voltage (VI) range:  
LVDS  
LJCB  
SERDES  
LVCMOS (1.8V)  
DDR3  
Output voltage (VO) range:  
I2C  
SERDES  
Commercial  
Extended  
LVCMOS (1.8V)  
DDR3  
Operating case temperature range, TC:  
Overshoot/undershoot (3)  
-40°C to 100°C  
20% Overshoot/Undershoot for 20% of  
Signal Duty Cycle  
I2C  
Storage temperature range, Tstg  
:
-65°C to 150°C  
End of Table 6-1  
1 Stresses beyond those listed under "absolute maximum ratings" may cause permanent damage to the device. These are stress ratings only, and functional operation of the  
device at these or any other conditions beyond those indicated under "recommended operating conditions" is not implied. Exposure to absolute-maximum-rated  
conditions for extended periods may affect device reliability.  
2 All voltage values are with respect to VSS  
.
3 Overshoot/Undershoot percentage relative to I/O operating values - for example the maximum overshoot value for 1.8-V LVCMOS signals is DVDD18 + 0.20 × DVDD18 and  
maximum undershoot value would be VSS - 0.20 × DVDD18  
Copyright 2010 Texas Instruments Incorporated  
Device Operating Conditions 93  
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
6.2 Recommended Operating Conditions  
Table 6-2  
Recommended Operating Conditions (1) (2)  
Min  
0.95  
Nom  
Max Unit  
DSP at 1 GHz  
DSP at 800 MHz  
1
1.05  
CVDD  
Core Supply  
V
0.855  
0.9  
0.945  
CVDD1  
SR Core Supply  
0.95  
1
1.05  
V
V
V
V
V
V
V
V
V
V
V
V
V
V
°C  
DVDD18  
DVDD15  
VREFSSTL  
1.8-V supply I/O voltage  
1.5-V supply I/O voltage  
DDR3 reference voltage  
SerDes regulator supply  
PLL analog supply  
1.71  
1.8  
1.89  
1.425  
1.5  
1.575  
0.49 × DVDD15  
1.425  
0.5 × DVDD15  
0.51 × DVDD15  
(3)  
VDDRx  
1.5  
1.8  
1
1.575  
1.89  
1.05  
0
VDDAx  
VDDTx  
VSS  
1.71  
SerDes termination supply  
Ground  
0.95  
0
0
LVCMOS (1.8 V)  
I2C  
0.65 × DVDD18  
0.7 × DVDD18  
VREFSSTL + 0.1  
VIH  
High-level input voltage  
DDR3 EMIF  
LVCMOS (1.8 V)  
DDR3 EMIF  
I2C  
0.35 × DVDD18  
VREFSSTL - 0.1  
0.3 × DVDD18  
85  
-0.3  
VIL  
Low-level input voltage  
0
Commercial  
Extended  
TC  
Operating case temperature  
-40  
100  
°C  
End of Table 6-2  
1 All differential clock inputs comply with the LVDS Electrical Specification, IEEE 1596.3-1996 and all SERDES I/Os comply with the XAUI Electrical Specification, IEEE  
802.3ae-2002.  
2 All SERDES I/Os comply with the XAUI Electrical Specification, IEEE 802.3ae-2002.  
3 Where x = 1, 2, 3, 4... to indicate all supplies of the same kind.  
94  
Device Operating Conditions  
Copyright 2010 Texas Instruments Incorporated  
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
6.3 Electrical Characteristics  
Table 6-3  
Electrical Characteristics  
Over Recommended Ranges of Supply Voltage and Operating Case Temperature (Unless Otherwise Noted)  
Parameter  
LVCMOS (1.8 V)  
Test Conditions (1)  
O = IOH  
Min  
DVDD18 - 0.45  
DVDD15 - 0.4  
Typ  
Max Unit  
I
I
VOH High-level output voltage  
DDR3  
I2C (2)  
V
LVCMOS (1.8 V)  
O = IOL  
0.45  
VOL Low-level output voltage  
DDR3  
I2C  
0.4  
0.4  
5
V
IO = 3 mA, pulled up to 1.8 V  
No IPD/IPU  
-5  
50  
μA  
Internal pullup  
100  
170  
-50  
LVCMOS (1.8 V)  
(3)  
II  
Input current [DC]  
Internal pulldown  
-170  
-100  
0.1 × DVDD18 V < VI < 0.9 ×  
DVDD18 V  
I2C  
-10  
10 μA  
TBD  
TBD  
TBD  
TBD  
TBD  
TBD  
TBD  
TBD  
TBD  
TBD  
TBD  
TBD  
I
OH High-level output current [DC]  
mA  
IOL  
Low-level output current [DC] TBD  
TBD mA  
TBD  
TBD  
TBD  
TBD  
(4)  
IOZ  
Off-state output current [DC]  
LVCMOS (1.8 V)  
-2  
2
μA  
End of Table 6-3  
1 For test conditions shown as MIN, MAX, or TYP, use the appropriate value specified in the recommended operating conditions table.  
2 I2C uses open collector IOs and does not have a VOH Minimum.  
3 II applies to input-only pins and bi-directional pins. For input-only pins, II indicates the input leakage current. For bi-directional pins, II includes input leakage current and  
off-state (Hi-Z) output leakage current.  
4 IOZ applies to output-only pins, indicating off-state (Hi-Z) output leakage current.  
Copyright 2010 Texas Instruments Incorporated  
Device Operating Conditions 95  
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
96  
Device Operating Conditions  
Copyright 2010 Texas Instruments Incorporated  
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
7 TMS320C6678 Peripheral Information and Electrical Specifications  
This chapter covers the various peripherals on the TMS320C6678 DSP. Peripheral-specific information, timing  
diagrams, electrical specifications, and register memory maps are described in this chapter.  
7.1 Parameter Information  
This section describes the conditions used to capture the electrical data seen in this chapter.  
The data manual provides timing at the device pin. For output analysis, the transmission line and associated  
parasitics (vias, multiple nodes, etc.) must also be taken into account. The transmission line delay varies depending  
on the trace length. An approximate range for output delays can vary from 176 ps to 2 ns depending on the end  
product design. For recommended transmission line lengths, see the appropriate application notes, user guides, and  
design guides. A transmission line delay of 2 ns was used for all output measurements, except the DDR3, which was  
evaluated using a 528-ps delay.  
Figure 7-1 represents all device outputs, except differential or I2C.  
Figure 7-1  
Test Load Circuit for AC Timing Measurements  
Device  
DDR3 Output Test Load  
Transmission Line  
Zo = 50 W  
4 pF  
Data Manual Timing  
Reference Point  
(Device Terminal)  
Device  
Output Test Load Excluding DDR3  
Transmission Line  
Zo = 50 W  
5 pF  
The load capacitance value stated is only for characterization and measurement of AC timing signals. This load  
capacitance value does not indicate the maximum load the device is capable of driving.  
7.1.1 1.8-V Signal Transition Levels  
All input and output timing parameters are referenced to 0.9 V for both 0 and 1 logic levels.  
Figure 7-2  
Input and Output Voltage Reference Levels for AC Timing Measurements  
Vref = 0.9 V  
Copyright 2010 Texas Instruments Incorporated  
TMS320C6678 Peripheral Information and Electrical Specifications  
97  
 
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
All rise and fall transition timing parameters are reference to VIL MAX and VIH MIN for input clocks.  
Figure 7-3  
Rise and Fall Transition Time Voltage Reference Levels  
Vref = VIH MIN (or VOH MIN)  
7.1.2 Timing Parameters and Board Routing Analysis  
The timing parameter values specified in this data sheet do not include delays by board routings. As a good board  
design practice, such delays must always be taken into account. Timing values may be adjusted by  
increasing/decreasing such delays. TI recommends using the available I/O buffer information specification (IBIS)  
models to analyze the timing characteristics correctly. To properly use IBIS models to attain accurate timing analysis  
for a given system, see the Using IBIS Models for Timing Analysis application report (literature number TBD). If  
needed, external logic hardware such as buffers may be used to compensate any timing differences.  
For inputs, timing is most impacted by the round-trip propagation delay from the DSP to the external device and  
from the external device to the DSP. This round-trip delay tends to negatively impact the input setup time margin,  
but also tends to improve the input hold time margins (see Table 7-1 and Figure 7-4).  
Table 7-1  
Board-Level Timing Example  
(see Figure 7-4)  
No.  
Description  
1
Clock route delay  
2
Minimum DSP hold time  
3
Minimum DSP setup time  
External device hold time requirement  
External device setup time requirement  
Control signal route delay  
External device hold time  
External device access time  
DSP hold time requirement  
DSP setup time requirement  
Data route delay  
4
5
6
7
8
9
10  
11  
End of Table 7-1  
98  
TMS320C6678 Peripheral Information and Electrical Specifications  
Copyright 2010 Texas Instruments Incorporated  
 
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
Figure 7-4 shows a general transfer between the DSP and an external device. The figure also shows board route  
delays and how they are perceived by the DSP and the external device  
Figure 7-4  
Board-Level Input/Output Timings  
AECLKOUT  
(Output from DSP)  
1
AECLKOUT  
(Input to External Device)  
2
Control Signals (A)  
(Output from DSP)  
3
6
4
5
Control Signals  
(Input to External Device)  
7
8
Data Signals (B)  
(Output from External Device)  
9
10  
Data Signals (B)  
(Input to DSP)  
11  
(A) Control signals include data for writes.  
(B) Data signals are generated during reads from an external device.  
Copyright 2010 Texas Instruments Incorporated  
TMS320C6678 Peripheral Information and Electrical Specifications  
99  
 
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
7.2 Recommended Clock and Control Signal Transition Behavior  
All clocks and control signals must transition between VIH and VIL (or between VIL and VIH) in a monotonic  
manner.  
7.3 Power Supplies  
The following sections describe the proper power-supply sequencing and timing needed to properly power on the  
C6678. The various power supply rails and their primary function is listed in Table 7-2 below.  
Table 7-2  
Power Supply Rails on TMS320C6678  
Name  
CVDD  
CVDD1  
Primary Function  
Voltage Notes  
0.9 - 1.1 V Includes core voltage for DDR3 module  
SmartReflex core supply voltage  
Core supply voltage for memory  
array  
1.0 V  
1.0 V  
1.0 V  
1.5 V  
Fixed supply at 1.0 V  
VDDT1  
VDDT2  
HyperLink SerDes termination  
supply  
Filtered version of CVDD1. Special considerations for noise. Filter is not needed if  
HyperLink is not in use.  
SGMII/SRIO/PCIE SerDes  
termination supply  
Filtered version of CVDD1. Special considerations for noise. Filter is not needed if  
SGMII/SRIO/PCIE is not in use.  
DVDD15  
VDDR1  
1.5-V DDR3 IO supply  
HyperLink SerDes regulator supply 1.5 V  
Filtered version of DVDD15. Special considerations for noise. Filter is not needed if  
HyperLink is not in use.  
VDDR2  
VDDR3  
VDDR4  
PCIE SerDes regulator supply  
SGMII SerDes regulator supply  
SRIO SerDes regulator supply  
1.5 V  
1.5 V  
1.5 V  
Filtered version of DVDD15. Special considerations for noise. Filter is not needed if PCIE  
is not in use.  
Filtered version of DVDD15. Special considerations for noise. Filter is not needed if  
SGMII is not in use.  
Filtered version of DVDD15. Special considerations for noise. Filter is not needed if SRIO  
is not in use.  
VDDR6  
DVDD18  
AVDDA1  
AVDDA2  
AVDDA3  
VREFSSTL  
VSS  
1.8-V IO supply  
1.8V  
Main PLL supply  
DDR3 PLL supply  
PASS PLL supply  
0.75-V DDR3 reference voltage  
Ground  
1.8 V  
1.8 V  
1.8 V  
0.75 V  
GND  
Filtered version of DVDD18. Special considerations for noise.  
Filtered version of DVDD18. Special considerations for noise.  
Filtered version of DVDD18. Special considerations for noise.  
Should track the 1.5-V supply. Use 1.5 V as source.  
End of Table 7-2  
7.3.1 Power-Supply Sequencing  
This section defines the requirements for a power up sequencing from a Power-on reset condition. There are two  
acceptable power sequences for the device. The first sequence stipulates the core voltages starting before the IO  
voltages as shown below.  
1. CVDD  
2. CVDD1, VDDT1-3  
3. DVDD18, AVDD1, AVDD2 (HHV)  
4. DVDD15, VDDR1-6  
The second sequence provides compatibility with other TI processors with the IO voltage starting before the core  
voltages as shown below.  
1. DVDD18, AVDD1, AVDD2 (HHV)  
2. CVDD  
100  
TMS320C6678 Peripheral Information and Electrical Specifications  
Copyright 2010 Texas Instruments Incorporated  
 
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
3. CVDD1, VDDT1-3  
4. DVDD15, VDDR1-6  
The device initialization is broken into two phases. The first phase consists of the time period from the activation of  
the first power supply until the point in which all supplies are active and at a valid voltage level. Either of the  
sequencing scenarios described above can be implemented during this phase. The figures below show both the  
core-before-IO voltage sequence and the IO-before-core voltage sequence. POR must be held low for the entire  
power stabilization phase.  
This is followed by the device initialization phase. Either POR or RESETFULL may be used to trigger the end of the  
initialization phase, but both must be inactive for the initialization to complete. The differences between  
POR-controlled initialization and RESETFULL initialization are described below. The following section has a  
mention of REFCLK in many places. REFCLK here refers to the clock input that has been selected as the source for  
the Main PLL. See Figure 7-23 for more details.  
For more information on Power Supply sequencing see the Hardware Design Guide for KeyStone Devices (literature  
number SPRABI2).  
7.3.1.1 POR-Controlled Device Initialization  
The timing diagrams in the figures below show the power sequencing and reset control of the device when  
RESETFULL is held high and POR is used to control the device initialization. In this mode, POR must be held low  
until the power has been stable for the required 100 μsec and the device initialization requirements have been met.  
On the rising edge of POR, the HHV signal will go inactive allowing the core to control the state of the output buffers  
and pulls. The POR must be held for the 100 μsec after the power has stabilized plus the time period between that100  
μsec and when the clock is active in addition to the 16 μsec following the active clock. If the clock becomes active  
before the 100 μsec stabilization period has expired, only the additional 16 μsecs of POR is required to complete  
initialization.  
Note—REFCLK must always be active before POR can be removed.  
7.3.1.1.1 Core-Before-IO Power Sequencing  
Copyright 2010 Texas Instruments Incorporated  
TMS320C6678 Peripheral Information and Electrical Specifications 101  
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
The timing diagram for core-before-IO power sequencing is shown in Figure 7-5 and defined in Table 7-3.  
Figure 7-5  
POR-Controlled Power Sequencing — Core Before IO  
Power Stabilization Phase  
Chip Initialization Phase  
PORz  
RESETFULLz  
RESETz  
t4b  
t1  
CVDD(core AVS)  
CVDD1 (core constant)  
DVDD18 (1.8V)  
t2a  
t5  
t6  
t7  
t3  
t4a  
t2b  
t2c  
DVDD15 (1.5V)  
REFCLKP&N  
DDRCLKP&N  
RESETSTATz  
PORz Controlled Reset Sequencing – Core before IO  
Table 7-3  
POR-Controlled Power Sequencing — Core Before IO (Part 1 of 2)  
Time  
System State  
t1  
Begin Power Stabilization Phase  
• CVDD (core AVS) ramps up.  
• POR must be held low through the power stabilization phase. Because POR is low, all the core logic that has async reset (created from  
POR) is put into the reset state.  
t2a  
• CVDD1 (core constant) ramps at the same time or shortly following CVDD. Although ramping CVDD1 and CVDD simultaneously is  
permitted, the voltage for CVDD1 must never exceed CVDD until after CVDD has reached a valid voltage.  
• The purpose of ramping up the core supplies close to each other is to reduce crowbar current. CVDD1 (core constant) should trail CVDD  
(core AVS) as this will ensure that the WLs in the memories are turned off and there is no current through the memory bit cells. If,  
however, CVDD1 (core constant) ramps up before CVDD (core AVS), then the worst-case current could be on the order of twice the  
specified draw of CVDD1.  
t2b  
t2c  
t3  
• Once CVDD is valid, the clock drivers should be enabled. Although the clock inputs are not necessary at this time, they should either be  
driven with a valid clock or be held in a static state with one leg high and one leg low.  
• The DDRCLK and REFCLK may begin to toggle anytime between when CVDD is at a valid level and the setup time before POR goes high  
specified by t7.  
• DVDD18 (1.8 V) supply is ramped up followed coincidentally by HHV (1.8 V).  
• Filtered versions of 1.8 V can ramp simultaneously with DVDD18.  
• RESETSTAT is driven low once the DVDD18 supply is available.  
• All LVCMOS input and bidirectional pins must not be driven or pulled high until DVDD18 is present. Driving an input or bidirectional pin  
before DVDD18 is valid could cause damage to the device.  
t4a  
• DVDD15 (1.5 V) supply is ramped up following DVDD18. Although ramping DVDD18 and DVDD15 simultaneously is permitted, the  
voltage for DVDD15 must never exceed DVDD18.  
102  
TMS320C6678 Peripheral Information and Electrical Specifications  
Copyright 2010 Texas Instruments Incorporated  
 
 
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
Table 7-3  
POR-Controlled Power Sequencing — Core Before IO (Part 2 of 2)  
Time  
System State  
t4b  
• RESETFULL and RESET may be driven high anytime after DVDD18 is at a valid level. In a POR controlled boot both RESETFULL and RESET  
must be high before POR is driven high.  
t5  
• POR must continue to remain low for at least 100 μs after power has stabilized.  
End Power Stabilization Phase  
t6  
t7  
• Device initialization requires 500 REFCLK periods after the Power Stabilization Phase. The maximum clock period is 33.33 nsec, so a delay  
of an additional 16 μs is required before a rising edge of POR. The clock must be active during the entire 16 μs.  
• The rising edge of POR will remove the reset to the efuse farm, allowing the scan to begin.  
• Once device initialization and the efuse farm scan are complete, the RESETSTAT signal is driven high. This delay will be 10000 to 50000  
clock cycles.  
End Device Initialization Phase  
End of Table 7-3  
7.3.1.1.2 IO-Before-Core Power Sequencing  
The timing diagram for IO-before-core power sequencing is shown in Figure 7-6 and defined in Table 7-4.  
Figure 7-6  
POR-Controlled Power Sequencing — IO Before Core  
Power Stabilization Phase  
Chip Initialization Phase  
PORz  
RESETFULLz  
RESETz  
t2a  
CVDD(core AVS)  
t3a  
t2b  
CVDD1(coreconstant)  
t7  
t6  
t1  
t4  
DVDD18 (1.8V)  
DVDD15 (1.5V)  
t5  
t3c  
t3b  
REFCLKP&N  
DDRCLKP&N  
RESETSTATz  
PORz Controlled Reset Sequencing – IO before Core  
Copyright 2010 Texas Instruments Incorporated  
TMS320C6678 Peripheral Information and Electrical Specifications 103  
 
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
Table 7-4  
POR-Controlled Power Sequencing — IO Before Core  
Time  
System State  
t1  
Begin Power Stabilization Phase  
• DVDD18 (1.8 V) supply is ramped up followed coincidentally by HHV (1.8 V).  
• Since POR is low all the core logic having async reset (created from POR) are put into reset state once the core supply ramps. POR must  
remain low through Power Stabilization Phase.  
• Filtered versions of 1.8V can ramp simultaneously with DVDD18.  
• RESETSTAT is driven low once the DVDD18 supply is available.  
• All input and bidirectional pins must not be driven or pulled high until DVDD18 is present. Driving an input or bidirectional pin before  
DVDD18 could cause damage to the device.  
t2a  
• RESETFULL and RESET may be driven high anytime after DVDD18 is at a valid level. In a POR-controlled boot both RESETFULL and RESET  
must be high before POR is driven high.  
t2b  
t3a  
• CVDD (core AVS) ramps up.  
• CVDD1 (core constant) ramps at the same time or following CVDD. Although ramping CVDD1 and CVDD simultaneously is permitted the  
voltage for CVDD1 must never exceed CVDD until after CVDD has reached a valid voltage.  
• The purpose of ramping up the core supplies close to each other is to reduce crowbar current. CVDD1 (core constant) should trail CVDD  
(core AVS) as this will ensure that the WLs in the memories are turned off and there is no current through the memory bit cells. If,  
however, CVDD1 (core constant) ramps up before CVDD (core AVS) then the worst case current could be on the order of twice the  
specified draw of CVDD1.  
t3b  
t3c  
• Once CVDD is valid the clock drivers should be enabled. Although the clock inputs are not necessary at this time they should either be  
driven with a valid clock or held is a static state with one leg high and one leg low.  
• The DDRCLK and REFCLK may begin to toggle anytime between when CVDD is at a valid level and the setup time before POR goes high  
specified by t7.  
t4  
t5  
• DVDD15 (1.5 V) supply is ramped up following CVDD1.  
• POR must continue to remain low for at least 100 μs after power has stabilized.  
End Power Stabilization Phase  
t6  
t7  
Begin Device Initialization  
• Device initialization requires 500 REFCLK periods after the Power Stabilization Phase. The maximum clock period is 33.33 nsec so a delay  
of an additional 16 μs is required before a rising edge of POR. The clock must be active during the entire 16 μs.  
• POR must remain low.  
• The rising edge of the POR will remove the reset to the efuse farm allowing the scan to begin.  
• Once device initialization and the efuse farm scan are complete, the RESETSTAT signal is driven high. This delay will be 10000 to 50000  
clock cycles.  
End Device Initialization Phase  
End of Table 7-4  
7.3.1.2 RESETFULL-Controlled Device Initialization  
The timing diagrams in the figures below show the power sequencing and reset control of the device when  
RESETFULL is used to extend device initialization. In this mode, POR may be removed after the power has been  
stable for the required 100 μsec, but RESETFULL may be held low until the device initialization requirements have  
been met. On the rising edge of POR, the HHV signal will go inactive.  
Note—REFCLK must always be active before POR can be removed.  
7.3.1.2.1 Core-Before-IO Power Sequencing  
104  
TMS320C6678 Peripheral Information and Electrical Specifications  
Copyright 2010 Texas Instruments Incorporated  
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
The timing diagram for core-before-IO power sequencing is shown in Figure 7-7 and defined in Table 7-5.  
Figure 7-7  
RESETFULL-Controlled Device Initialization — Core Before IO  
Power Stabilization Phase  
Chip Initialization Phase  
t7  
PORz  
RESETFULLz  
RESETz  
t1  
t4b  
CVDD(core AVS)  
CVDD1 (core constant)  
DVDD18 (1.8V)  
t5  
t2a  
t6  
t3  
t2b  
t4a  
t8  
t2c  
DVDD15 (1.5V)  
REFCLKP&N  
DDRCLKP&N  
RESETSTATz  
RESETFULLz Controlled Reset Sequencing – Core before IO  
Table 7-5  
RESETFULL-Controlled Device Initialization — Core Before IO (Part 1 of 2)  
Time  
System State  
t1  
Begin Power Stabilization Phase  
• CVDD (core AVS) ramps up.  
• POR must be held low through the power stabilization phase. Because POR is low, all the core logic that has async reset (created from  
POR) is put into the reset state.  
t2a  
• CVDD1 (core constant) ramps at the same time or shortly following CVDD. Although ramping CVDD1 and CVDD simultaneously is  
permitted, the voltage for CVDD1 must never exceed CVDD until after CVDD has reached a valid voltage.  
• The purpose of ramping up the core supplies close to each other is to reduce crowbar current. CVDD1 (core constant) should trail CVDD  
(core AVS) as this will ensure that the WLs in the memories are turned off and there is no current through the memory bit cells. If,  
however, CVDD1 (core constant) ramps up before CVDD (core AVS), then the worst-case current could be on the order of twice the  
specified draw of CVDD1.  
t2b  
t2c  
t3  
• Once CVDD is valid the clock drivers should be enabled. Although the clock inputs are not necessary at this time, they should either be  
driven with a valid clock or held is a static state with one leg high and one leg low.  
• The DDRCLK and REFCLK may begin to toggle anytime between when CVDD is at a valid level and the setup time before POR goes high  
specified by t7.  
• DVDD18 (1.8 V) supply is ramped up followed coincidentally by HHV (1.8 V).  
• Filtered versions of 1.8 V can ramp simultaneously with DVDD18.  
• RESETSTAT is driven low once the DVDD18 supply is available.  
• All LVCMOS input and bidirectional pins must not be driven or pulled high until DVDD18 is present. Driving an input or bidirectional pin  
before DVDD18 is valid could cause damage to the device  
t4a  
t4b  
t5  
• DVDD15 (1.5 V) supply is ramped up following DVDD18. Although ramping DVDD18 and DVDD15 simultaneously is permitted, the  
voltage for DVDD15 must never exceed DVDD18.  
• RESET may be driven high anytime after DVDD18 is at a valid level. In a RESETFULL-controlled boot, both POR  
and RESET must be high before RESETFULL is driven high.  
• POR must continue to remain low for at least 100 μs after power has stabilized.  
End Power Stabilization Phase  
Copyright 2010 Texas Instruments Incorporated  
TMS320C6678 Peripheral Information and Electrical Specifications 105  
 
 
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
Table 7-5  
RESETFULL-Controlled Device Initialization — Core Before IO (Part 2 of 2)  
Time  
System State  
Begin Device Initialization Phase  
t6  
• Device initialization requires 500 REFCLK periods after the Power Stabilization Phase. The maximum clock period is 33.33 nsec, so a delay  
of an additional 16 μs is required before a rising edge of POR. The clock must be active during the entire 16 μs. In RESETFULL-controlled  
boot, the RESETFULL signal will continue to be low after POR transitions high.  
t7  
t8  
• RESETFULL is held low for some period after POR has transitioned high.  
• The rising edge of the RESETFULL will remove the reset to the efuse farm, allowing the scan to begin.  
• Once device initialization and the efuse farm scan are complete, the RESETSTAT signal is driven high. This delay will be 10000 to 50000  
clock cycles.  
End Device Initialization Phase  
End of Table 7-5  
7.3.1.2.2 IO-Before-Core Power Sequencing  
The timing diagram for core-before-IO power sequencing is shown in Figure 7-8 and defined in Table 7-6.  
Figure 7-8  
RESETFULL-Controlled Device Initialization — IO Before Core  
Power Stabilization Phase  
Chip Initialization Phase  
t7  
PORz  
RESETFULLz  
RESETz  
CVDD(core AVS)  
CVDD1 (core constant)  
DVDD18 (1.8V)  
t5  
t3a  
t2b  
t6  
t2a  
t4  
t8  
t1  
t3c  
DVDD15 (1.5V)  
REFCLKP&N  
t3b  
DDRCLKP&N  
RESETSTATz  
RESETFULLz ControlledReset Sequencing – IO before Core  
106  
TMS320C6678 Peripheral Information and Electrical Specifications  
Copyright 2010 Texas Instruments Incorporated  
 
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
Table 7-6  
RESETFULL-Controlled Device Initialization — IO Before Core  
Time  
System State  
t1  
Begin Power Stabilization Phase  
• DVDD18 (1.8 V) supply is ramped up followed coincidentally by HHV (1.8 V).  
• Because POR is low, all the core logic that has async reset (created from POR) is put into the reset state once the core supply ramps. POR  
must remain low through the Power Stabilization Phase.  
• Filtered versions of 1.8V can ramp simultaneously with DVDD18.  
• RESETSTAT is driven low once the DVDD18 supply is available.  
• All input and bidirectional pins must not be driven or pulled high until DVDD18 is present. Driving an input or bidirectional pin before  
DVDD18 could cause damage to the device.  
t2a  
• RESET may be driven high anytime after DVDD18 is at a valid level. In a RESETFULL-controlled boot both POR and RESET must be high  
before RESETFULL is driven high.  
t2b  
t3a  
• CVDD (core AVS) ramps up.  
CVDD1 (core constant) ramps at the same time or following CVDD. Although ramping CVDD1 and CVDD simultaneously is permitted the  
voltage for CVDD1 must never exceed CVDD until after CVDD has reached a valid voltage.  
The purpose of ramping up the core supplies close to each other is to reduce crowbar current. CVDD1 (core constant) should trail CVDD  
(core AVS) as this will ensure that the WLs in the memories are turned off and there is no current through the memory bit cells. If,  
however, CVDD1 (core constant) ramps up before CVDD (core AVS) then the worst case current could be on the order of twice the  
specified draw of CVDD1.  
t3b  
t3c  
• Once CVDD is valid, the clock drivers should be enabled. Although the clock inputs are not necessary at this time, they should either be  
driven with a valid clock or held is a static state with one leg high and one leg low.  
• The DDRCLK and REFCLK may begin to toggle anytime between when CVDD is at a valid level and the setup time before POR goes high  
specified by t7.  
t4  
t5  
• DVDD15 (1.5 V) supply is ramped up following CVDD1.  
• POR must continue to remain low for at least 100 μs after power has stabilized.  
End Power Stabilization Phase  
t6  
Begin Device Initialization  
• Device initialization requires 500 REFCLK periods after the Power Stabilization Phase. The maximum clock period is 33.33 nsec so a delay  
of an additional 16 μs is required before a rising edge of POR. The clock must be active during the entire 16 μs.  
• POR must remain low.  
t7  
t8  
• RESETFULL is held low for some period after POR has transitioned high.  
• The rising edge of the RESETFULL will remove the reset to the efuse farm allowing the scan to begin.  
• The rising edge of the RESETFULL will remove the reset to the efuse farm allowing the scan to begin.  
• Once device initialization and the efuse farm scan are complete the RESETSTAT signal is driven high. This delay will be 10000 to 50000  
clock cycles.  
End Device Initialization Phase  
End of Table 7-6  
7.3.1.3 Prolonged Resets  
Holding the device in POR, RESETFULL, or RESET for long periods of time will affect the long term reliability of  
the part. The device should not be held in a reset for times exceeding one hour and should not be held in reset for  
more the 5% of the time during which power is applied. Exceeding these limits will cause a gradual reduction in the  
reliability of the part. This can be avoided by allowing the DSP to boot and then configuring it to enter a hibernation  
state soon after power is applied. This will satisfy the reset requirement while limiting the power consumption of the  
device.  
7.3.2 Power-Down Sequence  
The power down sequence is the exact reverse of the power-up sequence described above. The goal is to prevent a  
large amount of static current and to prevent overstress of the device. A power-good circuit that monitors all the  
supplies for the device should be used in all designs. If a catastrophic power supply failure occurs on any voltage rail,  
POR should transition to low to prevent over-current conditions that could possibly impact device reliability.  
Copyright 2010 Texas Instruments Incorporated  
TMS320C6678 Peripheral Information and Electrical Specifications 107  
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
A system power monitoring solution is needed to shut down power to the board if a power supply fails. Long-term  
exposure to an environment in which one of the power supply voltages is no longer present will affect the reliability  
of the device. Holding the device in reset is not an acceptable solution because prolonged periods of time with an  
active reset can also affect long term reliability.  
Some of the clock inputs are required to be present for the device to initialize correctly, but behavior of many of the  
clocks is contingent on the state of the boot configuration pins. Table 7-7 describes the clock sequencing and the  
conditions that affect the clock operation. Note that all clock drivers should be in a high-impedance state until  
CVDD is at a valid level and that all clock inputs either be active or in a static state with one leg pulled low and the  
other connected to CVDD.  
Table 7-7  
Clock Sequencing  
Clock  
Condition  
Sequencing  
DDRCLK  
None  
Must be present 16 μsec before POR transitions high.  
CORECLKSEL = 0  
CORECLKSEL = 1  
CORECLKSEL = 0  
CORECLKSEL = 1  
PASSCLKSEL = 0  
PASSCLKSEL = 1  
SYSCLK used to clock the core PLL. It must be present 16 μsec before POR transitions high.  
SYSCLK used only for AIF. Clock most be present before the reset to the AIF is removed.  
ALTCORECLK is not used and should be tied to a static state.  
ALTCORECLK is used to clock the core PLL. It must be present 16 μsec before POR transitions high.  
PASSCLK is not used and should be tied to a static state.  
SYSCLK  
ALTCORECLK  
PASSCLK  
PASSCLK is used as a source for the PA_SS PLL. It must be present before the PA_SS PLL is removed from  
reset and programmed.  
An SGMII port will be used.  
SRIOSGMIICLK must be present 16 μsec before POR transitions high.  
SGMII will not be used. SRIO SRIOSGMIICLK must be present 16 μsec before POR transitions high.  
will be used as a boot device.  
SRIOSGMIICLK  
SGMII will not be used. SRIO SRIOSGMIICLK is used as a source to the SRIO SERDES PLL. It must be present before the SRIO is  
will be used after boot.  
removed from reset and programmed.  
SGMII will not be used. SRIO SRIOSGMIICLK is not used and should be tied to a static state.  
will not be used.  
PCIE will be used as a boot  
device.  
PCIECLK must be present 16 μsec before POR transitions high.  
PCIECLK  
PCIE will be used after boot. PCIECLK is used as a source to the PCIE SERDES PLL. It must be present before the PCIE is removed from  
reset and programmed.  
PCIE will not be used.  
PCIECLK is not used and should be tied to a static state.  
HyperLink will be used as a  
boot device.  
MCMCLK must be present 16usec before POR transitions high.  
MCMCLK  
HyperLink will be used after MCMCLK is used as a source to the MCM SERDES PLL. It must be present before the HyperLink is  
boot. removed from reset and programmed.  
HyperLink will not be used. MCMCLK is not used and should be tied to a static state.  
End of Table 7-7  
7.3.3 Power Supply Decoupling and Bulk Capacitors  
In order to properly decouple the supply planes on the PCB from system noise, decoupling and bulk capacitors are  
required. Bulk capacitors are used to minimize the effects of low frequency current transients and decoupling or  
bypass capacitors are used to minimize higher frequency noise. For recommendations on selection of Power Supply  
Decoupling and Bulk capacitors see the Hardware Design Guide for KeyStone Devices (literature number SPRABI2).  
108  
TMS320C6678 Peripheral Information and Electrical Specifications  
Copyright 2010 Texas Instruments Incorporated  
 
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
7.3.4 SmartReflex  
Increasing the device complexity increases its power consumption and with the smaller transistor structures  
responsible for higher achievable clock rates and increased performance, comes an inevitable penalty, increasing the  
leakage currents. Leakage currents are present in any active circuit, independently of clock rates and usage scenarios.  
This static power consumption is mainly determined by transistor type and process technology. Higher clock rates  
also increase dynamic power, the power used when transistors switch. The dynamic power depends mainly on a  
specific usage scenario, clock rates, and I/O activity.  
Texas Instruments' SmartReflex technology is used to decrease both static and dynamic power consumption while  
maintaining the device performance. SmartReflex in the TMS320C6678 device is a feature that allows the core  
voltage to be optimized based on the process corner of the device. This requires a voltage regulator for each  
TMS320C6678 device.  
To guarantee maximizing performance and minimizing power consumption of the device, SmartReflex is required  
to be implemented whenever the TMS320C6678 device is used. The voltage selection is done using 4 VCNTL pins  
which are used to select the output voltage of the core voltage regulator.  
For information on implementation of SmartReflex see the Power Management for KeyStone Devices application  
report and the Hardware Design Guide for KeyStone Devices (literature number SPRABI2).  
Table 7-8  
SmartReflex 4-Pin VID Interface Switching Characteristics  
(see Figure 7-9)  
No.  
Parameter  
Min  
35.00  
Max  
Unit  
μs  
1
2
3
4
tosu(Bn-SELECTL)  
toh(SELECTL-Bn)  
Setup Time - VCNTL[2:0] (B[2:0]]) valid before VCNTL[3] (Select) low  
Hold Time - VCNTL[2:0] (B[2:0]]) valid after VCNTL[3] (Select) low  
Setup Time - VCNTL[2:0] (B[2:0]]) valid before VCNTL[3] (Select) high  
Hold Time - VCNTL[2:0] (B[2:0]]) valid after VCNTL[3] (Select) high  
35.00  
35.00  
35.00  
μs  
tosu(Bn-SELECTH)  
toh(SELECTH-Bn)  
μs  
μs  
End of Table 7-8  
Figure 7-9  
SmartReflex 4-Pin VID Interface Timing  
2
4
1
3
VCNTL[3] (Select)  
VCNTL[2:0] (B[2:0])  
LSB VID[2:0]  
MSB VID[5:3]  
Table 7-9  
SmartReflex I2C Interface Timing Requirements (1) (Part 1 of 2)  
(see Figure 7-10)  
Standard Mode  
Fast Mode  
Unit  
No.  
Min  
10  
4.7  
4
Max  
Min  
2.5  
0.6  
0.6  
1.3  
0.6  
Max  
s
1
2
3
4
5
tc(VCL)  
Cycle time, VCL  
μs  
μs  
μs  
μs  
μs  
tsu(VCLH-VDL) Setup Time, VCL high before VD low (for a repeated START condition)  
th(VDL-VCLL)  
tw(VCLL)  
Hold time, VCL low after VD low (for a START and a repeated START condition  
Pulse duration, VCL low  
4.7  
4
tw(VCLH)  
Pulse duration, VCL high  
Copyright 2010 Texas Instruments Incorporated  
TMS320C6678 Peripheral Information and Electrical Specifications 109  
 
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
Table 7-9  
SmartReflex I2C Interface Timing Requirements (1) (Part 2 of 2)  
(see Figure 7-10)  
Standard Mode  
Fast Mode  
Min  
100 (2)  
Unit  
s
No.  
Min  
250  
0 (3)  
4.7  
Max  
Max  
6
7
8
9
tsu(VDV-VCLH) Setup time, VD valid before VCL high  
ns  
th(VCLL-VD)  
tw(VDH)  
tr(SDA)  
Hold time, VD valid after VCL low (for IIC bus devices)  
3.45  
0 (3) 0.9 (4) μs  
Pulse duration, VD high between STOP and START conditions  
1.3  
(5)  
μs  
300 μs  
300 ns  
300 ns  
300 ns  
μs  
Rise time, SDA  
Rise time, SCL  
Fall time, SDA  
Fall time, SCL  
1000 20 + 0.1Cb  
1000 20 + 0.1Cb  
300 20 + 0.1Cb  
300 20 + 0.1Cb  
(5)  
(5)  
(5)  
10 tr(SCL)  
11 tf(SDA)  
12 tf(SCL)  
13 tsu(VCLH-VDH) Setup time, high before VD high (for STOP condition)  
4
0
0.6  
0
14 tw(SP)  
Pulse duration, spike (must be suppressed)  
Capacitive load for each bus line  
50  
50 ns  
400 pF  
(5)  
Cb  
400  
End of Table 7-9  
1 The I2C pins SDA and SCL do not feature fail-safe I/O buffers. These pins could potentially draw current when the device is powered down  
2 A Fast-mode I2C-bus™ device can be used in a Standard-mode I2C-bus™ system, but the requirement tsu(SDA-SCLH) 250 ns must then be met. This will automatically be the  
case if the device does not stretch the LOW period of the SCL signal. If such a device does stretch the LOW period of the SCL signal, it must output the next data bit to the  
SDA line tr max + tsu(SDA-SCLH) = 1000 + 250 = 1250 ns (according to the Standard-mode I2C-Bus Specification) before the SCL line is released.  
3 A device must internally provide a hold time of at least 300 ns for the SDA signal (referred to the VIHmin of the SCL signal) to bridge the undefined region of the falling edge of  
SCL.  
4 The maximum th(SDA-SCLL) has only to be met if the device does not stretch the low period [tw(SCLL)] of the SCL signal.  
5 Cb = total capacitance of one bus line in pF. If mixed with HS-mode devices, faster fall-times are allowed.  
Figure 7-10  
SmartReflex I2C Interface Receive Timings  
11  
9
SDA  
8
6
14  
13  
4
5
10  
SCL  
12  
3
1
7
2
3
Stop  
Start  
Repeated  
Start  
Stop  
Table 7-10  
SmartReflex I2C Interface Switching Characteristics (1) (Part 1 of 2)  
(see Figure 7-11)  
Standard Mode  
Fast Mode  
Min Max Unit  
No.  
Parameter  
Min  
10  
4.7  
4
Max  
16 tc(VCL)  
Cycle time, VCL  
2.5  
0.6  
0.6  
1.3  
0.6  
100  
0
ms  
ms  
17 tosu(VCLH-VDL) Setup Time, VCL high before VD low (for a repeated START condition)  
18 toh(VDL-VCLL)  
19 tw(VCLL)  
Hold time, VCL low after VD low (for a START and a repeated START condition  
Pulse duration, VCL low  
ms  
4.7  
4
ms  
20 tw(VCLH)  
Pulse duration, VCL high  
ms  
21 tosu(VDV-VCLH) Setup time, VD valid before VCL high  
22 toh(VCLL-VD) Hold time, VD valid after VCL low (for IIC bus devices)  
250  
0
ns  
0.9 ms  
110  
TMS320C6678 Peripheral Information and Electrical Specifications  
Copyright 2010 Texas Instruments Incorporated  
 
 
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
Table 7-10  
SmartReflex I2C Interface Switching Characteristics (1) (Part 2 of 2)  
(see Figure 7-11)  
Standard Mode  
Fast Mode  
Min Max Unit  
No.  
Parameter  
Min  
Max  
23 tw(VDH)  
24 tr(SDA)  
25 tr(SCL)  
26 tf(SDA)  
27 tf(SCL)  
Pulse duration, VD high between STOP and START conditions  
4.7  
1.3  
ms  
300 ns  
300 ns  
300 ns  
300 ns  
ms  
(1)  
Rise time, SDA  
Rise time, SCL  
Fall time, SDA  
Fall time, SCL  
1000 20 + 0.1Cb  
1000 20 + 0.1Cb  
300 20 + 0.1Cb  
300 20 + 0.1Cb  
(1)  
(1)  
(1)  
28 tsu(VCLH-VDH) Setup time, high before VD high (for STOP condition)  
4
0.6  
Cp  
Capacitance for each I2C pin  
10  
10 pF  
End of Table 7-10  
1 Cb = total capacitance of one bus line in pF. If mixed with HS-mode devices, faster fall-times are allowed.  
Figure 7-11  
SmartReflex I2C Interface Transmit Timings  
26  
24  
SDA  
23  
21  
19  
28  
20  
25  
SCL  
27  
18  
16  
22  
17  
18  
Stop  
Start  
Repeated  
Start  
Stop  
Copyright 2010 Texas Instruments Incorporated  
TMS320C6678 Peripheral Information and Electrical Specifications 111  
 
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
7.4 Enhanced Direct Memory Access (EDMA3) Controller  
The primary purpose of the EDMA3 is to service user-programmed data transfers between two memory-mapped  
slave endpoints on the device. The EDMA3 services software-driven paging transfers (e.g., data movement between  
external memory and internal memory), performs sorting or subframe extraction of various data structures, services  
event driven peripherals, and offloads data transfers from the device CPU.  
There are 3 EDMA Channel Controllers on the C6678 DSP, TPCC0, TPCC1, and TPCC2. TPCC0 is optimized to  
be used for transfers to/from/within the MSMC and DDR-3 Subsytems. The others are to be used for the remaining  
traffic.  
Each EDMA3 Channel Controller includes the following features:  
Fully orthogonal transfer description  
3 transfer dimensions:  
Array (multiple bytes)  
Frame (multiple arrays)  
Block (multiple frames)  
Single event can trigger transfer of array, frame, or entire block  
Independent indexes on source and destination  
Flexible transfer definition:  
Increment or FIFO transfer addressing modes  
Linking mechanism allows for ping-pong buffering, circular buffering, and repetitive/continuous  
transfers, all with no CPU intervention  
Chaining allows multiple transfers to execute with one event  
128 PaRAM entries for TPCC0, 512 each for TPCC1 and TPCC2  
Used to define transfer context for channels  
Each PaRAM entry can be used as a DMA entry, QDMA entry, or link entry  
16 DMA channels for TPCC0, 64 each for TPCC1 and TPCC2  
Manually triggered (CPU writes to channel controller register), external event triggered, and chain  
triggered (completion of one transfer triggers another)  
8 Quick DMA (QDMA) channels per EDMA 3 Channel Controller  
Used for software-driven transfers  
Triggered upon writing to a single PaRAM set entry  
2 transfer controllers and 2 event queues with programmable system-level priority for TPCC0, 4 transfer  
controllers and 4 event queues with programmable system-level priority per channel controller for TPCC1 and  
TPCC2  
Interrupt generation for transfer completion and error conditions  
Debug visibility  
Queue watermarking/threshold allows detection of maximum usage of event queues  
Error and status recording to facilitate debug  
In the context of this document, TPTCs associated with TPCC0 are referred to as TPCC0 TPTC0 and1. TPTCs  
associated with TPCC1 and 2 are each referred to as TPCCx TPTC0 - 3, where x is 1 or 2. Each of the transfer  
controllers has a direct connection to the switched central resource (SCR). ‘‘DSP/2 Data SCR Connection Matrix’’  
on page 82 and ‘‘DSP/3 Data SCR Connection Matrix’’ on page 82 lists the peripherals that can be accessed by the  
transfer controllers.  
112  
TMS320C6678 Peripheral Information and Electrical Specifications  
Copyright 2010 Texas Instruments Incorporated  
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
7.4.1 EDMA3 Device-Specific Information  
The EDMA supports two addressing modes: constant addressing and increment addressing mode. Constant  
addressing mode is applicable to a very limited set of use cases; for most applications increment mode can be used.  
On the C6678 DSP, the EDMA can use constant addressing mode only with the Enhanced Viterbi-Decoder  
Coprocessor (VCP) and the Enhanced Turbo Decoder Coprocessor (TCP). Constant addressing mode is not  
supported by any other peripheral or internal memory in the DSP. Note that increment mode is supported by all  
peripherals, including VCP and TCP. For more information on these two addressing modes, see the Enhanced Direct  
Memory Access 3 (EDMA3) for KeyStone Devices User Guide (literature number SPRUGS5).  
For the range of memory addresses that include EDMA3 Channel Controller (TPCC) Control Registers and  
EDMA3 Transfer Controller (TPTC) Control Register see Section Table 2-2‘‘Memory Map Summary for  
TMS320C6678’’ on page 19. For memory offsets and other details on TPCC and TPTC Control Registers entries, see  
the Enhanced Direct Memory Access 3 (EDMA3) for KeyStone Devices User Guide (literature number SPRUGS5) for  
offset addresses on Parameter RAM (PaRAM) registers.  
Table 7-11  
EDMA3 Parameter RAM Contents  
(1)  
PaRAM Set Number  
Offset Address  
Parameters  
0
4000h to 401Fh  
PaRAM set 0  
1
4020h to 403Fh  
4040h to 405Fh  
4060h to 407Fh  
4080h to 409Fh  
40A0h to 40BFh  
40C0h to 40DFh  
40E0h to 40FFh  
4100h to 411Fh  
4120h to 413Fh  
...  
PaRAM set 1  
PaRAM set 2  
PaRAM set 3  
PaRAM set 4  
PaRAM set 5  
PaRAM set 6  
PaRAM set 7  
PaRAM set 8  
PaRAM set 9  
...  
2
3
4
5
6
7
8
9
...  
63  
64  
65  
...  
47E0h to 47FFh  
4800h to 481Fh  
4820h to 483Fh  
...  
PaRAM set 63  
PaRAM set 64  
PaRAM set 65  
...  
254  
255  
...  
5FC0h to 5FDFh  
5FE0h to 5FFFh  
...  
PaRAM set 254  
PaRAM set 255  
...  
510  
511  
7FC0h to 7FDFh  
7FE0h to 7FFFh  
PaRAM set 254  
PaRAM set 255  
1 A PaRAM set can be configured for use with either DMA channel, QDMA channel, or as a reload link set.  
7.4.2 EDMA3 Channel Synchronization Events  
The EDMA3 supports up to 16 DMA channels for TPCC0, 64 each for TPCC1 and TPCC2 that can be used to  
service system peripherals and to move data between system memories. DMA channels can be triggered by  
synchronization events generated by system peripherals. The following tables lists the source of the synchronization  
event associated with each of the EDMA TPCC DMA channels. On the C6678, the association of each  
synchronization event and DMA channel is fixed and cannot be reprogrammed.  
Copyright 2010 Texas Instruments Incorporated  
TMS320C6678 Peripheral Information and Electrical Specifications 113  
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
For more detailed information on the EDMA3 module and how EDMA3 events are enabled, captured, processed,  
prioritized, linked, chained, and cleared, etc., see the Enhanced Direct Memory Access 3 (EDMA3) for KeyStone  
Devices User Guide (literature number SPRUGS5).  
Table 7-12  
TPCC0 Events for C6678  
Event Number  
Event  
Event Description  
0
TINT8L  
Timer 8 interrupt low  
1
TINT8H  
Timer 8 interrupt high  
2
TINT9L  
Timer 9 interrupt low  
3
TINT9H  
Timer 9 interrupt high  
4
TINT10L  
Timer 10 interrupt low  
Timer 10 interrupt high  
Timer 11 interrupt low  
Timer 11 interrupt high  
Interrupt Controller Output  
Interrupt Controller Output  
Interrupt Controller Output  
Interrupt Controller Output  
Interrupt Controller Output  
Interrupt Controller Output  
Interrupt Controller Output  
Interrupt Controller Output  
5
TINT10H  
6
TINT11L  
7
TINT11H  
8
INTC3_OUT0  
INTC3_OUT1  
INTC3_OUT2  
INTC3_OUT3  
INTC3_OUT4  
INTC3_OUT5  
INTC3_OUT6  
INTC3_OUT7  
9
10  
11  
12  
13  
14  
15  
End of Table 7-12  
Table 7-13  
TPCC1 Events for C6678 (Part 1 of 2)  
Event Number  
Event  
Event Description  
SPI interrupt  
0
SPIINT0  
SPIINT1  
SPIXEVT  
SPIREVT  
I2CREVT  
I2CXEVT  
GPINT0  
GPINT1  
GPINT2  
GPINT3  
GPINT4  
GPINT5  
GPINT6  
GPINT7  
SEMINT0  
SEMINT1  
SEMINT2  
SEMINT3  
SEMINT4  
SEMINT5  
SEMINT6  
1
SPI interrupt  
2
Transmit event  
3
Receive event  
4
I2C Receive event  
I2C Transmit event  
GPIO Interrupt  
5
6
7
GPIO Interrupt  
8
GPIO Interrupt  
9
GPIO Interrupt  
10  
11  
12  
13  
14  
15  
16  
17  
18  
19  
20  
GPIO Interrupt  
GPIO Interrupt  
GPIO Interrupt  
GPIO Interrupt  
Semaphore interrupt  
Semaphore interrupt  
Semaphore interrupt  
Semaphore interrupt  
Semaphore interrupt  
Semaphore interrupt  
Semaphore interrupt  
114  
TMS320C6678 Peripheral Information and Electrical Specifications  
Copyright 2010 Texas Instruments Incorporated  
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
Table 7-13  
TPCC1 Events for C6678 (Part 2 of 2)  
Event Number  
Event  
Event Description  
21  
SEMINT7  
Semaphore interrupt  
22  
TINT8L  
Timer interrupt low  
23  
TINT8H  
Timer interrupt high  
24  
TINT9L  
Timer interrupt low  
25  
TINT9H  
Timer interrupt high  
26  
TINT10L  
Timer interrupt low  
27  
TINT10H  
Timer interrupt high  
28  
TINT11L  
Timer interrupt low  
29  
TINT11H  
Timer interrupt high  
30  
TINT12L  
Timer interrupt low  
31  
TINT12H  
Timer interrupt high  
32  
TINT13L  
Timer interrupt low  
33  
TINT13H  
Timer interrupt high  
34  
TINT14L  
Timer interrupt low  
35  
TINT14H  
Timer interrupt high  
36  
TINT15L  
Timer interrupt low  
37  
TINT15H  
Timer interrupt high  
38  
INTC2_OUT44  
INTC2_OUT45  
INTC2_OUT46  
INTC2_OUT47  
INTC2_OUT0  
INTC2_OUT1  
INTC2_OUT2  
INTC2_OUT3  
INTC2_OUT4  
INTC2_OUT5  
INTC2_OUT6  
INTC2_OUT7  
INTC2_OUT8  
INTC2_OUT9  
INTC2_OUT10  
INTC2_OUT11  
INTC2_OUT12  
INTC2_OUT13  
INTC2_OUT14  
INTC2_OUT15  
INTC2_OUT16  
INTC2_OUT17  
INTC2_OUT18  
INTC2_OUT19  
INTC2_OUT20  
INTC2_OUT21  
Interrupt Controller Output  
Interrupt Controller Output  
Interrupt Controller Output  
Interrupt Controller Output  
Interrupt Controller Output  
Interrupt Controller Output  
Interrupt Controller Output  
Interrupt Controller Output  
Interrupt Controller Output  
Interrupt Controller Output  
Interrupt Controller Output  
Interrupt Controller Output  
Interrupt Controller Output  
Interrupt Controller Output  
Interrupt Controller Output  
Interrupt Controller Output  
Interrupt Controller Output  
Interrupt Controller Output  
Interrupt Controller Output  
Interrupt Controller Output  
Interrupt Controller Output  
Interrupt Controller Output  
Interrupt Controller Output  
Interrupt Controller Output  
Interrupt Controller Output  
Interrupt Controller Output  
39  
40  
41  
42  
43  
44  
45  
46  
47  
48  
49  
50  
51  
52  
53  
54  
55  
56  
57  
58  
59  
60  
61  
62  
63  
End of Table 7-13  
Copyright 2010 Texas Instruments Incorporated  
TMS320C6678 Peripheral Information and Electrical Specifications 115  
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
Table 7-14  
TPCC3 Events for C6678 (Part 1 of 2)  
Event Number  
Event  
Event Description  
0
SPIINT0  
SPI interrupt  
1
SPIINT1  
SPI interrupt  
2
SPIXEVT  
SPIREVT  
I2CREVT  
I2CXEVT  
GPINT0  
Transmit event  
3
Receive event  
4
I2C Receive event  
I2C Transmit event  
GPIO Interrupt  
5
6
7
GPINT1  
GPIO Interrupt  
8
GPINT2  
GPIO Interrupt  
9
GPINT3  
GPIO Interrupt  
10  
11  
12  
13  
14  
15  
16  
17  
18  
19  
20  
21  
22  
23  
24  
25  
26  
27  
28  
29  
30  
31  
32  
33  
34  
35  
36  
37  
38  
39  
40  
41  
42  
43  
GPINT4  
GPIO Interrupt  
GPINT5  
GPIO Interrupt  
GPINT6  
GPIO Interrupt  
GPINT7  
GPIO Interrupt  
SEMINT0  
SEMINT1  
SEMINT2  
SEMINT3  
SEMINT4  
SEMINT5  
SEMINT6  
SEMINT7  
TINT8L  
Semaphore interrupt  
Semaphore interrupt  
Semaphore interrupt  
Semaphore interrupt  
Semaphore interrupt  
Semaphore interrupt  
Semaphore interrupt  
Semaphore interrupt  
Timer interrupt low  
Timer interrupt high  
Timer interrupt low  
Timer interrupt high  
Timer interrupt low  
Timer interrupt high  
Timer interrupt low  
Timer interrupt high  
Timer interrupt low  
Timer interrupt high  
Timer interrupt low  
Timer interrupt high  
Timer interrupt low  
Timer interrupt high  
Timer interrupt low  
Timer interrupt high  
Interrupt Controller Output  
Interrupt Controller Output  
UART Receive event  
UART Transmit event  
Interrupt Controller Output  
Interrupt Controller Output  
TINT8H  
TINT9L  
TINT9H  
TINT10L  
TINT10H  
TINT11L  
TINT11H  
TINT12L  
TINT12H  
TINT13L  
TINT13H  
TINT14L  
TINT14H  
TINT15L  
TINT15H  
INTC2_OUT48  
INTC2_OUT49  
URXEVT  
UTXEVT  
INTC2_OUT22  
INTC2_OUT23  
116  
TMS320C6678 Peripheral Information and Electrical Specifications  
Copyright 2010 Texas Instruments Incorporated  
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
Table 7-14  
TPCC3 Events for C6678 (Part 2 of 2)  
Event Number  
Event  
Event Description  
44  
INTC2_OUT24  
INTC2_OUT25  
INTC2_OUT26  
INTC2_OUT27  
INTC2_OUT28  
INTC2_OUT29  
INTC2_OUT30  
INTC2_OUT31  
INTC2_OUT32  
INTC2_OUT33  
INTC2_OUT34  
INTC2_OUT35  
INTC2_OUT36  
INTC2_OUT37  
INTC2_OUT38  
INTC2_OUT39  
INTC2_OUT40  
INTC2_OUT41  
INTC2_OUT42  
INTC2_OUT43  
Interrupt Controller Output  
Interrupt Controller Output  
Interrupt Controller Output  
Interrupt Controller Output  
Interrupt Controller Output  
Interrupt Controller Output  
Interrupt Controller Output  
Interrupt Controller Output  
Interrupt Controller Output  
Interrupt Controller Output  
Interrupt Controller Output  
Interrupt Controller Output  
Interrupt Controller Output  
Interrupt Controller Output  
Interrupt Controller Output  
Interrupt Controller Output  
Interrupt Controller Output  
Interrupt Controller Output  
Interrupt Controller Output  
Interrupt Controller Output  
45  
46  
47  
48  
49  
50  
51  
52  
53  
54  
55  
56  
57  
58  
59  
60  
61  
62  
63  
End of Table 7-14  
7.4.3 EDMA3 Peripheral Register Description(s)  
Table 7-15  
EDMA3 Channel Controller 0 Registers (Part 1 of 12)  
Hex Address  
0270 0000  
Acronym  
PID  
Register Name  
Peripheral ID Register  
0270 0004  
0270 0008 - 0270 00FC  
0270 0100  
CCCFG  
EDMA3CC Configuration Register  
Reserved  
-
DCHMAP0  
DCHMAP1  
DCHMAP2  
DCHMAP3  
DCHMAP4  
DCHMAP5  
DCHMAP6  
DCHMAP7  
DCHMAP8  
DCHMAP9  
DCHMAP10  
DCHMAP11  
DCHMAP12  
DCHMAP13  
DCHMAP14  
DCHMAP15  
DMA Channel 0 Mapping Register  
DMA Channel 1 Mapping Register  
DMA Channel 2 Mapping Register  
DMA Channel 3 Mapping Register  
DMA Channel 4 Mapping Register  
DMA Channel 5 Mapping Register  
DMA Channel 6 Mapping Register  
DMA Channel 7 Mapping Register  
DMA Channel 8 Mapping Register  
DMA Channel 9 Mapping Register  
DMA Channel 10 Mapping Register  
DMA Channel 11 Mapping Register  
DMA Channel 12 Mapping Register  
DMA Channel 13 Mapping Register  
DMA Channel 14 Mapping Register  
DMA Channel 15 Mapping Register  
0270 0104  
0270 0108  
0270 010C  
0270 0110  
0270 0114  
0270 0118  
0270 011C  
0270 0120  
0270 0124  
0270 0128  
0270 012C  
0270 0130  
0270 0134  
0270 0138  
0270 013C  
Copyright 2010 Texas Instruments Incorporated  
TMS320C6678 Peripheral Information and Electrical Specifications 117  
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
Table 7-15  
EDMA3 Channel Controller 0 Registers (Part 2 of 12)  
Hex Address  
0270 0140 ~ 0270 01FC  
Acronym  
Reserved  
QCHMAP0  
QCHMAP1  
QCHMAP2  
QCHMAP3  
QCHMAP4  
QCHMAP5  
QCHMAP6  
QCHMAP7  
-
Register Name  
0270 0200  
0270 0204  
QDMA Channel 0 Mapping Register  
QDMA Channel 1 Mapping Register  
QDMA Channel 2 Mapping Register  
QDMA Channel 3 Mapping Register  
QDMA Channel 4 Mapping Register  
QDMA Channel 5 Mapping Register  
QDMA Channel 6 Mapping Register  
QDMA Channel 7 Mapping Register  
Reserved  
0270 0208  
0270 020C  
0270 0210  
0270 0214  
0270 0218  
0270 021C  
0270 0220 - 0270 023C  
0270 0240  
DMAQNUM0  
DMAQNUM1  
DMAQNUM2  
DMAQNUM3  
DMAQNUM4  
DMAQNUM5  
DMAQNUM6  
DMAQNUM7  
QDMAQNUM  
-
DMA Queue Number Register 0  
DMA Queue Number Register 1  
DMA Queue Number Register 2  
DMA Queue Number Register 3  
DMA Queue Number Register 4  
DMA Queue Number Register 5  
DMA Queue Number Register 6  
DMA Queue Number Register 7  
QDMA Queue Number Register  
Reserved  
0270 0244  
0270 0248  
0270 024C  
0270 0250  
0270 0254  
0270 0258  
0270 025C  
0270 0260  
0270 0264 - 0270 027C  
0270 0280  
QUETCMAP  
QUEPRI  
Queue to TC Mapping Register  
Queue Priority Register  
0270 0284  
0270 0288 - 0270 02FC  
0270 0300  
-
Reserved  
EMR  
Event Missed Register  
0270 0304  
EMRH  
Event Missed Register High  
Event Missed Clear Register  
Event Missed Clear Register High  
QDMA Event Missed Register  
QDMA Event Missed Clear Register  
EDMA3CC Error Register  
0270 0308  
EMCR  
0270 030C  
EMCRH  
0270 0310  
QEMR  
0270 0314  
QEMCR  
0270 0318  
CCERR  
0270 031C  
CCERRCLR  
EEVAL  
EDMA3CC Error Clear Register  
Error Evaluate Register  
0270 0320  
0270 0324 - 0270 033C  
0270 0340  
-
Reserved  
DRAE0  
DMA Region Access Enable Register for Region 0  
DMA Region Access Enable Register High for Region 0  
DMA Region Access Enable Register for Region 1  
DMA Region Access Enable Register High for Region 1  
DMA Region Access Enable Register for Region 2  
DMA Region Access Enable Register High for Region 2  
DMA Region Access Enable Register for Region 3  
DMA Region Access Enable Register High for Region 3  
DMA Region Access Enable Register for Region 4  
DMA Region Access Enable Register High for Region 4  
DMA Region Access Enable Register for Region 5  
0270 0344  
DRAEH0  
DRAE1  
0270 0348  
0270 034C  
DRAEH1  
DRAE2  
0270 0350  
0270 0354  
DRAEH2  
DRAE3  
0270 0358  
0270 035C  
DRAEH3  
DRAE4  
0270 0360  
0270 0364  
DRAEH4  
DRAE5  
0270 0368  
118  
TMS320C6678 Peripheral Information and Electrical Specifications  
Copyright 2010 Texas Instruments Incorporated  
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
Table 7-15  
EDMA3 Channel Controller 0 Registers (Part 3 of 12)  
Hex Address  
Acronym  
DRAEH5  
DRAE6  
DRAEH6  
DRAE7  
DRAEH7  
QRAE0  
QRAE1  
QRAE2  
QRAE3  
QRAE4  
QRAE5  
QRAE6  
QRAE7  
Q0E0  
Register Name  
DMA Region Access Enable Register High for Region 5  
DMA Region Access Enable Register for Region 6  
DMA Region Access Enable Register High for Region 6  
DMA Region Access Enable Register for Region 7  
DMA Region Access Enable Register High for Region 7  
QDMA Region Access Enable Register for Region 0  
QDMA Region Access Enable Register for Region 1  
QDMA Region Access Enable Register for Region 2  
QDMA Region Access Enable Register for Region 3  
QDMA Region Access Enable Register for Region 4  
QDMA Region Access Enable Register for Region 5  
QDMA Region Access Enable Register for Region 6  
QDMA Region Access Enable Register for Region 7  
Event Queue 0 Entry Register 0  
0270 036C  
0270 0370  
0270 0374  
0270 0378  
0270 037C  
0270 0380  
0270 0384  
0270 0388  
0270 038C  
0270 0390  
0270 0394  
0270 0398  
0270 039C  
0270 0400  
0270 0404  
0270 0408  
0270 040C  
0270 0410  
0270 0414  
0270 0418  
0270 041C  
0270 0420  
0270 0424  
0270 0428  
0270 042C  
0270 0430  
0270 0434  
0270 0438  
0270 043C  
0270 0440  
0270 0444  
0270 0448  
0270 044C  
0270 0450  
0270 0454  
0270 0458  
0270 045C  
0270 0460  
0270 0464  
0270 0468  
0270 046C  
0270 0470  
0270 0474  
0270 0478  
Q0E1  
Event Queue 0 Entry Register 1  
Q0E2  
Event Queue 0 Entry Register 2  
Q0E3  
Event Queue 0 Entry Register 3  
Q0E4  
Event Queue 0 Entry Register 4  
Q0E5  
Event Queue 0 Entry Register 5  
Q0E6  
Event Queue 0 Entry Register 6  
Q0E7  
Event Queue 0 Entry Register 7  
Q0E8  
Event Queue 0 Entry Register 8  
Q0E9  
Event Queue 0 Entry Register 9  
Q0E10  
Q0E11  
Q0E12  
Q0E13  
Q0E14  
Q0E15  
Q1E0  
Event Queue 0 Entry Register 10  
Event Queue 0 Entry Register 11  
Event Queue 0 Entry Register 12  
Event Queue 0 Entry Register 13  
Event Queue 0 Entry Register 14  
Event Queue 0 Entry Register 15  
Event Queue 1 Entry Register 0  
Q1E1  
Event Queue 1 Entry Register 1  
Q1E2  
Event Queue 1 Entry Register 2  
Q1E3  
Event Queue 1 Entry Register 3  
Q1E4  
Event Queue 1 Entry Register 4  
Q1E5  
Event Queue 1 Entry Register 5  
Q1E6  
Event Queue 1 Entry Register 6  
Q1E7  
Event Queue 1 Entry Register 7  
Q1E8  
Event Queue 1 Entry Register 8  
Q1E9  
Event Queue 1 Entry Register 9  
Q1E10  
Q1E11  
Q1E12  
Q1E13  
Q1E14  
Event Queue 1 Entry Register 10  
Event Queue 1 Entry Register 11  
Event Queue 1 Entry Register 12  
Event Queue 1 Entry Register 13  
Event Queue 1 Entry Register 14  
Copyright 2010 Texas Instruments Incorporated  
TMS320C6678 Peripheral Information and Electrical Specifications 119  
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
Table 7-15  
EDMA3 Channel Controller 0 Registers (Part 4 of 12)  
Hex Address  
0270 047C  
Acronym  
Q1E15  
Reserved  
QSTAT0  
QSTAT1  
-
Register Name  
Event Queue 1 Entry Register 15  
0270 0480 ~ 0270 05FC  
0270 0600  
Queue Status Register 0  
Queue Status Register 1  
Reserved  
0270 0604  
0270 0608 - 0270 061C  
0270 0620  
QWMTHRA  
QWMTHRB  
-
Queue Watermark Threshold A Register  
Queue Watermark Threshold B Register  
Reserved  
0270 0624  
0270 0628 - 0270 063C  
0270 0640  
CCSTAT  
-
EDMA3CC Status Register  
0270 0644 - 0270 06FC  
0270 0700 - 0270 07FC  
0270 0800  
Reserved  
-
Reserved  
MPFAR  
MPFSR  
MPFCR  
MPPAG  
MPPA0  
MPPA1  
MPPA2  
MPPA3  
MPPA4  
MPPA5  
MPPA6  
MPPA7  
-
Memory Protection Fault Address Register  
Memory Protection Fault Status Register  
Memory Protection Fault Command Register  
Memory Protection Page Attribute Register G  
Memory Protection Page Attribute Register 0  
Memory Protection Page Attribute Register 1  
Memory Protection Page Attribute Register 2  
Memory Protection Page Attribute Register 3  
Memory Protection Page Attribute Register 4  
Memory Protection Page Attribute Register 5  
Memory Protection Page Attribute Register 6  
Memory Protection Page Attribute Register 7  
Reserved  
0270 0804  
0270 0808  
0270 080C  
0270 0810  
0270 0814  
0270 0818  
0270 081C  
0270 0820  
0270 0824  
0270 0828  
0270 082C  
0270 082C - 0270 0FFC  
0270 1000  
ER  
Event Register  
0270 1004  
ERH  
Event Register High  
0270 1008  
ECR  
Event Clear Register  
0270 100C  
ECRH  
ESR  
Event Clear Register High  
0270 1010  
Event Set Register  
0270 1014  
ESRH  
CER  
Event Set Register High  
0270 1018  
Chained Event Register  
0270 101C  
CERH  
EER  
Chained Event Register High  
Event Enable Register  
0270 1020  
0270 1024  
EERH  
EECR  
Event Enable Register High  
0270 1028  
Event Enable Clear Register  
Event Enable Clear Register High  
Event Enable Set Register  
0270 102C  
EECRH  
EESR  
0270 1030  
0270 1034  
EESRH  
SER  
Event Enable Set Register High  
Secondary Event Register  
0270 1038  
0270 103C  
SERH  
SECR  
Secondary Event Register High  
Secondary Event Clear Register  
Secondary Event Clear Register High  
Reserved  
0270 1040  
0270 1044  
SECRH  
-
0270 1048 - 0270 104C  
0270 1050  
IER  
Interrupt Enable Register  
120  
TMS320C6678 Peripheral Information and Electrical Specifications  
Copyright 2010 Texas Instruments Incorporated  
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
Table 7-15  
EDMA3 Channel Controller 0 Registers (Part 5 of 12)  
Hex Address  
Acronym  
IERH  
IECR  
IECRH  
IESR  
Register Name  
0270 1054  
0270 1058  
0270 105C  
0270 1060  
0270 1064  
0270 1068  
0270 106C  
0270 1070  
Interrupt Enable High Register  
Interrupt Enable Clear Register  
Interrupt Enable Clear High Register  
Interrupt Enable Set Register  
Interrupt Enable Set High Register  
Interrupt Pending Register  
Interrupt Pending High Register  
Interrupt Clear Register  
IESRH  
IPR  
IPRH  
ICR  
0270 1074  
0270 1078  
0270 107C  
0270 1080  
0270 1084  
0270 1088  
0270 108C  
0270 1090  
0270 1094  
0270 1098 - 0270 1FFF  
ICRH  
IEVAL  
-
Interrupt Clear High Register  
Interrupt Evaluate Register  
Reserved  
QER  
QDMA Event Register  
QEER  
QEECR  
QEESR  
QSER  
QSECR  
-
QDMA Event Enable Register  
QDMA Event Enable Clear Register  
QDMA Event Enable Set Register  
QDMA Secondary Event Register  
QDMA Secondary Event Clear Register  
Reserved  
Shadow Region 0 Channel Registers  
Event Register  
0270 2000  
0270 2004  
0270 2008  
0270 200C  
0270 2010  
0270 2014  
0270 2018  
0270 201C  
0270 2020  
0270 2024  
0270 2028  
0270 202C  
0270 2030  
0270 2034  
0270 2038  
0270 203C  
0270 2040  
0270 2044  
0270 2048 - 0270 204C  
0270 2050  
0270 2054  
0270 2058  
0270 205C  
0270 2060  
0270 2064  
ER  
ERH  
Event Register High  
ECR  
Event Clear Register  
ECRH  
ESR  
Event Clear Register High  
Event Set Register  
ESRH  
CER  
Event Set Register High  
Chained Event Register  
CERH  
EER  
Chained Event Register High  
Event Enable Register  
EERH  
EECR  
EECRH  
EESR  
EESRH  
SER  
Event Enable Register High  
Event Enable Clear Register  
Event Enable Clear Register High  
Event Enable Set Register  
Event Enable Set Register High  
Secondary Event Register  
Secondary Event Register High  
Secondary Event Clear Register  
Secondary Event Clear Register High  
Reserved  
SERH  
SECR  
SECRH  
-
IER  
Interrupt Enable Register  
Interrupt Enable Register High  
Interrupt Enable Clear Register  
Interrupt Enable Clear Register High  
Interrupt Enable Set Register  
Interrupt Enable Set Register High  
IERH  
IECR  
IECRH  
IESR  
IESRH  
Copyright 2010 Texas Instruments Incorporated  
TMS320C6678 Peripheral Information and Electrical Specifications 121  
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
Table 7-15  
EDMA3 Channel Controller 0 Registers (Part 6 of 12)  
Hex Address  
0270 2068  
Acronym  
IPR  
Register Name  
Interrupt Pending Register  
Interrupt Pending Register High  
Interrupt Clear Register  
0270 206C  
0270 2070  
IPRH  
ICR  
0270 2074  
ICRH  
IEVAL  
-
Interrupt Clear Register High  
Interrupt Evaluate Register  
Reserved  
0270 2078  
0270 207C  
0270 2080  
QER  
QDMA Event Register  
0270 2084  
QEER  
QEECR  
QEESR  
QSER  
QSECR  
-
QDMA Event Enable Register  
QDMA Event Enable Clear Register  
QDMA Event Enable Set Register  
QDMA Secondary Event Register  
0270 2088  
0270 208C  
0270 2090  
0270 2094  
QDMA Secondary Event Clear Register  
Reserved  
0270 2098 - 0270 21FF  
Shadow Region 1 Channel Registers  
Event Register  
0270 2200  
0270 2204  
0270 2208  
0270 220C  
0270 2210  
0270 2214  
0270 2218  
0270 221C  
0270 2220  
0270 2224  
0270 2228  
0270 222C  
0270 2230  
0270 2234  
0270 2238  
0270 223C  
0270 2240  
0270 2244  
0270 2248 - 0270 224C  
0270 2250  
0270 2254  
0270 2258  
0270 225C  
0270 2260  
0270 2264  
0270 2268  
0270 226C  
0270 2270  
0270 2274  
0270 2278  
ER  
ERH  
Event Register High  
ECR  
Event Clear Register  
ECRH  
ESR  
Event Clear Register High  
Event Set Register  
ESRH  
CER  
Event Set Register High  
Chained Event Register  
CERH  
EER  
Chained Event Register High  
Event Enable Register  
EERH  
EECR  
EECRH  
EESR  
EESRH  
SER  
Event Enable Register High  
Event Enable Clear Register  
Event Enable Clear Register High  
Event Enable Set Register  
Event Enable Set Register High  
Secondary Event Register  
Secondary Event Register High  
Secondary Event Clear Register  
Secondary Event Clear Register High  
Reserved  
SERH  
SECR  
SECRH  
-
IER  
Interrupt Enable Register  
Interrupt Enable Register High  
Interrupt Enable Clear Register  
Interrupt Enable Clear Register High  
Interrupt Enable Set Register  
Interrupt Enable Set Register High  
Interrupt Pending Register  
Interrupt Pending Register High  
Interrupt Clear Register  
IERH  
IECR  
IECRH  
IESR  
IESRH  
IPR  
IPRH  
ICR  
ICRH  
IEVAL  
Interrupt Clear Register High  
Interrupt Evaluate Register  
122  
TMS320C6678 Peripheral Information and Electrical Specifications  
Copyright 2010 Texas Instruments Incorporated  
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
Table 7-15  
EDMA3 Channel Controller 0 Registers (Part 7 of 12)  
Hex Address  
Acronym  
-
Register Name  
0270 227C  
0270 2280  
Reserved  
QER  
QDMA Event Register  
0270 2284  
QEER  
QEECR  
QEESR  
QSER  
QSECR  
-
QDMA Event Enable Register  
QDMA Event Enable Clear Register  
QDMA Event Enable Set Register  
QDMA Secondary Event Register  
0270 2288  
0270 228C  
0270 2290  
0270 2294  
QDMA Secondary Event Clear Register  
Reserved  
0270 2298 - 0270 23FF  
Shadow Region 2 Channel Registers  
Event Register  
0270 2400  
0270 2404  
0270 2408  
0270 240C  
0270 2410  
0270 2414  
0270 2418  
0270 241C  
0270 2420  
0270 2424  
0270 2428  
0270 242C  
0270 2430  
0270 2434  
0270 2438  
0270 243C  
0270 2440  
0270 2444  
0270 2448 - 0270 244C  
0270 2450  
0270 2454  
0270 2458  
0270 245C  
0270 2460  
0270 2464  
0270 2468  
0270 246C  
0270 2470  
0270 2474  
0270 2478  
0270 247C  
0270 2480  
0270 2484  
0270 2488  
0270 248C  
ER  
ERH  
Event Register High  
ECR  
Event Clear Register  
ECRH  
ESR  
Event Clear Register High  
Event Set Register  
ESRH  
CER  
Event Set Register High  
Chained Event Register  
CERH  
EER  
Chained Event Register High  
Event Enable Register  
EERH  
EECR  
EECRH  
EESR  
EESRH  
SER  
Event Enable Register High  
Event Enable Clear Register  
Event Enable Clear Register High  
Event Enable Set Register  
Event Enable Set Register High  
Secondary Event Register  
Secondary Event Register High  
Secondary Event Clear Register  
Secondary Event Clear Register High  
Reserved  
SERH  
SECR  
SECRH  
-
IER  
Interrupt Enable Register  
Interrupt Enable Register High  
Interrupt Enable Clear Register  
Interrupt Enable Clear Register High  
Interrupt Enable Set Register  
Interrupt Enable Set Register High  
Interrupt Pending Register  
Interrupt Pending Register High  
Interrupt Clear Register  
IERH  
IECR  
IECRH  
IESR  
IESRH  
IPR  
IPRH  
ICR  
ICRH  
IEVAL  
-
Interrupt Clear Register High  
Interrupt Evaluate Register  
Reserved  
QER  
QDMA Event Register  
QEER  
QEECR  
QEESR  
QDMA Event Enable Register  
QDMA Event Enable Clear Register  
QDMA Event Enable Set Register  
Copyright 2010 Texas Instruments Incorporated  
TMS320C6678 Peripheral Information and Electrical Specifications 123  
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
Table 7-15  
EDMA3 Channel Controller 0 Registers (Part 8 of 12)  
Hex Address  
0270 2490  
Acronym  
QSER  
QSECR  
-
Register Name  
QDMA Secondary Event Register  
0270 2494  
QDMA Secondary Event Clear Register  
Reserved  
0270 2498 - 0270 25FF  
Shadow Region 3 Channel Registers  
Event Register  
0270 2600  
0270 2604  
0270 2608  
0270 260C  
0270 2610  
0270 2614  
0270 2618  
0270 261C  
0270 2620  
0270 2624  
0270 2628  
0270 262C  
0270 2630  
0270 2634  
0270 2638  
0270 263C  
0270 2640  
0270 2644  
0270 2648 - 0270 264C  
0270 2650  
0270 2654  
0270 2658  
0270 265C  
0270 2660  
0270 2664  
0270 2668  
0270 266C  
0270 2670  
0270 2674  
0270 2678  
0270 267C  
0270 2680  
0270 2684  
0270 2688  
0270 268C  
0270 2690  
0270 2694  
0270 2698 - 0270 27FF  
ER  
ERH  
Event Register High  
ECR  
Event Clear Register  
ECRH  
ESR  
Event Clear Register High  
Event Set Register  
ESRH  
CER  
Event Set Register High  
Chained Event Register  
CERH  
EER  
Chained Event Register High  
Event Enable Register  
EERH  
EECR  
EECRH  
EESR  
EESRH  
SER  
Event Enable Register High  
Event Enable Clear Register  
Event Enable Clear Register High  
Event Enable Set Register  
Event Enable Set Register High  
Secondary Event Register  
Secondary Event Register High  
Secondary Event Clear Register  
Secondary Event Clear Register High  
Reserved  
SERH  
SECR  
SECRH  
-
IER  
Interrupt Enable Register  
Interrupt Enable Register High  
Interrupt Enable Clear Register  
Interrupt Enable Clear Register High  
Interrupt Enable Set Register  
Interrupt Enable Set Register High  
Interrupt Pending Register  
Interrupt Pending Register High  
Interrupt Clear Register  
IERH  
IECR  
IECRH  
IESR  
IESRH  
IPR  
IPRH  
ICR  
ICRH  
IEVAL  
-
Interrupt Clear Register High  
Interrupt Evaluate Register  
Reserved  
QER  
QDMA Event Register  
QEER  
QEECR  
QEESR  
QSER  
QSECR  
-
QDMA Event Enable Register  
QDMA Event Enable Clear Register  
QDMA Event Enable Set Register  
QDMA Secondary Event Register  
QDMA Secondary Event Clear Register  
Reserved  
Shadow Region 4 Channel Registers  
Event Register  
0270 2800  
ER  
124  
TMS320C6678 Peripheral Information and Electrical Specifications  
Copyright 2010 Texas Instruments Incorporated  
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
Table 7-15  
EDMA3 Channel Controller 0 Registers (Part 9 of 12)  
Hex Address  
Acronym  
ERH  
Register Name  
0270 2804  
0270 2808  
0270 280C  
0270 2810  
0270 2814  
0270 2818  
0270 281C  
0270 2820  
0270 2824  
0270 2828  
0270 282C  
0270 2830  
0270 2834  
0270 2838  
0270 283C  
0270 2840  
0270 2844  
0270 2848 - 0270 284C  
0270 2850  
0270 2854  
0270 2858  
0270 285C  
0270 2860  
0270 2864  
0270 2868  
0270 286C  
0270 2870  
0270 2874  
0270 2878  
0270 287C  
0270 2880  
0270 2884  
0270 2888  
0270 288C  
0270 2890  
0270 2894  
0270 2898 - 0270 29FF  
Event Register High  
ECR  
Event Clear Register  
ECRH  
ESR  
Event Clear Register High  
Event Set Register  
ESRH  
CER  
Event Set Register High  
Chained Event Register  
CERH  
EER  
Chained Event Register High  
Event Enable Register  
EERH  
EECR  
EECRH  
EESR  
EESRH  
SER  
Event Enable Register High  
Event Enable Clear Register  
Event Enable Clear Register High  
Event Enable Set Register  
Event Enable Set Register High  
Secondary Event Register  
Secondary Event Register High  
Secondary Event Clear Register  
SERH  
SECR  
SECRH  
-
Secondary Event Clear Register High  
Reserved  
IER  
Interrupt Enable Register  
Interrupt Enable Register High  
Interrupt Enable Clear Register  
Interrupt Enable Clear Register High  
Interrupt Enable Set Register  
Interrupt Enable Set Register High  
Interrupt Pending Register  
Interrupt Pending Register High  
Interrupt Clear Register  
IERH  
IECR  
IECRH  
IESR  
IESRH  
IPR  
IPRH  
ICR  
ICRH  
IEVAL  
-
Interrupt Clear Register High  
Interrupt Evaluate Register  
Reserved  
QER  
QDMA Event Register  
QEER  
QEECR  
QEESR  
QSER  
QSECR  
-
QDMA Event Enable Register  
QDMA Event Enable Clear Register  
QDMA Event Enable Set Register  
QDMA Secondary Event Register  
QDMA Secondary Event Clear Register  
Reserved  
Shadow Region 5 Channel Registers  
Event Register  
0270 2A00  
0270 2A04  
0270 2A08  
0270 2A0C  
0270 2A10  
0270 2A14  
ER  
ERH  
ECR  
Event Register High  
Event Clear Register  
ECRH  
ESR  
Event Clear Register High  
Event Set Register  
ESRH  
Event Set Register High  
Copyright 2010 Texas Instruments Incorporated  
TMS320C6678 Peripheral Information and Electrical Specifications 125  
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
Table 7-15  
EDMA3 Channel Controller 0 Registers (Part 10 of 12)  
Hex Address  
0270 2A18  
Acronym  
CER  
Register Name  
Chained Event Register  
0270 2A1C  
0270 2A20  
0270 2A24  
0270 2A28  
0270 2A2C  
0270 2A30  
0270 2A34  
0270 2A38  
0270 2A3C  
0270 2A40  
0270 2A44  
0270 2A48 - 0270 2A4C  
0270 2A50  
0270 2A54  
0270 2A58  
0270 2A5C  
0270 2A60  
0270 2A64  
0270 2A68  
0270 2A6C  
0270 2A70  
0270 2A74  
0270 2A78  
0270 2A7C  
0270 2A80  
0270 2A84  
0270 2A88  
0270 2A8C  
0270 2A90  
0270 2A94  
0270 2A98 - 0270 2BFF  
CERH  
EER  
Chained Event Register High  
Event Enable Register  
EERH  
EECR  
EECRH  
EESR  
EESRH  
SER  
Event Enable Register High  
Event Enable Clear Register  
Event Enable Clear Register High  
Event Enable Set Register  
Event Enable Set Register High  
Secondary Event Register  
Secondary Event Register High  
Secondary Event Clear Register  
SERH  
SECR  
SECRH  
-
Secondary Event Clear Register High  
Reserved  
IER  
Interrupt Enable Register  
Interrupt Enable Register High  
Interrupt Enable Clear Register  
Interrupt Enable Clear Register High  
Interrupt Enable Set Register  
Interrupt Enable Set Register High  
Interrupt Pending Register  
Interrupt Pending Register High  
Interrupt Clear Register  
IERH  
IECR  
IECRH  
IESR  
IESRH  
IPR  
IPRH  
ICR  
ICRH  
IEVAL  
-
Interrupt Clear Register High  
Interrupt Evaluate Register  
Reserved  
QER  
QDMA Event Register  
QEER  
QEECR  
QEESR  
QSER  
QSECR  
-
QDMA Event Enable Register  
QDMA Event Enable Clear Register  
QDMA Event Enable Set Register  
QDMA Secondary Event Register  
QDMA Secondary Event Clear Register  
Reserved  
Shadow Region 6 Channel Registers  
Event Register  
0270 2C00  
0270 2C04  
0270 2C08  
0270 2C0C  
0270 2C10  
0270 2C14  
0270 2C18  
0270 2C1C  
0270 2C20  
0270 2C24  
0270 2C28  
ER  
ERH  
Event Register High  
ECR  
Event Clear Register  
ECRH  
ESR  
Event Clear Register High  
Event Set Register  
ESRH  
CER  
Event Set Register High  
Chained Event Register  
CERH  
EER  
Chained Event Register High  
Event Enable Register  
EERH  
EECR  
Event Enable Register High  
Event Enable Clear Register  
126  
TMS320C6678 Peripheral Information and Electrical Specifications  
Copyright 2010 Texas Instruments Incorporated  
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
Table 7-15  
EDMA3 Channel Controller 0 Registers (Part 11 of 12)  
Hex Address  
Acronym  
EECRH  
EESR  
EESRH  
SER  
Register Name  
0270 2C2C  
0270 2C30  
Event Enable Clear Register High  
Event Enable Set Register  
0270 2C34  
Event Enable Set Register High  
Secondary Event Register  
0270 2C38  
0270 2C3C  
0270 2C40  
SERH  
SECR  
SECRH  
-
Secondary Event Register High  
Secondary Event Clear Register  
0270 2C44  
Secondary Event Clear Register High  
Reserved  
0270 2C48 - 0270 2C4C  
0270 2C50  
IER  
Interrupt Enable Register  
Interrupt Enable Register High  
Interrupt Enable Clear Register  
Interrupt Enable Clear Register High  
Interrupt Enable Set Register  
Interrupt Enable Set Register High  
Interrupt Pending Register  
Interrupt Pending Register High  
Interrupt Clear Register  
0270 2C54  
IERH  
IECR  
IECRH  
IESR  
0270 2C58  
0270 2C5C  
0270 2C60  
0270 2C64  
IESRH  
IPR  
0270 2C68  
0270 2C6C  
0270 2C70  
IPRH  
ICR  
0270 2C74  
ICRH  
IEVAL  
-
Interrupt Clear Register High  
Interrupt Evaluate Register  
Reserved  
0270 2C78  
0270 2C7C  
0270 2C80  
QER  
QDMA Event Register  
0270 2C84  
QEER  
QEECR  
QEESR  
QSER  
QSECR  
-
QDMA Event Enable Register  
QDMA Event Enable Clear Register  
QDMA Event Enable Set Register  
QDMA Secondary Event Register  
QDMA Secondary Event Clear Register  
Reserved  
0270 2C88  
0270 2C8C  
0270 2C90  
0270 2C94  
0270 2C98 - 0270 2DFF  
Shadow Region 7 Channel Registers  
Event Register  
0270 2E00  
0270 2E04  
0270 2E08  
0270 2E0C  
0270 2E10  
0270 2E14  
0270 2E18  
0270 2E1C  
0270 2E20  
0270 2E24  
0270 2E28  
0270 2E2C  
0270 2E30  
0270 2E34  
0270 2E38  
0270 2E3C  
ER  
ERH  
Event Register High  
ECR  
Event Clear Register  
ECRH  
ESR  
Event Clear Register High  
Event Set Register  
ESRH  
CER  
Event Set Register High  
Chained Event Register  
CERH  
EER  
Chained Event Register High  
Event Enable Register  
EERH  
EECR  
EECRH  
EESR  
EESRH  
SER  
Event Enable Register High  
Event Enable Clear Register  
Event Enable Clear Register High  
Event Enable Set Register  
Event Enable Set Register High  
Secondary Event Register  
Secondary Event Register High  
SERH  
Copyright 2010 Texas Instruments Incorporated  
TMS320C6678 Peripheral Information and Electrical Specifications 127  
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
Table 7-15  
EDMA3 Channel Controller 0 Registers (Part 12 of 12)  
Hex Address  
0270 2E40  
Acronym  
SECR  
SECRH  
-
Register Name  
Secondary Event Clear Register  
0270 2E44  
0270 2E48 - 0270 2E4C  
0270 2E50  
Secondary Event Clear Register High  
Reserved  
IER  
Interrupt Enable Register  
Interrupt Enable Register High  
Interrupt Enable Clear Register  
Interrupt Enable Clear Register High  
Interrupt Enable Set Register  
Interrupt Enable Set Register High  
Interrupt Pending Register  
Interrupt Pending Register High  
Interrupt Clear Register  
0270 2E54  
IERH  
IECR  
IECRH  
IESR  
0270 2E58  
0270 2E5C  
0270 2E60  
0270 2E64  
IESRH  
IPR  
0270 2E68  
0270 2E6C  
IPRH  
ICR  
0270 2E70  
0270 2E74  
ICRH  
IEVAL  
-
Interrupt Clear Register High  
Interrupt Evaluate Register  
Reserved  
0270 2E78  
0270 2E7C  
0270 2E80  
QER  
QDMA Event Register  
0270 2E84  
QEER  
QEECR  
QEESR  
QSER  
QSECR  
-
QDMA Event Enable Register  
QDMA Event Enable Clear Register  
QDMA Event Enable Set Register  
QDMA Secondary Event Register  
QDMA Secondary Event Clear Register  
Reserved  
0270 2E88  
0270 2E8C  
0270 2E90  
0270 2E94  
0270 2E98 - 0270 2FFF  
End of Table 7-15  
Table 7-16  
EDMA3 Channel Controller 1 Registers (Part 1 of 14)  
Hex Address  
0272 0000  
Acronym  
PID  
Register Name  
Peripheral ID Register  
0272 0004  
0272 0008 - 0272 00FC  
0272 0100  
CCCFG  
EDMA3CC Configuration Register  
Reserved  
-
DCHMAP0  
DCHMAP1  
DCHMAP2  
DCHMAP3  
DCHMAP4  
DCHMAP5  
DCHMAP6  
DCHMAP7  
DCHMAP8  
DCHMAP9  
DCHMAP10  
DCHMAP11  
DCHMAP12  
DCHMAP13  
DMA Channel 0 Mapping Register  
DMA Channel 1 Mapping Register  
DMA Channel 2 Mapping Register  
DMA Channel 3 Mapping Register  
DMA Channel 4 Mapping Register  
DMA Channel 5 Mapping Register  
DMA Channel 6 Mapping Register  
DMA Channel 7 Mapping Register  
DMA Channel 8 Mapping Register  
DMA Channel 9 Mapping Register  
DMA Channel 10 Mapping Register  
DMA Channel 11 Mapping Register  
DMA Channel 12 Mapping Register  
DMA Channel 13 Mapping Register  
0272 0104  
0272 0108  
0272 010C  
0272 0110  
0272 0114  
0272 0118  
0272 011C  
0272 0120  
0272 0124  
0272 0128  
0272 012C  
0272 0130  
0272 0134  
128  
TMS320C6678 Peripheral Information and Electrical Specifications  
Copyright 2010 Texas Instruments Incorporated  
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
Table 7-16  
EDMA3 Channel Controller 1 Registers (Part 2 of 14)  
Hex Address  
Acronym  
DCHMAP14  
DCHMAP15  
DCHMAP16  
DCHMAP17  
DCHMAP18  
DCHMAP19  
DCHMAP20  
DCHMAP21  
DCHMAP22  
DCHMAP23  
DCHMAP24  
DCHMAP25  
DCHMAP26  
DCHMAP27  
DCHMAP28  
DCHMAP29  
DCHMAP30  
DCHMAP31  
DCHMAP32  
DCHMAP33  
DCHMAP34  
DCHMAP35  
DCHMAP36  
DCHMAP37  
DCHMAP38  
DCHMAP39  
DCHMAP40  
DCHMAP41  
DCHMAP42  
DCHMAP43  
DCHMAP44  
DCHMAP45  
DCHMAP46  
DCHMAP47  
DCHMAP48  
DCHMAP49  
DCHMAP50  
DCHMAP51  
DCHMAP52  
DCHMAP53  
DCHMAP54  
DCHMAP55  
DCHMAP56  
DCHMAP57  
Register Name  
0272 0138  
0272 013C  
0272 0140  
0272 0144  
0272 0148  
0272 014C  
0272 0150  
0272 0154  
0272 0158  
0272 015C  
0272 0160  
0272 0164  
0272 0168  
0272 016C  
0272 0170  
0272 0174  
0272 0178  
0272 017C  
0272 0180  
0272 0184  
0272 0188  
0272 018C  
0272 0190  
0272 0194  
0272 0198  
0272 019C  
0272 01A0  
0272 01A4  
0272 01A8  
0272 01AC  
0272 01B0  
0272 01B4  
0272 01B8  
0272 01BC  
0272 01C0  
0272 01C4  
0272 01C8  
0272 01CC  
0272 01D0  
0272 01D4  
0272 01D8  
0272 01DC  
0272 01E0  
0272 01E4  
DMA Channel 14 Mapping Register  
DMA Channel 15 Mapping Register  
DMA Channel 16 Mapping Register  
DMA Channel 17 Mapping Register  
DMA Channel 18 Mapping Register  
DMA Channel 19 Mapping Register  
DMA Channel 20 Mapping Register  
DMA Channel 21 Mapping Register  
DMA Channel 22 Mapping Register  
DMA Channel 23 Mapping Register  
DMA Channel 24 Mapping Register  
DMA Channel 25 Mapping Register  
DMA Channel 26 Mapping Register  
DMA Channel 27 Mapping Register  
DMA Channel 28 Mapping Register  
DMA Channel 29 Mapping Register  
DMA Channel 30 Mapping Register  
DMA Channel 31 Mapping Register  
DMA Channel 32 Mapping Register  
DMA Channel 33 Mapping Register  
DMA Channel 34 Mapping Register  
DMA Channel 35 Mapping Register  
DMA Channel 36 Mapping Register  
DMA Channel 37 Mapping Register  
DMA Channel 38 Mapping Register  
DMA Channel 39 Mapping Register  
DMA Channel 40 Mapping Register  
DMA Channel 41 Mapping Register  
DMA Channel 42 Mapping Register  
DMA Channel 43 Mapping Register  
DMA Channel 44 Mapping Register  
DMA Channel 45 Mapping Register  
DMA Channel 46 Mapping Register  
DMA Channel 47 Mapping Register  
DMA Channel 48 Mapping Register  
DMA Channel 49 Mapping Register  
DMA Channel 50 Mapping Register  
DMA Channel 51 Mapping Register  
DMA Channel 52 Mapping Register  
DMA Channel 53 Mapping Register  
DMA Channel 54 Mapping Register  
DMA Channel 55 Mapping Register  
DMA Channel 56 Mapping Register  
DMA Channel 57 Mapping Register  
Copyright 2010 Texas Instruments Incorporated  
TMS320C6678 Peripheral Information and Electrical Specifications 129  
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
Table 7-16  
EDMA3 Channel Controller 1 Registers (Part 3 of 14)  
Hex Address  
0272 01E8  
Acronym  
DCHMAP58  
DCHMAP59  
DCHMAP60  
DCHMAP61  
DCHMAP62  
DCHMAP63  
QCHMAP0  
QCHMAP1  
QCHMAP2  
QCHMAP3  
QCHMAP4  
QCHMAP5  
QCHMAP6  
QCHMAP7  
-
Register Name  
DMA Channel 58 Mapping Register  
DMA Channel 59 Mapping Register  
DMA Channel 60 Mapping Register  
DMA Channel 61 Mapping Register  
DMA Channel 62 Mapping Register  
DMA Channel 63 Mapping Register  
QDMA Channel 0 Mapping Register  
QDMA Channel 1 Mapping Register  
QDMA Channel 2 Mapping Register  
QDMA Channel 3 Mapping Register  
QDMA Channel 4 Mapping Register  
QDMA Channel 5 Mapping Register  
QDMA Channel 6 Mapping Register  
QDMA Channel 7 Mapping Register  
Reserved  
0272 01EC  
0272 01F0  
0272 01F4  
0272 01F8  
0272 01FC  
0272 0200  
0272 0204  
0272 0208  
0272 020C  
0272 0210  
0272 0214  
0272 0218  
0272 021C  
0272 0220 - 0272 023C  
0272 0240  
DMAQNUM0  
DMAQNUM1  
DMAQNUM2  
DMAQNUM3  
DMAQNUM4  
DMAQNUM5  
DMAQNUM6  
DMAQNUM7  
QDMAQNUM  
-
DMA Queue Number Register 0  
DMA Queue Number Register 1  
DMA Queue Number Register 2  
DMA Queue Number Register 3  
DMA Queue Number Register 4  
DMA Queue Number Register 5  
DMA Queue Number Register 6  
DMA Queue Number Register 7  
QDMA Queue Number Register  
Reserved  
0272 0244  
0272 0248  
0272 024C  
0272 0250  
0272 0254  
0272 0258  
0272 025C  
0272 0260  
0272 0264 - 0272 027C  
0272 0280  
QUETCMAP  
QUEPRI  
Queue to TC Mapping Register  
Queue Priority Register  
0272 0284  
0272 0288 - 0272 02FC  
0272 0300  
-
Reserved  
EMR  
Event Missed Register  
0272 0304  
EMRH  
Event Missed Register High  
Event Missed Clear Register  
Event Missed Clear Register High  
QDMA Event Missed Register  
QDMA Event Missed Clear Register  
EDMA3CC Error Register  
0272 0308  
EMCR  
0272 030C  
EMCRH  
0272 0310  
QEMR  
0272 0314  
QEMCR  
0272 0318  
CCERR  
0272 031C  
CCERRCLR  
EEVAL  
EDMA3CC Error Clear Register  
Error Evaluate Register  
0272 0320  
0272 0324 - 0272 033C  
0272 0340  
-
Reserved  
DRAE0  
DMA Region Access Enable Register for Region 0  
DMA Region Access Enable Register High for Region 0  
DMA Region Access Enable Register for Region 1  
DMA Region Access Enable Register High for Region 1  
DMA Region Access Enable Register for Region 2  
DMA Region Access Enable Register High for Region 2  
0272 0344  
DRAEH0  
DRAE1  
0272 0348  
0272 034C  
DRAEH1  
DRAE2  
0272 0350  
0272 0354  
DRAEH2  
130  
TMS320C6678 Peripheral Information and Electrical Specifications  
Copyright 2010 Texas Instruments Incorporated  
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
Table 7-16  
EDMA3 Channel Controller 1 Registers (Part 4 of 14)  
Hex Address  
Acronym  
DRAE3  
DRAEH3  
DRAE4  
DRAEH4  
DRAE5  
DRAEH5  
DRAE6  
DRAEH6  
DRAE7  
DRAEH7  
QRAE0  
QRAE1  
QRAE2  
QRAE3  
QRAE4  
QRAE5  
QRAE6  
QRAE7  
Q0E0  
Register Name  
DMA Region Access Enable Register for Region 3  
DMA Region Access Enable Register High for Region 3  
DMA Region Access Enable Register for Region 4  
DMA Region Access Enable Register High for Region 4  
DMA Region Access Enable Register for Region 5  
DMA Region Access Enable Register High for Region 5  
DMA Region Access Enable Register for Region 6  
DMA Region Access Enable Register High for Region 6  
DMA Region Access Enable Register for Region 7  
DMA Region Access Enable Register High for Region 7  
QDMA Region Access Enable Register for Region 0  
QDMA Region Access Enable Register for Region 1  
QDMA Region Access Enable Register for Region 2  
QDMA Region Access Enable Register for Region 3  
QDMA Region Access Enable Register for Region 4  
QDMA Region Access Enable Register for Region 5  
QDMA Region Access Enable Register for Region 6  
QDMA Region Access Enable Register for Region 7  
Event Queue 0 Entry Register 0  
0272 0358  
0272 035C  
0272 0360  
0272 0364  
0272 0368  
0272 036C  
0272 0370  
0272 0374  
0272 0378  
0272 037C  
0272 0380  
0272 0384  
0272 0388  
0272 038C  
0272 0390  
0272 0394  
0272 0398  
0272 039C  
0272 0400  
0272 0404  
0272 0408  
0272 040C  
0272 0410  
0272 0414  
0272 0418  
0272 041C  
0272 0420  
0272 0424  
0272 0428  
0272 042C  
0272 0430  
0272 0434  
0272 0438  
0272 043C  
0272 0440  
0272 0444  
0272 0448  
0272 044C  
0272 0450  
0272 0454  
0272 0458  
0272 045C  
0272 0460  
0272 0464  
Q0E1  
Event Queue 0 Entry Register 1  
Q0E2  
Event Queue 0 Entry Register 2  
Q0E3  
Event Queue 0 Entry Register 3  
Q0E4  
Event Queue 0 Entry Register 4  
Q0E5  
Event Queue 0 Entry Register 5  
Q0E6  
Event Queue 0 Entry Register 6  
Q0E7  
Event Queue 0 Entry Register 7  
Q0E8  
Event Queue 0 Entry Register 8  
Q0E9  
Event Queue 0 Entry Register 9  
Q0E10  
Q0E11  
Q0E12  
Q0E13  
Q0E14  
Q0E15  
Q1E0  
Event Queue 0 Entry Register 10  
Event Queue 0 Entry Register 11  
Event Queue 0 Entry Register 12  
Event Queue 0 Entry Register 13  
Event Queue 0 Entry Register 14  
Event Queue 0 Entry Register 15  
Event Queue 1 Entry Register 0  
Q1E1  
Event Queue 1 Entry Register 1  
Q1E2  
Event Queue 1 Entry Register 2  
Q1E3  
Event Queue 1 Entry Register 3  
Q1E4  
Event Queue 1 Entry Register 4  
Q1E5  
Event Queue 1 Entry Register 5  
Q1E6  
Event Queue 1 Entry Register 6  
Q1E7  
Event Queue 1 Entry Register 7  
Q1E8  
Event Queue 1 Entry Register 8  
Q1E9  
Event Queue 1 Entry Register 9  
Copyright 2010 Texas Instruments Incorporated  
TMS320C6678 Peripheral Information and Electrical Specifications 131  
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
Table 7-16  
EDMA3 Channel Controller 1 Registers (Part 5 of 14)  
Hex Address  
0272 0468  
Acronym  
Q1E10  
Q1E11  
Q1E12  
Q1E13  
Q1E14  
Q1E15  
Q2E0  
Register Name  
Event Queue 1 Entry Register 10  
Event Queue 1 Entry Register 11  
Event Queue 1 Entry Register 12  
Event Queue 1 Entry Register 13  
Event Queue 1 Entry Register 14  
Event Queue 1 Entry Register 15  
Event Queue 2 Entry Register 0  
Event Queue 2 Entry Register 1  
Event Queue 2 Entry Register 2  
Event Queue 2 Entry Register 3  
Event Queue 2 Entry Register 4  
Event Queue 2 Entry Register 5  
Event Queue 2 Entry Register 6  
Event Queue 2 Entry Register 7  
Event Queue 2 Entry Register 8  
Event Queue 2 Entry Register 9  
Event Queue 2 Entry Register 10  
Event Queue 2 Entry Register 11  
Event Queue 2 Entry Register 12  
Event Queue 2 Entry Register 13  
Event Queue 2 Entry Register 14  
Event Queue 2 Entry Register 15  
Event Queue 3 Entry Register 0  
Event Queue 3 Entry Register 1  
Event Queue 3 Entry Register 2  
Event Queue 3 Entry Register 3  
Event Queue 3 Entry Register 4  
Event Queue 3 Entry Register 5  
Event Queue 3 Entry Register 6  
Event Queue 3 Entry Register 7  
Event Queue 3 Entry Register 8  
Event Queue 3 Entry Register 9  
Event Queue 3 Entry Register 10  
Event Queue 3 Entry Register 11  
Event Queue 3 Entry Register 12  
Event Queue 3 Entry Register 13  
Event Queue 3 Entry Register 14  
Event Queue 3 Entry Register 15  
Reserved  
0272 046C  
0272 0470  
0272 0474  
0272 0478  
0272 047C  
0272 0480  
0272 0484  
0272 0488  
0272 048C  
0272 0490  
0272 0494  
0272 0498  
0272 049C  
0272 04A0  
0272 04A4  
0272 04A8  
0272 04AC  
0272 04B0  
0272 04B4  
0272 04B8  
0272 04BC  
0272 04C0  
0272 04C4  
0272 04C8  
0272 04CC  
0272 04D0  
0272 04D4  
0272 04D8  
0272 04DC  
0272 04E0  
0272 04E4  
0272 04E8  
0272 04EC  
0272 04F0  
0272 04F4  
0272 04F8  
0272 04FC  
0272 0500 - 0272 05FC  
0272 0600  
0272 0604  
0272 0608  
0272 060C  
0272 0610 - 0272 061C  
Q2E1  
Q2E2  
Q2E3  
Q2E4  
Q2E5  
Q2E6  
Q2E7  
Q2E8  
Q2E9  
Q2E10  
Q2E11  
Q2E12  
Q2E13  
Q2E14  
Q2E15  
Q3E0  
Q3E1  
Q3E2  
Q3E3  
Q3E4  
Q3E5  
Q3E6  
Q3E7  
Q3E8  
Q3E9  
Q3E10  
Q3E11  
Q3E12  
Q3E13  
Q3E14  
Q3E15  
-
QSTAT0  
QSTAT1  
QSTAT2  
QSTAT3  
-
Queue Status Register 0  
Queue Status Register 1  
Queue Status Register 2  
Queue Status Register 3  
Reserved  
132  
TMS320C6678 Peripheral Information and Electrical Specifications  
Copyright 2010 Texas Instruments Incorporated  
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
Table 7-16  
EDMA3 Channel Controller 1 Registers (Part 6 of 14)  
Hex Address  
Acronym  
QWMTHRA  
QWMTHRB  
-
Register Name  
Queue Watermark Threshold A Register  
0272 0620  
0272 0624  
Queue Watermark Threshold B Register  
Reserved  
0272 0628 - 0272 063C  
0272 0640  
CCSTAT  
-
EDMA3CC Status Register  
0272 0644 - 0272 06FC  
0272 0700 - 0272 07FC  
0272 0800  
Reserved  
-
Reserved  
MPFAR  
MPFSR  
MPFCR  
MPPAG  
MPPA0  
MPPA1  
MPPA2  
MPPA3  
MPPA4  
MPPA5  
MPPA6  
MPPA7  
-
Memory Protection Fault Address Register  
Memory Protection Fault Status Register  
Memory Protection Fault Command Register  
Memory Protection Page Attribute Register G  
Memory Protection Page Attribute Register 0  
Memory Protection Page Attribute Register 1  
Memory Protection Page Attribute Register 2  
Memory Protection Page Attribute Register 3  
Memory Protection Page Attribute Register 4  
Memory Protection Page Attribute Register 5  
Memory Protection Page Attribute Register 6  
Memory Protection Page Attribute Register 7  
Reserved  
0272 0804  
0272 0808  
0272 080C  
0272 0810  
0272 0814  
0272 0818  
0272 081C  
0272 0820  
0272 0824  
0272 0828  
0272 082C  
0272 082C - 0272 0FFC  
0272 1000  
ER  
Event Register  
0272 1004  
ERH  
Event Register High  
0272 1008  
ECR  
Event Clear Register  
0272 100C  
ECRH  
ESR  
Event Clear Register High  
0272 1010  
Event Set Register  
0272 1014  
ESRH  
CER  
Event Set Register High  
0272 1018  
Chained Event Register  
0272 101C  
CERH  
EER  
Chained Event Register High  
Event Enable Register  
0272 1020  
0272 1024  
EERH  
EECR  
EECRH  
EESR  
Event Enable Register High  
0272 1028  
Event Enable Clear Register  
Event Enable Clear Register High  
Event Enable Set Register  
0272 102C  
0272 1030  
0272 1034  
EESRH  
SER  
Event Enable Set Register High  
Secondary Event Register  
0272 1038  
0272 103C  
SERH  
SECR  
SECRH  
-
Secondary Event Register High  
Secondary Event Clear Register  
Secondary Event Clear Register High  
Reserved  
0272 1040  
0272 1044  
0272 1048 - 0272 104C  
0272 1050  
IER  
Interrupt Enable Register  
0272 1054  
IERH  
Interrupt Enable High Register  
Interrupt Enable Clear Register  
Interrupt Enable Clear High Register  
Interrupt Enable Set Register  
Interrupt Enable Set High Register  
0272 1058  
IECR  
0272 105C  
IECRH  
IESR  
0272 1060  
0272 1064  
IESRH  
Copyright 2010 Texas Instruments Incorporated  
TMS320C6678 Peripheral Information and Electrical Specifications 133  
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
Table 7-16  
EDMA3 Channel Controller 1 Registers (Part 7 of 14)  
Hex Address  
0272 1068  
Acronym  
IPR  
Register Name  
Interrupt Pending Register  
Interrupt Pending High Register  
Interrupt Clear Register  
0272 106C  
0272 1070  
IPRH  
ICR  
0272 1074  
ICRH  
IEVAL  
-
Interrupt Clear High Register  
Interrupt Evaluate Register  
Reserved  
0272 1078  
0272 107C  
0272 1080  
QER  
QDMA Event Register  
0272 1084  
QEER  
QEECR  
QEESR  
QSER  
QSECR  
-
QDMA Event Enable Register  
QDMA Event Enable Clear Register  
QDMA Event Enable Set Register  
QDMA Secondary Event Register  
0272 1088  
0272 108C  
0272 1090  
0272 1094  
QDMA Secondary Event Clear Register  
Reserved  
0272 1098 - 0272 1FFF  
Shadow Region 0 Channel Registers  
Event Register  
0272 2000  
0272 2004  
0272 2008  
0272 200C  
0272 2010  
0272 2014  
0272 2018  
0272 201C  
0272 2020  
0272 2024  
0272 2028  
0272 202C  
0272 2030  
0272 2034  
0272 2038  
0272 203C  
0272 2040  
0272 2044  
0272 2048 - 0272 204C  
0272 2050  
0272 2054  
0272 2058  
0272 205C  
0272 2060  
0272 2064  
0272 2068  
0272 206C  
0272 2070  
0272 2074  
0272 2078  
ER  
ERH  
Event Register High  
ECR  
Event Clear Register  
ECRH  
ESR  
Event Clear Register High  
Event Set Register  
ESRH  
CER  
Event Set Register High  
Chained Event Register  
CERH  
EER  
Chained Event Register High  
Event Enable Register  
EERH  
EECR  
EECRH  
EESR  
EESRH  
SER  
Event Enable Register High  
Event Enable Clear Register  
Event Enable Clear Register High  
Event Enable Set Register  
Event Enable Set Register High  
Secondary Event Register  
Secondary Event Register High  
Secondary Event Clear Register  
Secondary Event Clear Register High  
Reserved  
SERH  
SECR  
SECRH  
-
IER  
Interrupt Enable Register  
Interrupt Enable Register High  
Interrupt Enable Clear Register  
Interrupt Enable Clear Register High  
Interrupt Enable Set Register  
Interrupt Enable Set Register High  
Interrupt Pending Register  
Interrupt Pending Register High  
Interrupt Clear Register  
IERH  
IECR  
IECRH  
IESR  
IESRH  
IPR  
IPRH  
ICR  
ICRH  
IEVAL  
Interrupt Clear Register High  
Interrupt Evaluate Register  
134  
TMS320C6678 Peripheral Information and Electrical Specifications  
Copyright 2010 Texas Instruments Incorporated  
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
Table 7-16  
EDMA3 Channel Controller 1 Registers (Part 8 of 14)  
Hex Address  
Acronym  
-
Register Name  
0272 207C  
0272 2080  
Reserved  
QER  
QDMA Event Register  
0272 2084  
QEER  
QEECR  
QEESR  
QSER  
QSECR  
-
QDMA Event Enable Register  
QDMA Event Enable Clear Register  
QDMA Event Enable Set Register  
QDMA Secondary Event Register  
0272 2088  
0272 208C  
0272 2090  
0272 2094  
QDMA Secondary Event Clear Register  
Reserved  
0272 2098 - 0272 21FF  
Shadow Region 1 Channel Registers  
Event Register  
0272 2200  
0272 2204  
0272 2208  
0272 220C  
0272 2210  
0272 2214  
0272 2218  
0272 221C  
0272 2220  
0272 2224  
0272 2228  
0272 222C  
0272 2230  
0272 2234  
0272 2238  
0272 223C  
0272 2240  
0272 2244  
0272 2248 - 0272 224C  
0272 2250  
0272 2254  
0272 2258  
0272 225C  
0272 2260  
0272 2264  
0272 2268  
0272 226C  
0272 2270  
0272 2274  
0272 2278  
0272 227C  
0272 2280  
0272 2284  
0272 2288  
0272 228C  
ER  
ERH  
Event Register High  
ECR  
Event Clear Register  
ECRH  
ESR  
Event Clear Register High  
Event Set Register  
ESRH  
CER  
Event Set Register High  
Chained Event Register  
CERH  
EER  
Chained Event Register High  
Event Enable Register  
EERH  
EECR  
EECRH  
EESR  
EESRH  
SER  
Event Enable Register High  
Event Enable Clear Register  
Event Enable Clear Register High  
Event Enable Set Register  
Event Enable Set Register High  
Secondary Event Register  
Secondary Event Register High  
Secondary Event Clear Register  
Secondary Event Clear Register High  
Reserved  
SERH  
SECR  
SECRH  
-
IER  
Interrupt Enable Register  
Interrupt Enable Register High  
Interrupt Enable Clear Register  
Interrupt Enable Clear Register High  
Interrupt Enable Set Register  
Interrupt Enable Set Register High  
Interrupt Pending Register  
Interrupt Pending Register High  
Interrupt Clear Register  
IERH  
IECR  
IECRH  
IESR  
IESRH  
IPR  
IPRH  
ICR  
ICRH  
IEVAL  
-
Interrupt Clear Register High  
Interrupt Evaluate Register  
Reserved  
QER  
QDMA Event Register  
QEER  
QEECR  
QEESR  
QDMA Event Enable Register  
QDMA Event Enable Clear Register  
QDMA Event Enable Set Register  
Copyright 2010 Texas Instruments Incorporated  
TMS320C6678 Peripheral Information and Electrical Specifications 135  
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
Table 7-16  
EDMA3 Channel Controller 1 Registers (Part 9 of 14)  
Hex Address  
0272 2290  
Acronym  
QSER  
QSECR  
-
Register Name  
QDMA Secondary Event Register  
0272 2294  
QDMA Secondary Event Clear Register  
Reserved  
0272 2298 - 0272 23FF  
Shadow Region 2 Channel Registers  
Event Register  
0272 2400  
0272 2404  
0272 2408  
0272 240C  
0272 2410  
0272 2414  
0272 2418  
0272 241C  
0272 2420  
0272 2424  
0272 2428  
0272 242C  
0272 2430  
0272 2434  
0272 2438  
0272 243C  
0272 2440  
0272 2444  
0272 2448 - 0272 244C  
0272 2450  
0272 2454  
0272 2458  
0272 245C  
0272 2460  
0272 2464  
0272 2468  
0272 246C  
0272 2470  
0272 2474  
0272 2478  
0272 247C  
0272 2480  
0272 2484  
0272 2488  
0272 248C  
0272 2490  
0272 2494  
0272 2498 - 0272 25FF  
ER  
ERH  
Event Register High  
ECR  
Event Clear Register  
ECRH  
ESR  
Event Clear Register High  
Event Set Register  
ESRH  
CER  
Event Set Register High  
Chained Event Register  
CERH  
EER  
Chained Event Register High  
Event Enable Register  
EERH  
EECR  
EECRH  
EESR  
EESRH  
SER  
Event Enable Register High  
Event Enable Clear Register  
Event Enable Clear Register High  
Event Enable Set Register  
Event Enable Set Register High  
Secondary Event Register  
Secondary Event Register High  
Secondary Event Clear Register  
Secondary Event Clear Register High  
Reserved  
SERH  
SECR  
SECRH  
-
IER  
Interrupt Enable Register  
Interrupt Enable Register High  
Interrupt Enable Clear Register  
Interrupt Enable Clear Register High  
Interrupt Enable Set Register  
Interrupt Enable Set Register High  
Interrupt Pending Register  
Interrupt Pending Register High  
Interrupt Clear Register  
IERH  
IECR  
IECRH  
IESR  
IESRH  
IPR  
IPRH  
ICR  
ICRH  
IEVAL  
-
Interrupt Clear Register High  
Interrupt Evaluate Register  
Reserved  
QER  
QDMA Event Register  
QEER  
QEECR  
QEESR  
QSER  
QSECR  
-
QDMA Event Enable Register  
QDMA Event Enable Clear Register  
QDMA Event Enable Set Register  
QDMA Secondary Event Register  
QDMA Secondary Event Clear Register  
Reserved  
Shadow Region 3 Channel Registers  
Event Register  
0272 2600  
ER  
136  
TMS320C6678 Peripheral Information and Electrical Specifications  
Copyright 2010 Texas Instruments Incorporated  
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
Table 7-16  
EDMA3 Channel Controller 1 Registers (Part 10 of 14)  
Hex Address  
Acronym  
ERH  
Register Name  
0272 2604  
0272 2608  
0272 260C  
0272 2610  
0272 2614  
0272 2618  
0272 261C  
0272 2620  
0272 2624  
0272 2628  
0272 262C  
0272 2630  
0272 2634  
0272 2638  
0272 263C  
0272 2640  
0272 2644  
0272 2648 - 0272 264C  
0272 2650  
0272 2654  
0272 2658  
0272 265C  
0272 2660  
0272 2664  
0272 2668  
0272 266C  
0272 2670  
0272 2674  
0272 2678  
0272 267C  
0272 2680  
0272 2684  
0272 2688  
0272 268C  
0272 2690  
0272 2694  
0272 2698 - 0272 27FF  
Event Register High  
ECR  
Event Clear Register  
ECRH  
ESR  
Event Clear Register High  
Event Set Register  
ESRH  
CER  
Event Set Register High  
Chained Event Register  
CERH  
EER  
Chained Event Register High  
Event Enable Register  
EERH  
EECR  
EECRH  
EESR  
EESRH  
SER  
Event Enable Register High  
Event Enable Clear Register  
Event Enable Clear Register High  
Event Enable Set Register  
Event Enable Set Register High  
Secondary Event Register  
Secondary Event Register High  
Secondary Event Clear Register  
SERH  
SECR  
SECRH  
-
Secondary Event Clear Register High  
Reserved  
IER  
Interrupt Enable Register  
Interrupt Enable Register High  
Interrupt Enable Clear Register  
Interrupt Enable Clear Register High  
Interrupt Enable Set Register  
Interrupt Enable Set Register High  
Interrupt Pending Register  
Interrupt Pending Register High  
Interrupt Clear Register  
IERH  
IECR  
IECRH  
IESR  
IESRH  
IPR  
IPRH  
ICR  
ICRH  
IEVAL  
-
Interrupt Clear Register High  
Interrupt Evaluate Register  
Reserved  
QER  
QDMA Event Register  
QEER  
QEECR  
QEESR  
QSER  
QSECR  
-
QDMA Event Enable Register  
QDMA Event Enable Clear Register  
QDMA Event Enable Set Register  
QDMA Secondary Event Register  
QDMA Secondary Event Clear Register  
Reserved  
Shadow Region 4 Channel Registers  
Event Register  
0272 2800  
0272 2804  
0272 2808  
0272 280C  
0272 2810  
0272 2814  
ER  
ERH  
ECR  
Event Register High  
Event Clear Register  
ECRH  
ESR  
Event Clear Register High  
Event Set Register  
ESRH  
Event Set Register High  
Copyright 2010 Texas Instruments Incorporated  
TMS320C6678 Peripheral Information and Electrical Specifications 137  
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
Table 7-16  
EDMA3 Channel Controller 1 Registers (Part 11 of 14)  
Hex Address  
0272 2818  
Acronym  
CER  
Register Name  
Chained Event Register  
0272 281C  
0272 2820  
0272 2824  
0272 2828  
0272 282C  
0272 2830  
0272 2834  
0272 2838  
0272 283C  
0272 2840  
0272 2844  
0272 2848 - 0272 284C  
0272 2850  
0272 2854  
0272 2858  
0272 285C  
0272 2860  
0272 2864  
0272 2868  
0272 286C  
0272 2870  
0272 2874  
0272 2878  
0272 287C  
0272 2880  
0272 2884  
0272 2888  
0272 288C  
0272 2890  
0272 2894  
0272 2898 - 0272 29FF  
CERH  
EER  
Chained Event Register High  
Event Enable Register  
EERH  
EECR  
EECRH  
EESR  
EESRH  
SER  
Event Enable Register High  
Event Enable Clear Register  
Event Enable Clear Register High  
Event Enable Set Register  
Event Enable Set Register High  
Secondary Event Register  
Secondary Event Register High  
Secondary Event Clear Register  
SERH  
SECR  
SECRH  
-
Secondary Event Clear Register High  
Reserved  
IER  
Interrupt Enable Register  
Interrupt Enable Register High  
Interrupt Enable Clear Register  
Interrupt Enable Clear Register High  
Interrupt Enable Set Register  
Interrupt Enable Set Register High  
Interrupt Pending Register  
Interrupt Pending Register High  
Interrupt Clear Register  
IERH  
IECR  
IECRH  
IESR  
IESRH  
IPR  
IPRH  
ICR  
ICRH  
IEVAL  
-
Interrupt Clear Register High  
Interrupt Evaluate Register  
Reserved  
QER  
QDMA Event Register  
QEER  
QEECR  
QEESR  
QSER  
QSECR  
-
QDMA Event Enable Register  
QDMA Event Enable Clear Register  
QDMA Event Enable Set Register  
QDMA Secondary Event Register  
QDMA Secondary Event Clear Register  
Reserved  
Shadow Region 5 Channel Registers  
Event Register  
0272 2A00  
0272 2A04  
0272 2A08  
0272 2A0C  
0272 2A10  
0272 2A14  
0272 2A18  
0272 2A1C  
0272 2A20  
0272 2A24  
0272 2A28  
ER  
ERH  
Event Register High  
ECR  
Event Clear Register  
ECRH  
ESR  
Event Clear Register High  
Event Set Register  
ESRH  
CER  
Event Set Register High  
Chained Event Register  
CERH  
EER  
Chained Event Register High  
Event Enable Register  
EERH  
EECR  
Event Enable Register High  
Event Enable Clear Register  
138  
TMS320C6678 Peripheral Information and Electrical Specifications  
Copyright 2010 Texas Instruments Incorporated  
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
Table 7-16  
EDMA3 Channel Controller 1 Registers (Part 12 of 14)  
Hex Address  
Acronym  
EECRH  
EESR  
EESRH  
SER  
Register Name  
0272 2A2C  
0272 2A30  
Event Enable Clear Register High  
Event Enable Set Register  
0272 2A34  
Event Enable Set Register High  
Secondary Event Register  
0272 2A38  
0272 2A3C  
0272 2A40  
SERH  
SECR  
SECRH  
-
Secondary Event Register High  
Secondary Event Clear Register  
0272 2A44  
Secondary Event Clear Register High  
Reserved  
0272 2A48 - 0272 2A4C  
0272 2A50  
IER  
Interrupt Enable Register  
Interrupt Enable Register High  
Interrupt Enable Clear Register  
Interrupt Enable Clear Register High  
Interrupt Enable Set Register  
Interrupt Enable Set Register High  
Interrupt Pending Register  
Interrupt Pending Register High  
Interrupt Clear Register  
0272 2A54  
IERH  
IECR  
IECRH  
IESR  
0272 2A58  
0272 2A5C  
0272 2A60  
0272 2A64  
IESRH  
IPR  
0272 2A68  
0272 2A6C  
0272 2A70  
IPRH  
ICR  
0272 2A74  
ICRH  
IEVAL  
-
Interrupt Clear Register High  
Interrupt Evaluate Register  
Reserved  
0272 2A78  
0272 2A7C  
0272 2A80  
QER  
QDMA Event Register  
0272 2A84  
QEER  
QEECR  
QEESR  
QSER  
QSECR  
-
QDMA Event Enable Register  
QDMA Event Enable Clear Register  
QDMA Event Enable Set Register  
QDMA Secondary Event Register  
QDMA Secondary Event Clear Register  
Reserved  
0272 2A88  
0272 2A8C  
0272 2A90  
0272 2A94  
0272 2A98 - 0272 2BFF  
Shadow Region 6 Channel Registers  
Event Register  
0272 2C00  
0272 2C04  
0272 2C08  
0272 2C0C  
0272 2C10  
0272 2C14  
0272 2C18  
0272 2C1C  
0272 2C20  
0272 2C24  
0272 2C28  
0272 2C2C  
0272 2C30  
0272 2C34  
0272 2C38  
0272 2C3C  
ER  
ERH  
Event Register High  
ECR  
Event Clear Register  
ECRH  
ESR  
Event Clear Register High  
Event Set Register  
ESRH  
CER  
Event Set Register High  
Chained Event Register  
CERH  
EER  
Chained Event Register High  
Event Enable Register  
EERH  
EECR  
EECRH  
EESR  
EESRH  
SER  
Event Enable Register High  
Event Enable Clear Register  
Event Enable Clear Register High  
Event Enable Set Register  
Event Enable Set Register High  
Secondary Event Register  
Secondary Event Register High  
SERH  
Copyright 2010 Texas Instruments Incorporated  
TMS320C6678 Peripheral Information and Electrical Specifications 139  
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
Table 7-16  
EDMA3 Channel Controller 1 Registers (Part 13 of 14)  
Hex Address  
0272 2C40  
Acronym  
SECR  
SECRH  
-
Register Name  
Secondary Event Clear Register  
0272 2C44  
0272 2C48 - 0272 2C4C  
0272 2C50  
Secondary Event Clear Register High  
Reserved  
IER  
Interrupt Enable Register  
Interrupt Enable Register High  
Interrupt Enable Clear Register  
Interrupt Enable Clear Register High  
Interrupt Enable Set Register  
Interrupt Enable Set Register High  
Interrupt Pending Register  
Interrupt Pending Register High  
Interrupt Clear Register  
0272 2C54  
IERH  
IECR  
IECRH  
IESR  
0272 2C58  
0272 2C5C  
0272 2C60  
0272 2C64  
IESRH  
IPR  
0272 2C68  
0272 2C6C  
IPRH  
ICR  
0272 2C70  
0272 2C74  
ICRH  
IEVAL  
-
Interrupt Clear Register High  
Interrupt Evaluate Register  
Reserved  
0272 2C78  
0272 2C7C  
0272 2C80  
QER  
QDMA Event Register  
0272 2C84  
QEER  
QEECR  
QEESR  
QSER  
QSECR  
-
QDMA Event Enable Register  
QDMA Event Enable Clear Register  
QDMA Event Enable Set Register  
QDMA Secondary Event Register  
QDMA Secondary Event Clear Register  
Reserved  
0272 2C88  
0272 2C8C  
0272 2C90  
0272 2C94  
0272 2C98 - 0272 2DFF  
Shadow Region 7 Channel Registers  
Event Register  
0272 2E00  
0272 2E04  
0272 2E08  
0272 2E0C  
0272 2E10  
0272 2E14  
0272 2E18  
0272 2E1C  
0272 2E20  
0272 2E24  
0272 2E28  
0272 2E2C  
0272 2E30  
0272 2E34  
0272 2E38  
0272 2E3C  
0272 2E40  
0272 2E44  
0272 2E48 - 0272 2E4C  
0272 2E50  
0272 2E54  
ER  
ERH  
Event Register High  
ECR  
Event Clear Register  
ECRH  
ESR  
Event Clear Register High  
Event Set Register  
ESRH  
CER  
Event Set Register High  
Chained Event Register  
CERH  
EER  
Chained Event Register High  
Event Enable Register  
EERH  
EECR  
EECRH  
EESR  
EESRH  
SER  
Event Enable Register High  
Event Enable Clear Register  
Event Enable Clear Register High  
Event Enable Set Register  
Event Enable Set Register High  
Secondary Event Register  
Secondary Event Register High  
Secondary Event Clear Register  
Secondary Event Clear Register High  
Reserved  
SERH  
SECR  
SECRH  
-
IER  
Interrupt Enable Register  
Interrupt Enable Register High  
IERH  
140  
TMS320C6678 Peripheral Information and Electrical Specifications  
Copyright 2010 Texas Instruments Incorporated  
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
Table 7-16  
EDMA3 Channel Controller 1 Registers (Part 14 of 14)  
Hex Address  
Acronym  
IECR  
IECRH  
IESR  
Register Name  
0272 2E58  
0272 2E5C  
Interrupt Enable Clear Register  
Interrupt Enable Clear Register High  
Interrupt Enable Set Register  
Interrupt Enable Set Register High  
Interrupt Pending Register  
Interrupt Pending Register High  
Interrupt Clear Register  
0272 2E60  
0272 2E64  
IESRH  
IPR  
0272 2E68  
0272 2E6C  
IPRH  
ICR  
0272 2E70  
0272 2E74  
ICRH  
IEVAL  
-
Interrupt Clear Register High  
Interrupt Evaluate Register  
Reserved  
0272 2E78  
0272 2E7C  
0272 2E80  
QER  
QDMA Event Register  
0272 2E84  
QEER  
QEECR  
QEESR  
QSER  
QSECR  
-
QDMA Event Enable Register  
QDMA Event Enable Clear Register  
QDMA Event Enable Set Register  
QDMA Secondary Event Register  
QDMA Secondary Event Clear Register  
Reserved  
0272 2E88  
0272 2E8C  
0272 2E90  
0272 2E94  
0272 2E98 - 0272 2FFF  
End of Table 7-16  
Table 7-17  
EDMA3 Channel Controller 2 Registers (Part 1 of 14)  
Hex Address  
0274 0000  
Acronym  
PID  
Register Name  
Peripheral ID Register  
0274 0004  
0274 0008 - 0274 00FC  
0274 0100  
0274 0104  
0274 0108  
0274 010C  
0274 0110  
0274 0114  
0274 0118  
0274 011C  
0274 0120  
0274 0124  
0274 0128  
0274 012C  
0274 0130  
0274 0134  
0274 0138  
0274 013C  
0274 0140  
0274 0144  
0274 0148  
CCCFG  
EDMA3CC Configuration Register  
Reserved  
-
DCHMAP0  
DCHMAP1  
DCHMAP2  
DCHMAP3  
DCHMAP4  
DCHMAP5  
DCHMAP6  
DCHMAP7  
DCHMAP8  
DCHMAP9  
DCHMAP10  
DCHMAP11  
DCHMAP12  
DCHMAP13  
DCHMAP14  
DCHMAP15  
DCHMAP16  
DCHMAP17  
DCHMAP18  
DMA Channel 0 Mapping Register  
DMA Channel 1 Mapping Register  
DMA Channel 2 Mapping Register  
DMA Channel 3 Mapping Register  
DMA Channel 4 Mapping Register  
DMA Channel 5 Mapping Register  
DMA Channel 6 Mapping Register  
DMA Channel 7 Mapping Register  
DMA Channel 8 Mapping Register  
DMA Channel 9 Mapping Register  
DMA Channel 10 Mapping Register  
DMA Channel 11 Mapping Register  
DMA Channel 12 Mapping Register  
DMA Channel 13 Mapping Register  
DMA Channel 14 Mapping Register  
DMA Channel 15 Mapping Register  
DMA Channel 16 Mapping Register  
DMA Channel 17 Mapping Register  
DMA Channel 18 Mapping Register  
Copyright 2010 Texas Instruments Incorporated  
TMS320C6678 Peripheral Information and Electrical Specifications 141  
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
Table 7-17  
EDMA3 Channel Controller 2 Registers (Part 2 of 14)  
Hex Address  
0274 014C  
Acronym  
DCHMAP19  
DCHMAP20  
DCHMAP21  
DCHMAP22  
DCHMAP23  
DCHMAP24  
DCHMAP25  
DCHMAP26  
DCHMAP27  
DCHMAP28  
DCHMAP29  
DCHMAP30  
DCHMAP31  
DCHMAP32  
DCHMAP33  
DCHMAP34  
DCHMAP35  
DCHMAP36  
DCHMAP37  
DCHMAP38  
DCHMAP39  
DCHMAP40  
DCHMAP41  
DCHMAP42  
DCHMAP43  
DCHMAP44  
DCHMAP45  
DCHMAP46  
DCHMAP47  
DCHMAP48  
DCHMAP49  
DCHMAP50  
DCHMAP51  
DCHMAP52  
DCHMAP53  
DCHMAP54  
DCHMAP55  
DCHMAP56  
DCHMAP57  
DCHMAP58  
DCHMAP59  
DCHMAP60  
DCHMAP61  
DCHMAP62  
Register Name  
DMA Channel 19 Mapping Register  
DMA Channel 20 Mapping Register  
DMA Channel 21 Mapping Register  
DMA Channel 22 Mapping Register  
DMA Channel 23 Mapping Register  
DMA Channel 24 Mapping Register  
DMA Channel 25 Mapping Register  
DMA Channel 26 Mapping Register  
DMA Channel 27 Mapping Register  
DMA Channel 28 Mapping Register  
DMA Channel 29 Mapping Register  
DMA Channel 30 Mapping Register  
DMA Channel 31 Mapping Register  
DMA Channel 32 Mapping Register  
DMA Channel 33 Mapping Register  
DMA Channel 34 Mapping Register  
DMA Channel 35 Mapping Register  
DMA Channel 36 Mapping Register  
DMA Channel 37 Mapping Register  
DMA Channel 38 Mapping Register  
DMA Channel 39 Mapping Register  
DMA Channel 40 Mapping Register  
DMA Channel 41 Mapping Register  
DMA Channel 42 Mapping Register  
DMA Channel 43 Mapping Register  
DMA Channel 44 Mapping Register  
DMA Channel 45 Mapping Register  
DMA Channel 46 Mapping Register  
DMA Channel 47 Mapping Register  
DMA Channel 48 Mapping Register  
DMA Channel 49 Mapping Register  
DMA Channel 50 Mapping Register  
DMA Channel 51 Mapping Register  
DMA Channel 52 Mapping Register  
DMA Channel 53 Mapping Register  
DMA Channel 54 Mapping Register  
DMA Channel 55 Mapping Register  
DMA Channel 56 Mapping Register  
DMA Channel 57 Mapping Register  
DMA Channel 58 Mapping Register  
DMA Channel 59 Mapping Register  
DMA Channel 60 Mapping Register  
DMA Channel 61 Mapping Register  
DMA Channel 62 Mapping Register  
0274 0150  
0274 0154  
0274 0158  
0274 015C  
0274 0160  
0274 0164  
0274 0168  
0274 016C  
0274 0170  
0274 0174  
0274 0178  
0274 017C  
0274 0180  
0274 0184  
0274 0188  
0274 018C  
0274 0190  
0274 0194  
0274 0198  
0274 019C  
0274 01A0  
0274 01A4  
0274 01A8  
0274 01AC  
0274 01B0  
0274 01B4  
0274 01B8  
0274 01BC  
0274 01C0  
0274 01C4  
0274 01C8  
0274 01CC  
0274 01D0  
0274 01D4  
0274 01D8  
0274 01DC  
0274 01E0  
0274 01E4  
0274 01E8  
0274 01EC  
0274 01F0  
0274 01F4  
0274 01F8  
142  
TMS320C6678 Peripheral Information and Electrical Specifications  
Copyright 2010 Texas Instruments Incorporated  
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
Table 7-17  
EDMA3 Channel Controller 2 Registers (Part 3 of 14)  
Hex Address  
Acronym  
DCHMAP63  
QCHMAP0  
QCHMAP1  
QCHMAP2  
QCHMAP3  
QCHMAP4  
QCHMAP5  
QCHMAP6  
QCHMAP7  
-
Register Name  
0274 01FC  
0274 0200  
DMA Channel 63 Mapping Register  
QDMA Channel 0 Mapping Register  
QDMA Channel 1 Mapping Register  
QDMA Channel 2 Mapping Register  
QDMA Channel 3 Mapping Register  
QDMA Channel 4 Mapping Register  
QDMA Channel 5 Mapping Register  
QDMA Channel 6 Mapping Register  
QDMA Channel 7 Mapping Register  
Reserved  
0274 0204  
0274 0208  
0274 020C  
0274 0210  
0274 0214  
0274 0218  
0274 021C  
0274 0220 - 0274 023C  
0274 0240  
DMAQNUM0  
DMAQNUM1  
DMAQNUM2  
DMAQNUM3  
DMAQNUM4  
DMAQNUM5  
DMAQNUM6  
DMAQNUM7  
QDMAQNUM  
-
DMA Queue Number Register 0  
DMA Queue Number Register 1  
DMA Queue Number Register 2  
DMA Queue Number Register 3  
DMA Queue Number Register 4  
DMA Queue Number Register 5  
DMA Queue Number Register 6  
DMA Queue Number Register 7  
QDMA Queue Number Register  
Reserved  
0274 0244  
0274 0248  
0274 024C  
0274 0250  
0274 0254  
0274 0258  
0274 025C  
0274 0260  
0274 0264 - 0274 027C  
0274 0280  
QUETCMAP  
QUEPRI  
Queue to TC Mapping Register  
Queue Priority Register  
0274 0284  
0274 0288 - 0274 02FC  
0274 0300  
-
Reserved  
EMR  
Event Missed Register  
0274 0304  
EMRH  
Event Missed Register High  
Event Missed Clear Register  
Event Missed Clear Register High  
QDMA Event Missed Register  
QDMA Event Missed Clear Register  
EDMA3CC Error Register  
0274 0308  
EMCR  
0274 030C  
EMCRH  
0274 0310  
QEMR  
0274 0314  
QEMCR  
0274 0318  
CCERR  
0274 031C  
CCERRCLR  
EEVAL  
EDMA3CC Error Clear Register  
Error Evaluate Register  
0274 0320  
0274 0324 - 0274 033C  
0274 0340  
-
Reserved  
DRAE0  
DMA Region Access Enable Register for Region 0  
DMA Region Access Enable Register High for Region 0  
DMA Region Access Enable Register for Region 1  
DMA Region Access Enable Register High for Region 1  
DMA Region Access Enable Register for Region 2  
DMA Region Access Enable Register High for Region 2  
DMA Region Access Enable Register for Region 3  
DMA Region Access Enable Register High for Region 3  
DMA Region Access Enable Register for Region 4  
DMA Region Access Enable Register High for Region 4  
DMA Region Access Enable Register for Region 5  
0274 0344  
DRAEH0  
DRAE1  
0274 0348  
0274 034C  
DRAEH1  
DRAE2  
0274 0350  
0274 0354  
DRAEH2  
DRAE3  
0274 0358  
0274 035C  
DRAEH3  
DRAE4  
0274 0360  
0274 0364  
DRAEH4  
DRAE5  
0274 0368  
Copyright 2010 Texas Instruments Incorporated  
TMS320C6678 Peripheral Information and Electrical Specifications 143  
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
Table 7-17  
EDMA3 Channel Controller 2 Registers (Part 4 of 14)  
Hex Address  
0274 036C  
Acronym  
DRAEH5  
DRAE6  
DRAEH6  
DRAE7  
DRAEH7  
QRAE0  
QRAE1  
QRAE2  
QRAE3  
QRAE4  
QRAE5  
QRAE6  
QRAE7  
Q0E0  
Register Name  
DMA Region Access Enable Register High for Region 5  
DMA Region Access Enable Register for Region 6  
DMA Region Access Enable Register High for Region 6  
DMA Region Access Enable Register for Region 7  
DMA Region Access Enable Register High for Region 7  
QDMA Region Access Enable Register for Region 0  
QDMA Region Access Enable Register for Region 1  
QDMA Region Access Enable Register for Region 2  
QDMA Region Access Enable Register for Region 3  
QDMA Region Access Enable Register for Region 4  
QDMA Region Access Enable Register for Region 5  
QDMA Region Access Enable Register for Region 6  
QDMA Region Access Enable Register for Region 7  
Event Queue 0 Entry Register 0  
0274 0370  
0274 0374  
0274 0378  
0274 037C  
0274 0380  
0274 0384  
0274 0388  
0274 038C  
0274 0390  
0274 0394  
0274 0398  
0274 039C  
0274 0400  
0274 0404  
0274 0408  
0274 040C  
0274 0410  
0274 0414  
0274 0418  
0274 041C  
0274 0420  
0274 0424  
0274 0428  
0274 042C  
0274 0430  
0274 0434  
0274 0438  
0274 043C  
0274 0440  
0274 0444  
0274 0448  
0274 044C  
0274 0450  
0274 0454  
0274 0458  
0274 045C  
0274 0460  
0274 0464  
0274 0468  
0274 046C  
0274 0470  
0274 0474  
0274 0478  
Q0E1  
Event Queue 0 Entry Register 1  
Q0E2  
Event Queue 0 Entry Register 2  
Q0E3  
Event Queue 0 Entry Register 3  
Q0E4  
Event Queue 0 Entry Register 4  
Q0E5  
Event Queue 0 Entry Register 5  
Q0E6  
Event Queue 0 Entry Register 6  
Q0E7  
Event Queue 0 Entry Register 7  
Q0E8  
Event Queue 0 Entry Register 8  
Q0E9  
Event Queue 0 Entry Register 9  
Q0E10  
Q0E11  
Q0E12  
Q0E13  
Q0E14  
Q0E15  
Q1E0  
Event Queue 0 Entry Register 10  
Event Queue 0 Entry Register 11  
Event Queue 0 Entry Register 12  
Event Queue 0 Entry Register 13  
Event Queue 0 Entry Register 14  
Event Queue 0 Entry Register 15  
Event Queue 1 Entry Register 0  
Q1E1  
Event Queue 1 Entry Register 1  
Q1E2  
Event Queue 1 Entry Register 2  
Q1E3  
Event Queue 1 Entry Register 3  
Q1E4  
Event Queue 1 Entry Register 4  
Q1E5  
Event Queue 1 Entry Register 5  
Q1E6  
Event Queue 1 Entry Register 6  
Q1E7  
Event Queue 1 Entry Register 7  
Q1E8  
Event Queue 1 Entry Register 8  
Q1E9  
Event Queue 1 Entry Register 9  
Q1E10  
Q1E11  
Q1E12  
Q1E13  
Q1E14  
Event Queue 1 Entry Register 10  
Event Queue 1 Entry Register 11  
Event Queue 1 Entry Register 12  
Event Queue 1 Entry Register 13  
Event Queue 1 Entry Register 14  
144  
TMS320C6678 Peripheral Information and Electrical Specifications  
Copyright 2010 Texas Instruments Incorporated  
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
Table 7-17  
EDMA3 Channel Controller 2 Registers (Part 5 of 14)  
Hex Address  
Acronym  
Q1E15  
Q2E0  
Register Name  
0274 047C  
0274 0480  
Event Queue 1 Entry Register 15  
Event Queue 2 Entry Register 0  
Event Queue 2 Entry Register 1  
Event Queue 2 Entry Register 2  
Event Queue 2 Entry Register 3  
Event Queue 2 Entry Register 4  
Event Queue 2 Entry Register 5  
Event Queue 2 Entry Register 6  
Event Queue 2 Entry Register 7  
Event Queue 2 Entry Register 8  
Event Queue 2 Entry Register 9  
Event Queue 2 Entry Register 10  
Event Queue 2 Entry Register 11  
Event Queue 2 Entry Register 12  
Event Queue 2 Entry Register 13  
Event Queue 2 Entry Register 14  
Event Queue 2 Entry Register 15  
Event Queue 3 Entry Register 0  
Event Queue 3 Entry Register 1  
Event Queue 3 Entry Register 2  
Event Queue 3 Entry Register 3  
Event Queue 3 Entry Register 4  
Event Queue 3 Entry Register 5  
Event Queue 3 Entry Register 6  
Event Queue 3 Entry Register 7  
Event Queue 3 Entry Register 8  
Event Queue 3 Entry Register 9  
Event Queue 3 Entry Register 10  
Event Queue 3 Entry Register 11  
Event Queue 3 Entry Register 12  
Event Queue 3 Entry Register 13  
Event Queue 3 Entry Register 14  
Event Queue 3 Entry Register 15  
Reserved  
0274 0484  
Q2E1  
0274 0488  
Q2E2  
0274 048C  
Q2E3  
0274 0490  
Q2E4  
0274 0494  
Q2E5  
0274 0498  
Q2E6  
0274 049C  
Q2E7  
0274 04A0  
Q2E8  
0274 04A4  
Q2E9  
0274 04A8  
Q2E10  
Q2E11  
Q2E12  
Q2E13  
Q2E14  
Q2E15  
Q3E0  
0274 04AC  
0274 04B0  
0274 04B4  
0274 04B8  
0274 04BC  
0274 04C0  
0274 04C4  
Q3E1  
0274 04C8  
Q3E2  
0274 04CC  
0274 04D0  
0274 04D4  
0274 04D8  
0274 04DC  
0274 04E0  
Q3E3  
Q3E4  
Q3E5  
Q3E6  
Q3E7  
Q3E8  
0274 04E4  
Q3E9  
0274 04E8  
Q3E10  
Q3E11  
Q3E12  
Q3E13  
Q3E14  
Q3E15  
-
0274 04EC  
0274 04F0  
0274 04F4  
0274 04F8  
0274 04FC  
0274 0500 - 0274 05FC  
0274 0600  
QSTAT0  
QSTAT1  
QSTAT2  
QSTAT3  
-
Queue Status Register 0  
0274 0604  
Queue Status Register 1  
0274 0608  
Queue Status Register 2  
0274 060C  
Queue Status Register 3  
0274 0610 - 0274 061C  
0274 0620  
Reserved  
QWMTHRA  
QWMTHRB  
-
Queue Watermark Threshold A Register  
Queue Watermark Threshold B Register  
Reserved  
0274 0624  
0274 0628 - 0274 063C  
0274 0640  
CCSTAT  
-
EDMA3CC Status Register  
Reserved  
0274 0644 - 0274 06FC  
Copyright 2010 Texas Instruments Incorporated  
TMS320C6678 Peripheral Information and Electrical Specifications 145  
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
Table 7-17  
EDMA3 Channel Controller 2 Registers (Part 6 of 14)  
Hex Address  
0274 0700 - 0274 07FC  
Acronym  
-
Register Name  
Reserved  
0274 0800  
0274 0804  
0274 0808  
0274 080C  
0274 0810  
0274 0814  
0274 0818  
0274 081C  
0274 0820  
0274 0824  
0274 0828  
0274 082C  
0274 082C - 0274 0FFC  
0274 1000  
0274 1004  
0274 1008  
0274 100C  
0274 1010  
0274 1014  
0274 1018  
0274 101C  
0274 1020  
0274 1024  
0274 1028  
0274 102C  
0274 1030  
0274 1034  
0274 1038  
0274 103C  
0274 1040  
0274 1044  
0274 1048 - 0274 104C  
0274 1050  
0274 1054  
0274 1058  
0274 105C  
0274 1060  
0274 1064  
0274 1068  
0274 106C  
0274 1070  
0274 1074  
0274 1078  
MPFAR  
MPFSR  
MPFCR  
MPPAG  
MPPA0  
MPPA1  
MPPA2  
MPPA3  
MPPA4  
MPPA5  
MPPA6  
MPPA7  
-
Memory Protection Fault Address Register  
Memory Protection Fault Status Register  
Memory Protection Fault Command Register  
Memory Protection Page Attribute Register G  
Memory Protection Page Attribute Register 0  
Memory Protection Page Attribute Register 1  
Memory Protection Page Attribute Register 2  
Memory Protection Page Attribute Register 3  
Memory Protection Page Attribute Register 4  
Memory Protection Page Attribute Register 5  
Memory Protection Page Attribute Register 6  
Memory Protection Page Attribute Register 7  
Reserved  
ER  
Event Register  
ERH  
Event Register High  
ECR  
Event Clear Register  
ECRH  
ESR  
Event Clear Register High  
Event Set Register  
ESRH  
CER  
Event Set Register High  
Chained Event Register  
CERH  
EER  
Chained Event Register High  
Event Enable Register  
EERH  
EECR  
EECRH  
EESR  
EESRH  
SER  
Event Enable Register High  
Event Enable Clear Register  
Event Enable Clear Register High  
Event Enable Set Register  
Event Enable Set Register High  
Secondary Event Register  
SERH  
SECR  
SECRH  
-
Secondary Event Register High  
Secondary Event Clear Register  
Secondary Event Clear Register High  
Reserved  
IER  
Interrupt Enable Register  
IERH  
Interrupt Enable High Register  
Interrupt Enable Clear Register  
Interrupt Enable Clear High Register  
Interrupt Enable Set Register  
Interrupt Enable Set High Register  
Interrupt Pending Register  
IECR  
IECRH  
IESR  
IESRH  
IPR  
IPRH  
Interrupt Pending High Register  
Interrupt Clear Register  
ICR  
ICRH  
IEVAL  
Interrupt Clear High Register  
Interrupt Evaluate Register  
146  
TMS320C6678 Peripheral Information and Electrical Specifications  
Copyright 2010 Texas Instruments Incorporated  
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
Table 7-17  
EDMA3 Channel Controller 2 Registers (Part 7 of 14)  
Hex Address  
Acronym  
-
Register Name  
0274 107C  
0274 1080  
Reserved  
QER  
QDMA Event Register  
0274 1084  
QEER  
QEECR  
QEESR  
QSER  
QSECR  
-
QDMA Event Enable Register  
QDMA Event Enable Clear Register  
QDMA Event Enable Set Register  
QDMA Secondary Event Register  
0274 1088  
0274 108C  
0274 1090  
0274 1094  
QDMA Secondary Event Clear Register  
Reserved  
0274 1098 - 0274 1FFF  
Shadow Region 0 Channel Registers  
Event Register  
0274 2000  
0274 2004  
0274 2008  
0274 200C  
0274 2010  
0274 2014  
0274 2018  
0274 201C  
0274 2020  
0274 2024  
0274 2028  
0274 202C  
0274 2030  
0274 2034  
0274 2038  
0274 203C  
0274 2040  
0274 2044  
0274 2048 - 0274 204C  
0274 2050  
0274 2054  
0274 2058  
0274 205C  
0274 2060  
0274 2064  
0274 2068  
0274 206C  
0274 2070  
0274 2074  
0274 2078  
0274 207C  
0274 2080  
0274 2084  
0274 2088  
0274 208C  
ER  
ERH  
Event Register High  
ECR  
Event Clear Register  
ECRH  
ESR  
Event Clear Register High  
Event Set Register  
ESRH  
CER  
Event Set Register High  
Chained Event Register  
CERH  
EER  
Chained Event Register High  
Event Enable Register  
EERH  
EECR  
EECRH  
EESR  
EESRH  
SER  
Event Enable Register High  
Event Enable Clear Register  
Event Enable Clear Register High  
Event Enable Set Register  
Event Enable Set Register High  
Secondary Event Register  
Secondary Event Register High  
Secondary Event Clear Register  
Secondary Event Clear Register High  
Reserved  
SERH  
SECR  
SECRH  
-
IER  
Interrupt Enable Register  
Interrupt Enable Register High  
Interrupt Enable Clear Register  
Interrupt Enable Clear Register High  
Interrupt Enable Set Register  
Interrupt Enable Set Register High  
Interrupt Pending Register  
Interrupt Pending Register High  
Interrupt Clear Register  
IERH  
IECR  
IECRH  
IESR  
IESRH  
IPR  
IPRH  
ICR  
ICRH  
IEVAL  
-
Interrupt Clear Register High  
Interrupt Evaluate Register  
Reserved  
QER  
QDMA Event Register  
QEER  
QEECR  
QEESR  
QDMA Event Enable Register  
QDMA Event Enable Clear Register  
QDMA Event Enable Set Register  
Copyright 2010 Texas Instruments Incorporated  
TMS320C6678 Peripheral Information and Electrical Specifications 147  
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
Table 7-17  
EDMA3 Channel Controller 2 Registers (Part 8 of 14)  
Hex Address  
0274 2090  
Acronym  
QSER  
QSECR  
-
Register Name  
QDMA Secondary Event Register  
0274 2094  
QDMA Secondary Event Clear Register  
Reserved  
0274 2098 - 0274 21FF  
Shadow Region 1 Channel Registers  
Event Register  
0274 2200  
0274 2204  
0274 2208  
0274 220C  
0274 2210  
0274 2214  
0274 2218  
0274 221C  
0274 2220  
0274 2224  
0274 2228  
0274 222C  
0274 2230  
0274 2234  
0274 2238  
0274 223C  
0274 2240  
0274 2244  
0274 2248 - 0274 224C  
0274 2250  
0274 2254  
0274 2258  
0274 225C  
0274 2260  
0274 2264  
0274 2268  
0274 226C  
0274 2270  
0274 2274  
0274 2278  
0274 227C  
0274 2280  
0274 2284  
0274 2288  
0274 228C  
0274 2290  
0274 2294  
0274 2298 - 0274 23FF  
ER  
ERH  
Event Register High  
ECR  
Event Clear Register  
ECRH  
ESR  
Event Clear Register High  
Event Set Register  
ESRH  
CER  
Event Set Register High  
Chained Event Register  
CERH  
EER  
Chained Event Register High  
Event Enable Register  
EERH  
EECR  
EECRH  
EESR  
EESRH  
SER  
Event Enable Register High  
Event Enable Clear Register  
Event Enable Clear Register High  
Event Enable Set Register  
Event Enable Set Register High  
Secondary Event Register  
Secondary Event Register High  
Secondary Event Clear Register  
Secondary Event Clear Register High  
Reserved  
SERH  
SECR  
SECRH  
-
IER  
Interrupt Enable Register  
Interrupt Enable Register High  
Interrupt Enable Clear Register  
Interrupt Enable Clear Register High  
Interrupt Enable Set Register  
Interrupt Enable Set Register High  
Interrupt Pending Register  
Interrupt Pending Register High  
Interrupt Clear Register  
IERH  
IECR  
IECRH  
IESR  
IESRH  
IPR  
IPRH  
ICR  
ICRH  
IEVAL  
-
Interrupt Clear Register High  
Interrupt Evaluate Register  
Reserved  
QER  
QDMA Event Register  
QEER  
QEECR  
QEESR  
QSER  
QSECR  
-
QDMA Event Enable Register  
QDMA Event Enable Clear Register  
QDMA Event Enable Set Register  
QDMA Secondary Event Register  
QDMA Secondary Event Clear Register  
Reserved  
Shadow Region 2 Channel Registers  
Event Register  
0274 2400  
ER  
148  
TMS320C6678 Peripheral Information and Electrical Specifications  
Copyright 2010 Texas Instruments Incorporated  
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
Table 7-17  
EDMA3 Channel Controller 2 Registers (Part 9 of 14)  
Hex Address  
Acronym  
ERH  
Register Name  
0274 2404  
0274 2408  
0274 240C  
0274 2410  
0274 2414  
0274 2418  
0274 241C  
0274 2420  
0274 2424  
0274 2428  
0274 242C  
0274 2430  
0274 2434  
0274 2438  
0274 243C  
0274 2440  
0274 2444  
0274 2448 - 0274 244C  
0274 2450  
0274 2454  
0274 2458  
0274 245C  
0274 2460  
0274 2464  
0274 2468  
0274 246C  
0274 2470  
0274 2474  
0274 2478  
0274 247C  
0274 2480  
0274 2484  
0274 2488  
0274 248C  
0274 2490  
0274 2494  
0274 2498 - 0274 25FF  
Event Register High  
ECR  
Event Clear Register  
ECRH  
ESR  
Event Clear Register High  
Event Set Register  
ESRH  
CER  
Event Set Register High  
Chained Event Register  
CERH  
EER  
Chained Event Register High  
Event Enable Register  
EERH  
EECR  
EECRH  
EESR  
EESRH  
SER  
Event Enable Register High  
Event Enable Clear Register  
Event Enable Clear Register High  
Event Enable Set Register  
Event Enable Set Register High  
Secondary Event Register  
Secondary Event Register High  
Secondary Event Clear Register  
SERH  
SECR  
SECRH  
-
Secondary Event Clear Register High  
Reserved  
IER  
Interrupt Enable Register  
Interrupt Enable Register High  
Interrupt Enable Clear Register  
Interrupt Enable Clear Register High  
Interrupt Enable Set Register  
Interrupt Enable Set Register High  
Interrupt Pending Register  
Interrupt Pending Register High  
Interrupt Clear Register  
IERH  
IECR  
IECRH  
IESR  
IESRH  
IPR  
IPRH  
ICR  
ICRH  
IEVAL  
-
Interrupt Clear Register High  
Interrupt Evaluate Register  
Reserved  
QER  
QDMA Event Register  
QEER  
QEECR  
QEESR  
QSER  
QSECR  
-
QDMA Event Enable Register  
QDMA Event Enable Clear Register  
QDMA Event Enable Set Register  
QDMA Secondary Event Register  
QDMA Secondary Event Clear Register  
Reserved  
Shadow Region 3 Channel Registers  
Event Register  
0274 2600  
0274 2604  
0274 2608  
0274 260C  
0274 2610  
0274 2614  
ER  
ERH  
ECR  
Event Register High  
Event Clear Register  
ECRH  
ESR  
Event Clear Register High  
Event Set Register  
ESRH  
Event Set Register High  
Copyright 2010 Texas Instruments Incorporated  
TMS320C6678 Peripheral Information and Electrical Specifications 149  
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
Table 7-17  
EDMA3 Channel Controller 2 Registers (Part 10 of 14)  
Hex Address  
0274 2618  
Acronym  
CER  
Register Name  
Chained Event Register  
0274 261C  
0274 2620  
0274 2624  
0274 2628  
0274 262C  
0274 2630  
0274 2634  
0274 2638  
0274 263C  
0274 2640  
0274 2644  
0274 2648 - 0274 264C  
0274 2650  
0274 2654  
0274 2658  
0274 265C  
0274 2660  
0274 2664  
0274 2668  
0274 266C  
0274 2670  
0274 2674  
0274 2678  
0274 267C  
0274 2680  
0274 2684  
0274 2688  
0274 268C  
0274 2690  
0274 2694  
0274 2698 - 0274 27FF  
CERH  
EER  
Chained Event Register High  
Event Enable Register  
EERH  
EECR  
EECRH  
EESR  
EESRH  
SER  
Event Enable Register High  
Event Enable Clear Register  
Event Enable Clear Register High  
Event Enable Set Register  
Event Enable Set Register High  
Secondary Event Register  
Secondary Event Register High  
Secondary Event Clear Register  
SERH  
SECR  
SECRH  
-
Secondary Event Clear Register High  
Reserved  
IER  
Interrupt Enable Register  
Interrupt Enable Register High  
Interrupt Enable Clear Register  
Interrupt Enable Clear Register High  
Interrupt Enable Set Register  
Interrupt Enable Set Register High  
Interrupt Pending Register  
Interrupt Pending Register High  
Interrupt Clear Register  
IERH  
IECR  
IECRH  
IESR  
IESRH  
IPR  
IPRH  
ICR  
ICRH  
IEVAL  
-
Interrupt Clear Register High  
Interrupt Evaluate Register  
Reserved  
QER  
QDMA Event Register  
QEER  
QEECR  
QEESR  
QSER  
QSECR  
-
QDMA Event Enable Register  
QDMA Event Enable Clear Register  
QDMA Event Enable Set Register  
QDMA Secondary Event Register  
QDMA Secondary Event Clear Register  
Reserved  
Shadow Region 4 Channel Registers  
Event Register  
0274 2800  
0274 2804  
0274 2808  
0274 280C  
0274 2810  
0274 2814  
0274 2818  
0274 281C  
0274 2820  
0274 2824  
0274 2828  
ER  
ERH  
Event Register High  
ECR  
Event Clear Register  
ECRH  
ESR  
Event Clear Register High  
Event Set Register  
ESRH  
CER  
Event Set Register High  
Chained Event Register  
CERH  
EER  
Chained Event Register High  
Event Enable Register  
EERH  
EECR  
Event Enable Register High  
Event Enable Clear Register  
150  
TMS320C6678 Peripheral Information and Electrical Specifications  
Copyright 2010 Texas Instruments Incorporated  
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
Table 7-17  
EDMA3 Channel Controller 2 Registers (Part 11 of 14)  
Hex Address  
Acronym  
EECRH  
EESR  
EESRH  
SER  
Register Name  
0274 282C  
0274 2830  
Event Enable Clear Register High  
Event Enable Set Register  
0274 2834  
Event Enable Set Register High  
Secondary Event Register  
0274 2838  
0274 283C  
0274 2840  
SERH  
SECR  
SECRH  
-
Secondary Event Register High  
Secondary Event Clear Register  
0274 2844  
Secondary Event Clear Register High  
Reserved  
0274 2848 - 0274 284C  
0274 2850  
IER  
Interrupt Enable Register  
Interrupt Enable Register High  
Interrupt Enable Clear Register  
Interrupt Enable Clear Register High  
Interrupt Enable Set Register  
Interrupt Enable Set Register High  
Interrupt Pending Register  
Interrupt Pending Register High  
Interrupt Clear Register  
0274 2854  
IERH  
IECR  
IECRH  
IESR  
0274 2858  
0274 285C  
0274 2860  
0274 2864  
IESRH  
IPR  
0274 2868  
0274 286C  
0274 2870  
IPRH  
ICR  
0274 2874  
ICRH  
IEVAL  
-
Interrupt Clear Register High  
Interrupt Evaluate Register  
Reserved  
0274 2878  
0274 287C  
0274 2880  
QER  
QDMA Event Register  
0274 2884  
QEER  
QEECR  
QEESR  
QSER  
QSECR  
-
QDMA Event Enable Register  
QDMA Event Enable Clear Register  
QDMA Event Enable Set Register  
QDMA Secondary Event Register  
QDMA Secondary Event Clear Register  
Reserved  
0274 2888  
0274 288C  
0274 2890  
0274 2894  
0274 2898 - 0274 29FF  
Shadow Region 5 Channel Registers  
Event Register  
0274 2A00  
0274 2A04  
0274 2A08  
0274 2A0C  
0274 2A10  
0274 2A14  
0274 2A18  
0274 2A1C  
0274 2A20  
0274 2A24  
0274 2A28  
0274 2A2C  
0274 2A30  
0274 2A34  
0274 2A38  
0274 2A3C  
ER  
ERH  
Event Register High  
ECR  
Event Clear Register  
ECRH  
ESR  
Event Clear Register High  
Event Set Register  
ESRH  
CER  
Event Set Register High  
Chained Event Register  
CERH  
EER  
Chained Event Register High  
Event Enable Register  
EERH  
EECR  
EECRH  
EESR  
EESRH  
SER  
Event Enable Register High  
Event Enable Clear Register  
Event Enable Clear Register High  
Event Enable Set Register  
Event Enable Set Register High  
Secondary Event Register  
Secondary Event Register High  
SERH  
Copyright 2010 Texas Instruments Incorporated  
TMS320C6678 Peripheral Information and Electrical Specifications 151  
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
Table 7-17  
EDMA3 Channel Controller 2 Registers (Part 12 of 14)  
Hex Address  
0274 2A40  
Acronym  
SECR  
SECRH  
-
Register Name  
Secondary Event Clear Register  
0274 2A44  
0274 2A48 - 0274 2A4C  
0274 2A50  
Secondary Event Clear Register High  
Reserved  
IER  
Interrupt Enable Register  
Interrupt Enable Register High  
Interrupt Enable Clear Register  
Interrupt Enable Clear Register High  
Interrupt Enable Set Register  
Interrupt Enable Set Register High  
Interrupt Pending Register  
Interrupt Pending Register High  
Interrupt Clear Register  
0274 2A54  
IERH  
IECR  
IECRH  
IESR  
0274 2A58  
0274 2A5C  
0274 2A60  
0274 2A64  
IESRH  
IPR  
0274 2A68  
0274 2A6C  
IPRH  
ICR  
0274 2A70  
0274 2A74  
ICRH  
IEVAL  
-
Interrupt Clear Register High  
Interrupt Evaluate Register  
Reserved  
0274 2A78  
0274 2A7C  
0274 2A80  
QER  
QDMA Event Register  
0274 2A84  
QEER  
QEECR  
QEESR  
QSER  
QSECR  
-
QDMA Event Enable Register  
QDMA Event Enable Clear Register  
QDMA Event Enable Set Register  
QDMA Secondary Event Register  
QDMA Secondary Event Clear Register  
Reserved  
0274 2A88  
0274 2A8C  
0274 2A90  
0274 2A94  
0274 2A98 - 0274 2BFF  
Shadow Region 6 Channel Registers  
Event Register  
0274 2C00  
0274 2C04  
0274 2C08  
0274 2C0C  
0274 2C10  
0274 2C14  
0274 2C18  
0274 2C1C  
0274 2C20  
0274 2C24  
0274 2C28  
0274 2C2C  
0274 2C30  
0274 2C34  
0274 2C38  
0274 2C3C  
0274 2C40  
0274 2C44  
0274 2C48 - 0274 2C4C  
0274 2C50  
0274 2C54  
ER  
ERH  
Event Register High  
ECR  
Event Clear Register  
ECRH  
ESR  
Event Clear Register High  
Event Set Register  
ESRH  
CER  
Event Set Register High  
Chained Event Register  
CERH  
EER  
Chained Event Register High  
Event Enable Register  
EERH  
EECR  
EECRH  
EESR  
EESRH  
SER  
Event Enable Register High  
Event Enable Clear Register  
Event Enable Clear Register High  
Event Enable Set Register  
Event Enable Set Register High  
Secondary Event Register  
Secondary Event Register High  
Secondary Event Clear Register  
Secondary Event Clear Register High  
Reserved  
SERH  
SECR  
SECRH  
-
IER  
Interrupt Enable Register  
Interrupt Enable Register High  
IERH  
152  
TMS320C6678 Peripheral Information and Electrical Specifications  
Copyright 2010 Texas Instruments Incorporated  
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
Table 7-17  
EDMA3 Channel Controller 2 Registers (Part 13 of 14)  
Hex Address  
Acronym  
IECR  
IECRH  
IESR  
Register Name  
0274 2C58  
0274 2C5C  
0274 2C60  
Interrupt Enable Clear Register  
Interrupt Enable Clear Register High  
Interrupt Enable Set Register  
Interrupt Enable Set Register High  
Interrupt Pending Register  
Interrupt Pending Register High  
Interrupt Clear Register  
0274 2C64  
IESRH  
IPR  
0274 2C68  
0274 2C6C  
0274 2C70  
IPRH  
ICR  
0274 2C74  
ICRH  
IEVAL  
-
Interrupt Clear Register High  
Interrupt Evaluate Register  
Reserved  
0274 2C78  
0274 2C7C  
0274 2C80  
QER  
QDMA Event Register  
0274 2C84  
QEER  
QEECR  
QEESR  
QSER  
QSECR  
-
QDMA Event Enable Register  
QDMA Event Enable Clear Register  
QDMA Event Enable Set Register  
QDMA Secondary Event Register  
QDMA Secondary Event Clear Register  
Reserved  
0274 2C88  
0274 2C8C  
0274 2C90  
0274 2C94  
0274 2C98 - 0274 2DFF  
Shadow Region 7 Channel Registers  
Event Register  
0274 2E00  
0274 2E04  
0274 2E08  
0274 2E0C  
0274 2E10  
0274 2E14  
0274 2E18  
0274 2E1C  
0274 2E20  
0274 2E24  
0274 2E28  
0274 2E2C  
0274 2E30  
0274 2E34  
0274 2E38  
0274 2E3C  
0274 2E40  
0274 2E44  
0274 2E48 - 0274 2E4C  
0274 2E50  
0274 2E54  
0274 2E58  
0274 2E5C  
0274 2E60  
0274 2E64  
0274 2E68  
ER  
ERH  
Event Register High  
ECR  
Event Clear Register  
ECRH  
ESR  
Event Clear Register High  
Event Set Register  
ESRH  
CER  
Event Set Register High  
Chained Event Register  
CERH  
EER  
Chained Event Register High  
Event Enable Register  
EERH  
EECR  
EECRH  
EESR  
EESRH  
SER  
Event Enable Register High  
Event Enable Clear Register  
Event Enable Clear Register High  
Event Enable Set Register  
Event Enable Set Register High  
Secondary Event Register  
Secondary Event Register High  
Secondary Event Clear Register  
Secondary Event Clear Register High  
Reserved  
SERH  
SECR  
SECRH  
-
IER  
Interrupt Enable Register  
Interrupt Enable Register High  
Interrupt Enable Clear Register  
Interrupt Enable Clear Register High  
Interrupt Enable Set Register  
Interrupt Enable Set Register High  
Interrupt Pending Register  
IERH  
IECR  
IECRH  
IESR  
IESRH  
IPR  
Copyright 2010 Texas Instruments Incorporated  
TMS320C6678 Peripheral Information and Electrical Specifications 153  
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
Table 7-17  
EDMA3 Channel Controller 2 Registers (Part 14 of 14)  
Hex Address  
0274 2E6C  
Acronym  
IPRH  
ICR  
Register Name  
Interrupt Pending Register High  
Interrupt Clear Register  
0274 2E70  
0274 2E74  
ICRH  
IEVAL  
-
Interrupt Clear Register High  
Interrupt Evaluate Register  
Reserved  
0274 2E78  
0274 2E7C  
0274 2E80  
QER  
QDMA Event Register  
0274 2E84  
QEER  
QEECR  
QEESR  
QSER  
QSECR  
-
QDMA Event Enable Register  
QDMA Event Enable Clear Register  
QDMA Event Enable Set Register  
QDMA Secondary Event Register  
0274 2E88  
0274 2E8C  
0274 2E90  
0274 2E94  
QDMA Secondary Event Clear Register  
Reserved  
0274 2E98 - 0274 2FFF  
End of Table 7-17  
Table 7-18  
EDMA3 Channel Controller 0 Parameter RAM  
Hex Address Range  
0270 4000 - 0270 401F  
Acronym  
Register Name  
-
-
-
-
-
-
-
-
-
-
Parameter Set 0  
Parameter Set 1  
Parameter Set 2  
Parameter Set 3  
Parameter Set 4  
Parameter Set 5  
Parameter Set 6  
Parameter Set 7  
Parameter Set 8  
Parameter Set 9  
...  
0270 4020 - 0270 403F  
0270 4040 - 0270 405F  
0270 4060 - 0270 407F  
0270 4080 - 0270 409F  
0270 40A0 - 0270 40BF  
0270 40C0 - 0270 40DF  
0270 40E0 - 0270 40FF  
0270 4100 - 0270 411F  
0270 4120 - 0270 413F  
...  
0270 4FC0 - 0270 4FDF  
0270 4FE0 - 0270 4FFF  
End of Table 7-18  
-
-
Parameter Set 126  
Parameter Set 127  
Table 7-19  
EDMA3 Channel Controller 1 Parameter RAM (Part 1 of 2)  
Hex Address Range  
Acronym  
Register Name  
Parameter Set 0  
Parameter Set 1  
Parameter Set 2  
Parameter Set 3  
Parameter Set 4  
Parameter Set 5  
Parameter Set 6  
Parameter Set 7  
Parameter Set 8  
Parameter Set 9  
0272 4000 - 0272 401F  
0272 4020 - 0272 403F  
0272 4040 - 0272 405F  
0272 4060 - 0272 407F  
0272 4080 - 0272 409F  
0272 40A0 - 0272 40BF  
0272 40C0 - 0272 40DF  
0272 40E0 - 0272 40FF  
0272 4100 - 0272 411F  
0272 4120 - 0272 413F  
-
-
-
-
-
-
-
-
-
-
154  
TMS320C6678 Peripheral Information and Electrical Specifications  
Copyright 2010 Texas Instruments Incorporated  
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
Table 7-19  
EDMA3 Channel Controller 1 Parameter RAM (Part 2 of 2)  
Hex Address Range  
Acronym  
Register Name  
...  
...  
0272 47E0 - 0272 47FF  
0272 4800 - 0272 481F  
0272 4820 - 0272 483F  
...  
-
-
-
Parameter Set 63  
Parameter Set 64  
Parameter Set 65  
...  
0272 5FC0 - 0272 7FDF  
0272 5FE0 - 0272 7FFF  
End of Table 7-19  
-
-
Parameter Set 510  
Parameter Set 511  
Table 7-20  
EDMA3 Channel Controller 2 Parameter RAM  
Hex Address Range  
0274 4000 - 0274 401F  
Acronym  
Register Name  
-
-
-
-
-
-
-
-
-
-
Parameter Set 0  
Parameter Set 1  
Parameter Set 2  
Parameter Set 3  
Parameter Set 4  
Parameter Set 5  
Parameter Set 6  
Parameter Set 7  
Parameter Set 8  
Parameter Set 9  
...  
0274 4020 - 0274 403F  
0274 4040 - 0274 405F  
0274 4060 - 0274 407F  
0274 4080 - 0274 409F  
0274 40A0 - 0274 40BF  
0274 40C0 - 0274 40DF  
0274 40E0 - 0274 40FF  
0274 4100 - 0274 411F  
0274 4120 - 0274 413F  
...  
0274 47E0 - 0274 47FF  
0274 4800 - 0274 481F  
0274 4820 - 0274 483F  
...  
-
-
-
Parameter Set 63  
Parameter Set 64  
Parameter Set 65  
...  
0274 5FC0 - 0274 5FDF  
0274 5FE0 - 0274 5FFF  
End of Table 7-20  
-
-
Parameter Set 254  
Parameter Set 255  
Table 7-21  
EDMA3 TPCC0 Transfer Controller 0 Registers (Part 1 of 3)  
Hex Address Range  
Acronym  
PID  
Register Name  
0276 0000  
0276 0004  
Peripheral Identification Register  
EDMA3TC Configuration Register  
Reserved  
TCCFG  
-
0276 0008 - 0276 00FC  
0276 0100  
TCSTAT  
-
EDMA3TC Channel Status Register  
Reserved  
0276 0104 - 0276 011C  
0276 0120  
ERRSTAT  
ERREN  
ERRCLR  
ERRDET  
ERRCMD  
-
Error Register  
0276 0124  
Error Enable Register  
Error Clear Register  
Error Details Register  
Error Interrupt Command Register  
Reserved  
0276 0128  
0276 012C  
0276 0130  
0276 0134 - 0276 013C  
Copyright 2010 Texas Instruments Incorporated  
TMS320C6678 Peripheral Information and Electrical Specifications 155  
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
Table 7-21  
EDMA3 TPCC0 Transfer Controller 0 Registers (Part 2 of 3)  
Hex Address Range  
Acronym  
RDRATE  
-
Register Name  
0276 0140  
0276 0144 - 0276 023C  
0276 0240  
Read Rate Register  
Reserved  
SAOPT  
Source Active Options Register  
0276 0244  
SASRC  
Source Active Source Address Register  
Source Active Count Register  
0276 0248  
SACNT  
0276 024C  
SADST  
Source Active Destination Address Register  
Source Active Source B-Index Register  
Source Active Memory Protection Proxy Register  
Source Active Count Reload Register  
Source Active Source Address B-Reference Register  
Source Active Destination Address B-Reference Register  
Reserved  
0276 0250  
SABIDX  
SAMPPRXY  
SACNTRLD  
SASRCBREF  
SADSTBREF  
-
0276 0254  
0276 0258  
0276 025C  
0276 0260  
0276 0264 - 0276 027C  
0276 0280  
DFCNTRLD  
DFSRCBREF  
DFDSTBREF  
-
Destination FIFO Set Count Reload  
Destination FIFO Set Destination Address B Reference Register  
Destination FIFO Set Destination Address B Reference Register  
Reserved  
0276 0284  
0276 0288  
0276 028C - 0276 02FC  
0276 0300  
DFOPT0  
DFSRC0  
DFCNT0  
DFDST0  
DFBIDX0  
DFMPPRXY0  
-
Destination FIFO Options Register 0  
Destination FIFO Source Address Register 0  
Destination FIFO Count Register 0  
Destination FIFO Destination Address Register 0  
Destination FIFO BIDX Register 0  
0276 0304  
0276 0308  
0276 030C  
0276 0310  
0276 0314  
Destination FIFO Memory Protection Proxy Register 0  
Reserved  
0276 0318 - 0276 033C  
0276 0340  
DFOPT1  
DFSRC1  
DFCNT1  
DFDST1  
DFBIDX1  
DFMPPRXY1  
-
Destination FIFO Options Register 1  
Destination FIFO Source Address Register 1  
Destination FIFO Count Register 1  
Destination FIFO Destination Address Register 1  
Destination FIFO BIDX Register 1  
0276 0344  
0276 0348  
0276 034C  
0276 0350  
0276 0354  
Destination FIFO Memory Protection Proxy Register 1  
Reserved  
0276 0358 - 0276 037C  
0276 0380  
DFOPT2  
DFSRC2  
DFCNT2  
DFDST2  
DFBIDX2  
DFMPPRXY2  
-
Destination FIFO Options Register 2  
Destination FIFO Source Address Register 2  
Destination FIFO Count Register 2  
Destination FIFO Destination Address Register 2  
Destination FIFO BIDX Register 2  
0276 0384  
0276 0388  
0276 038C  
0276 0390  
0276 0394  
Destination FIFO Memory Protection Proxy Register 2  
Reserved  
0276 0398 - 0276 03BC  
0276 03C0  
DFOPT3  
DFSRC3  
DFCNT3  
DFDST3  
DFBIDX3  
Destination FIFO Options Register 3  
Destination FIFO Source Address Register 3  
Destination FIFO Count Register 3  
Destination FIFO Destination Address Register 3  
Destination FIFO BIDX Register 3  
0276 03C4  
0276 03C8  
0276 03CC  
0276 03D0  
156  
TMS320C6678 Peripheral Information and Electrical Specifications  
Copyright 2010 Texas Instruments Incorporated  
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
Table 7-21  
EDMA3 TPCC0 Transfer Controller 0 Registers (Part 3 of 3)  
Hex Address Range  
Acronym  
DFMPPRXY3  
-
Register Name  
0276 03D4  
0276 03D8 - 0276 7FFC  
End of Table 7-21  
Destination FIFO Memory Protection Proxy Register 3  
Reserved  
Table 7-22  
EDMA3 TPCC0 Transfer Controller 1 Registers (Part 1 of 2)  
Hex Address Range  
Acronym  
PID  
Register Name  
0276 8000  
0276 8004  
Peripheral Identification Register  
EDMA3TC Configuration Register  
Reserved  
TCCFG  
-
0276 8008 - 0276 80FC  
0276 8100  
TCSTAT  
-
EDMA3TC Channel Status Register  
Reserved  
0276 8104 - 0276 811C  
0276 8120  
ERRSTAT  
ERREN  
Error Register  
0276 8124  
Error Enable Register  
0276 8128  
ERRCLR  
ERRDET  
ERRCMD  
-
Error Clear Register  
0276 812C  
Error Details Register  
0276 8130  
Error Interrupt Command Register  
Reserved  
0276 8134 - 0276 813C  
0276 8140  
RDRATE  
-
Read Rate Register  
0276 8144 - 0276 823C  
0276 8240  
Reserved  
SAOPT  
SASRC  
Source Active Options Register  
Source Active Source Address Register  
Source Active Count Register  
Source Active Destination Address Register  
Source Active Source B-Index Register  
Source Active Memory Protection Proxy Register  
Source Active Count Reload Register  
Source Active Source Address B-Reference Register  
Source Active Destination Address B-Reference Register  
Reserved  
0276 8244  
0276 8248  
SACNT  
SADST  
SABIDX  
SAMPPRXY  
SACNTRLD  
SASRCBREF  
SADSTBREF  
-
0276 824C  
0276 8250  
0276 8254  
0276 8258  
0276 825C  
0276 8260  
0276 8264 - 0276 827C  
0276 8280  
DFCNTRLD  
DFSRCBREF  
DFDSTBREF  
-
Destination FIFO Set Count Reload  
Destination FIFO Set Destination Address B Reference Register  
Destination FIFO Set Destination Address B Reference Register  
Reserved  
0276 8284  
0276 8288  
0276 828C - 0276 82FC  
0276 8300  
DFOPT0  
DFSRC0  
DFCNT0  
DFDST0  
DFBIDX0  
DFM PPRXY0  
-
Destination FIFO Options Register 0  
Destination FIFO Source Address Register 0  
Destination FIFO Count Register 0  
Destination FIFO Destination Address Register 0  
Destination FIFO BIDX Register 0  
Destination FIFO Memory Protection Proxy Register 0  
Reserved  
0276 8304  
0276 8308  
0276 830C  
0276 8310  
0276 8314  
0276 8318 - 0276 833C  
0276 8340  
DFOPT1  
DFSRC1  
DFCNT1  
Destination FIFO Options Register 1  
Destination FIFO Source Address Register 1  
Destination FIFO Count Register 1  
0276 8344  
0276 8348  
Copyright 2010 Texas Instruments Incorporated  
TMS320C6678 Peripheral Information and Electrical Specifications 157  
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
Table 7-22  
EDMA3 TPCC0 Transfer Controller 1 Registers (Part 2 of 2)  
Hex Address Range  
Acronym  
DFDST1  
DFBIDX1  
DFMPPRXY1  
-
Register Name  
0276 834C  
0276 8350  
Destination FIFO Destination Address Register 1  
Destination FIFO BIDX Register 1  
Destination FIFO Memory Protection Proxy Register 1  
Reserved  
0276 8354  
0276 8358 - 0276 837C  
0276 8380  
DFOPT2  
DFSRC2  
DFCNT2  
DFDST2  
DFBIDX2  
DFMPPRXY2  
-
Destination FIFO Options Register 2  
Destination FIFO Source Address Register 2  
Destination FIFO Count Register 2  
Destination FIFO Destination Address Register 2  
Destination FIFO BIDX Register 2  
Destination FIFO Memory Protection Proxy Register 2  
Reserved  
0276 8384  
0276 8388  
0276 838C  
0276 8390  
0276 8394  
0276 8398 - 0276 83BC  
0276 83C0  
DFOPT3  
DFSRC3  
DFCNT3  
DFDST3  
DFBIDX3  
DFMPPRXY3  
-
Destination FIFO Options Register 3  
Destination FIFO Source Address Register 3  
Destination FIFO Count Register 3  
Destination FIFO Destination Address Register 3  
Destination FIFO BIDX Register 3  
Destination FIFO Memory Protection Proxy Register 3  
Reserved  
0276 83C4  
0276 83C8  
0276 83CC  
0276 83D0  
0276 83D4  
0276 83D8 - 0276 FFFC  
End of Table 7-22  
Table 7-23  
EDMA3 TPCC 1 Transfer Controller 0 Registers (Part 1 of 2)  
Hex Address Range  
Acronym  
PID  
Register Name  
0277 0000  
0277 0004  
Peripheral Identification Register  
EDMA3TC Configuration Register  
Reserved  
TCCFG  
-
0277 0008 - 0277 00FC  
0277 0100  
TCSTAT  
-
EDMA3TC Channel Status Register  
Reserved  
0277 0104 - 0277 011C  
0277 0120  
ERRSTAT  
ERREN  
ERRCLR  
ERRDET  
ERRCMD  
-
Error Register  
0277 0124  
Error Enable Register  
0277 0128  
Error Clear Register  
0277 012C  
Error Details Register  
0277 0130  
Error Interrupt Command Register  
Reserved  
0277 0134 - 0277 013C  
0277 0140  
RDRATE  
-
Read Rate Register  
0277 0144 - 0277 023C  
0277 0240  
Reserved  
SAOPT  
SASRC  
SACNT  
SADST  
SABIDX  
SAMPPRXY  
SACNTRLD  
SASRCBREF  
Source Active Options Register  
Source Active Source Address Register  
Source Active Count Register  
Source Active Destination Address Register  
Source Active Source B-Index Register  
Source Active Memory Protection Proxy Register  
Source Active Count Reload Register  
Source Active Source Address B-Reference Register  
0277 0244  
0277 0248  
0277 024C  
0277 0250  
0277 0254  
0277 0258  
0277 025C  
158  
TMS320C6678 Peripheral Information and Electrical Specifications  
Copyright 2010 Texas Instruments Incorporated  
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
Table 7-23  
EDMA3 TPCC 1 Transfer Controller 0 Registers (Part 2 of 2)  
Hex Address Range  
Acronym  
SADSTBREF  
-
Register Name  
0277 0260  
0277 0264 - 0277 027C  
0277 0280  
Source Active Destination Address B-Reference Register  
Reserved  
DFCNTRLD  
DFSRCBREF  
DFDSTBREF  
-
Destination FIFO Set Count Reload  
Destination FIFO Set Destination Address B Reference Register  
Destination FIFO Set Destination Address B Reference Register  
Reserved  
0277 0284  
0277 0288  
0277 028C - 0277 02FC  
0277 0300  
DFOPT0  
DFSRC0  
DFCNT0  
DFDST0  
DFBIDX0  
DFMPPRXY0  
-
Destination FIFO Options Register 0  
Destination FIFO Source Address Register 0  
Destination FIFO Count Register 0  
Destination FIFO Destination Address Register 0  
Destination FIFO BIDX Register 0  
0277 0304  
0277 0308  
0277 030C  
0277 0310  
0277 0314  
Destination FIFO Memory Protection Proxy Register 0  
Reserved  
0277 0318 - 0277 033C  
0277 0340  
DFOPT1  
DFSRC1  
DFCNT1  
DFDST1  
DFBIDX1  
DFMPPRXY1  
-
Destination FIFO Options Register 1  
Destination FIFO Source Address Register 1  
Destination FIFO Count Register 1  
Destination FIFO Destination Address Register 1  
Destination FIFO BIDX Register 1  
0277 0344  
0277 0348  
0277 034C  
0277 0350  
0277 0354  
Destination FIFO Memory Protection Proxy Register 1  
Reserved  
0277 0358 - 0277 037C  
0277 0380  
DFOPT2  
DFSRC2  
DFCNT2  
DFDST2  
DFBIDX2  
DFMPPRXY2  
-
Destination FIFO Options Register 2  
Destination FIFO Source Address Register 2  
Destination FIFO Count Register 2  
Destination FIFO Destination Address Register 2  
Destination FIFO BIDX Register 2  
0277 0384  
0277 0388  
0277 038C  
0277 0390  
0277 0394  
Destination FIFO Memory Protection Proxy Register 2  
Reserved  
0277 0398 - 0277 03BC  
0277 03C0  
DFOPT3  
DFSRC3  
DFCNT3  
DFDST3  
DFBIDX3  
DFMPPRXY3  
-
Destination FIFO Options Register 3  
Destination FIFO Source Address Register 3  
Destination FIFO Count Register 3  
Destination FIFO Destination Address Register 3  
Destination FIFO BIDX Register 3  
0277 03C4  
0277 03C8  
0277 03CC  
0277 03D0  
0277 03D4  
Destination FIFO Memory Protection Proxy Register 3  
Reserved  
0277 03D8 - 0277 7FFC  
End of Table 7-23  
Table 7-24  
EDMA3 TPCC1 Transfer Controller 1 Registers (Part 1 of 3)  
Hex Address Range  
Acronym  
Register Name  
0277 8000  
0277 8004  
PID  
Peripheral Identification Register  
EDMA3TC Configuration Register  
Reserved  
TCCFG  
0277 8008 - 0277 80FC  
0277 8100  
-
TCSTAT  
-
EDMA3TC Channel Status Register  
Reserved  
0277 8104 - 0277 811C  
Copyright 2010 Texas Instruments Incorporated  
TMS320C6678 Peripheral Information and Electrical Specifications 159  
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
Table 7-24  
EDMA3 TPCC1 Transfer Controller 1 Registers (Part 2 of 3)  
Hex Address Range  
Acronym  
ERRSTAT  
ERREN  
Register Name  
0277 8120  
0277 8124  
Error Register  
Error Enable Register  
0277 8128  
ERRCLR  
ERRDET  
ERRCMD  
-
Error Clear Register  
0277 812C  
Error Details Register  
0277 8130  
Error Interrupt Command Register  
Reserved  
0277 8134 - 0277 813C  
0277 8140  
RDRATE  
-
Read Rate Register  
0277 8144 - 0277 823C  
0277 8240  
Reserved  
SAOPT  
Source Active Options Register  
Source Active Source Address Register  
Source Active Count Register  
0277 8244  
SASRC  
0277 8248  
SACNT  
0277 824C  
SADST  
Source Active Destination Address Register  
Source Active Source B-Index Register  
Source Active Memory Protection Proxy Register  
Source Active Count Reload Register  
Source Active Source Address B-Reference Register  
Source Active Destination Address B-Reference Register  
Reserved  
0277 8250  
SABIDX  
SAMPPRXY  
SACNTRLD  
SASRCBREF  
SADSTBREF  
-
0277 8254  
0277 8258  
0277 825C  
0277 8260  
0277 8264 - 0277 827C  
0277 8280  
DFCNTRLD  
DFSRCBREF  
DFDSTBREF  
-
Destination FIFO Set Count Reload  
Destination FIFO Set Destination Address B Reference Register  
Destination FIFO Set Destination Address B Reference Register  
Reserved  
0277 8284  
0277 8288  
0277 828C - 0277 82FC  
0277 8300  
DFOPT0  
DFSRC0  
DFCNT0  
DFDST0  
DFBIDX0  
DFMPPRXY0  
-
Destination FIFO Options Register 0  
Destination FIFO Source Address Register 0  
Destination FIFO Count Register 0  
Destination FIFO Destination Address Register 0  
Destination FIFO BIDX Register 0  
Destination FIFO Memory Protection Proxy Register 0  
Reserved  
0277 8304  
0277 8308  
0277 830C  
0277 8310  
0277 8314  
0277 8318 - 0277 833C  
0277 8340  
DFOPT1  
DFSRC1  
DFCNT1  
DFDST1  
DFBIDX1  
DFMPPRXY1  
-
Destination FIFO Options Register 1  
Destination FIFO Source Address Register 1  
Destination FIFO Count Register 1  
Destination FIFO Destination Address Register 1  
Destination FIFO BIDX Register 1  
Destination FIFO Memory Protection Proxy Register 1  
Reserved  
0277 8344  
0277 8348  
0277 834C  
0277 8350  
0277 8354  
0277 8358 - 0277 837C  
0277 8380  
DFOPT2  
DFSRC2  
DFCNT2  
DFDST2  
DFBIDX2  
DFMPPRXY2  
-
Destination FIFO Options Register 2  
Destination FIFO Source Address Register 2  
Destination FIFO Count Register 2  
Destination FIFO Destination Address Register 2  
Destination FIFO BIDX Register 2  
Destination FIFO Memory Protection Proxy Register 2  
Reserved  
0277 8384  
0277 8388  
0277 838C  
0277 8390  
0277 8394  
0277 8398 - 0277 83BC  
0277 83C0  
DFOPT3  
Destination FIFO Options Register 3  
160  
TMS320C6678 Peripheral Information and Electrical Specifications  
Copyright 2010 Texas Instruments Incorporated  
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
Table 7-24  
EDMA3 TPCC1 Transfer Controller 1 Registers (Part 3 of 3)  
Hex Address Range  
Acronym  
DFSRC3  
DFCNT3  
DFDST3  
DFBIDX3  
DFMPPRXY3  
-
Register Name  
0277 83C4  
0277 83C8  
Destination FIFO Source Address Register 3  
Destination FIFO Count Register 3  
Destination FIFO Destination Address Register 3  
Destination FIFO BIDX Register 3  
Destination FIFO Memory Protection Proxy Register 3  
Reserved  
0277 83CC  
0277 83D0  
0277 83D4  
0277 83D8 - 0277 FFFC  
End of Table 7-24  
Table 7-25  
EDMA3 TPCC1 Transfer Controller 2 Registers (Part 1 of 2)  
Hex Address Range  
Acronym  
PID  
Register Name  
0278 0000  
0278 0004  
Peripheral Identification Register  
EDMA3TC Configuration Register  
Reserved  
TCCFG  
-
0278 0008 - 0278 00FC  
0278 0100  
TCSTAT  
-
EDMA3TC Channel Status Register  
Reserved  
0278 0104 - 0278 011C  
0278 0120  
ERRSTAT  
ERREN  
Error Register  
0278 0124  
Error Enable Register  
0278 0128  
ERRCLR  
ERRDET  
ERRCMD  
-
Error Clear Register  
0278 012C  
Error Details Register  
0278 0130  
Error Interrupt Command Register  
Reserved  
0278 0134 - 0278 013C  
0278 0140  
RDRATE  
-
Read Rate Register  
0278 0144 - 0278 023C  
0278 0240  
Reserved  
SAOPT  
SASRC  
Source Active Options Register  
Source Active Source Address Register  
Source Active Count Register  
Source Active Destination Address Register  
Source Active Source B-Index Register  
Source Active Memory Protection Proxy Register  
Source Active Count Reload Register  
Source Active Source Address B-Reference Register  
Source Active Destination Address B-Reference Register  
Reserved  
0278 0244  
0278 0248  
SACNT  
SADST  
SABIDX  
SAMPPRXY  
SACNTRLD  
SASRCBREF  
SADSTBREF  
-
0278 024C  
0278 0250  
0278 0254  
0278 0258  
0278 025C  
0278 0260  
0278 0264 - 0278 027C  
0278 0280  
DFCNTRLD  
DFSRCBREF  
DFDSTBREF  
-
Destination FIFO Set Count Reload  
Destination FIFO Set Destination Address B Reference Register  
Destination FIFO Set Destination Address B Reference Register  
Reserved  
0278 0284  
0278 0288  
0278 028C - 0278 02FC  
0278 0300  
DFOPT0  
DFSRC0  
DFCNT0  
DFDST0  
DFBIDX0  
DFMPPRXY0  
Destination FIFO Options Register 0  
Destination FIFO Source Address Register 0  
Destination FIFO Count Register 0  
Destination FIFO Destination Address Register 0  
Destination FIFO BIDX Register 0  
Destination FIFO Memory Protection Proxy Register 0  
0278 0304  
0278 0308  
0278 030C  
0278 0310  
0278 0314  
Copyright 2010 Texas Instruments Incorporated  
TMS320C6678 Peripheral Information and Electrical Specifications 161  
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
Table 7-25  
EDMA3 TPCC1 Transfer Controller 2 Registers (Part 2 of 2)  
Hex Address Range  
Acronym  
-
Register Name  
0278 0318 - 0278 033C  
0278 0340  
Reserved  
DFOPT1  
DFSRC1  
DFCNT1  
DFDST1  
DFBIDX1  
DFMPPRXY1  
-
Destination FIFO Options Register 1  
Destination FIFO Source Address Register 1  
Destination FIFO Count Register 1  
Destination FIFO Destination Address Register 1  
Destination FIFO BIDX Register 1  
Destination FIFO Memory Protection Proxy Register 1  
Reserved  
0278 0344  
0278 0348  
0278 034C  
0278 0350  
0278 0354  
0278 0358 - 0278 037C  
0278 0380  
DFOPT2  
DFSRC2  
DFCNT2  
DFDST2  
DFBIDX2  
DFMPPRXY2  
-
Destination FIFO Options Register 2  
Destination FIFO Source Address Register 2  
Destination FIFO Count Register 2  
Destination FIFO Destination Address Register 2  
Destination FIFO BIDX Register 2  
Destination FIFO Memory Protection Proxy Register 2  
Reserved  
0278 0384  
0278 0388  
0278 038C  
0278 0390  
0278 0394  
0278 0398 - 0278 03BC  
0278 03C0  
DFOPT3  
DFSRC3  
DFCNT3  
DFDST3  
DFBIDX3  
DFMPPRXY3  
-
Destination FIFO Options Register 3  
Destination FIFO Source Address Register 3  
Destination FIFO Count Register 3  
Destination FIFO Destination Address Register 3  
Destination FIFO BIDX Register 3  
Destination FIFO Memory Protection Proxy Register 3  
Reserved  
0278 03C4  
0278 03C8  
0278 03CC  
0278 03D0  
0278 03D4  
0278 03D8 - 0278 7FFC  
End of Table 7-25  
Table 7-26  
EDMA3 TPCC1 Transfer Controller 3 Registers (Part 1 of 2)  
Hex Address Range  
Acronym  
PID  
Register Name  
0278 8000  
0278 8004  
Peripheral Identification Register  
EDMA3TC Configuration Register  
Reserved  
TCCFG  
-
0278 8008 - 0278 80FC  
0278 8100  
TCSTAT  
-
EDMA3TC Channel Status Register  
Reserved  
0278 8104 - 0278 811C  
0278 8120  
ERRSTAT  
ERREN  
ERRCLR  
ERRDET  
ERRCMD  
-
Error Register  
0278 8124  
Error Enable Register  
0278 8128  
Error Clear Register  
0278 812C  
Error Details Register  
0278 8130  
Error Interrupt Command Register  
Reserved  
0278 8134 - 0278 813C  
0278 8140  
RDRATE  
-
Read Rate Register  
0278 8144 - 0278 823C  
0278 8240  
Reserved  
SAOPT  
SASRC  
SACNT  
SADST  
Source Active Options Register  
Source Active Source Address Register  
Source Active Count Register  
Source Active Destination Address Register  
0278 8244  
0278 8248  
0278 824C  
162  
TMS320C6678 Peripheral Information and Electrical Specifications  
Copyright 2010 Texas Instruments Incorporated  
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
Table 7-26  
EDMA3 TPCC1 Transfer Controller 3 Registers (Part 2 of 2)  
Hex Address Range  
Acronym  
SABIDX  
SAMPPRXY  
SACNTRLD  
SASRCBREF  
SADSTBREF  
-
Register Name  
0278 8250  
0278 8254  
Source Active Source B-Index Register  
Source Active Memory Protection Proxy Register  
Source Active Count Reload Register  
Source Active Source Address B-Reference Register  
Source Active Destination Address B-Reference Register  
Reserved  
0278 8258  
0278 825C  
0278 8260  
0278 8264 - 0278 827C  
0278 8280  
DFCNTRLD  
DFSRCBREF  
DFDSTBREF  
-
Destination FIFO Set Count Reload  
Destination FIFO Set Destination Address B Reference Register  
Destination FIFO Set Destination Address B Reference Register  
Reserved  
0278 8284  
0278 8288  
0278 828C - 0278 82FC  
0278 8300  
DFOPT0  
DFSRC0  
DFCNT0  
DFDST0  
DFBIDX0  
DFMPPRXY0  
-
Destination FIFO Options Register 0  
Destination FIFO Source Address Register 0  
Destination FIFO Count Register 0  
Destination FIFO Destination Address Register 0  
Destination FIFO BIDX Register 0  
0278 8304  
0278 8308  
0278 830C  
0278 8310  
0278 8314  
Destination FIFO Memory Protection Proxy Register 0  
Reserved  
0278 8318 - 0278 833C  
0278 8340  
DFOPT1  
DFSRC1  
DFCNT1  
DFDST1  
DFBIDX1  
DFMPPRXY1  
-
Destination FIFO Options Register 1  
Destination FIFO Source Address Register 1  
Destination FIFO Count Register 1  
Destination FIFO Destination Address Register 1  
Destination FIFO BIDX Register 1  
0278 8344  
0278 8348  
0278 834C  
0278 8350  
0278 8354  
Destination FIFO Memory Protection Proxy Register 1  
Reserved  
0278 8358 - 0278 837C  
0278 8380  
DFOPT2  
DFSRC2  
DFCNT2  
DFDST2  
DFBIDX2  
DFMPPRXY2  
-
Destination FIFO Options Register 2  
Destination FIFO Source Address Register 2  
Destination FIFO Count Register 2  
Destination FIFO Destination Address Register 2  
Destination FIFO BIDX Register 2  
0278 8384  
0278 8388  
0278 838C  
0278 8390  
0278 8394  
Destination FIFO Memory Protection Proxy Register 2  
Reserved  
0278 8398 - 0278 83BC  
0278 83C0  
DFOPT3  
DFSRC3  
DFCNT3  
DFDST3  
DFBIDX3  
DFMPPRXY3  
-
Destination FIFO Options Register 3  
Destination FIFO Source Address Register 3  
Destination FIFO Count Register 3  
Destination FIFO Destination Address Register 3  
Destination FIFO BIDX Register 3  
0278 83C4  
0278 83C8  
0278 83CC  
0278 83D0  
0278 83D4  
Destination FIFO Memory Protection Proxy Register 3  
Reserved  
0278 83D8 - 0278 FFFC  
End of Table 7-26  
Copyright 2010 Texas Instruments Incorporated  
TMS320C6678 Peripheral Information and Electrical Specifications 163  
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
Table 7-27  
EDMA3 TPCC2 Transfer Controller 0 Registers (Part 1 of 2)  
Hex Address Range  
Acronym  
PID  
Register Name  
0279 0000  
0279 0004  
Peripheral Identification Register  
EDMA3TC Configuration Register  
Reserved  
TCCFG  
-
0279 0008 - 0279 00FC  
0279 0100  
TCSTAT  
-
EDMA3TC Channel Status Register  
Reserved  
0279 0104 - 0279 011C  
0279 0120  
ERRSTAT  
ERREN  
Error Register  
0279 0124  
Error Enable Register  
0279 0128  
ERRCLR  
ERRDET  
ERRCMD  
-
Error Clear Register  
0279 012C  
Error Details Register  
0279 0130  
Error Interrupt Command Register  
Reserved  
0279 0134 - 0279 013C  
0279 0140  
RDRATE  
-
Read Rate Register  
0279 0144 - 0279 023C  
0279 0240  
Reserved  
SAOPT  
SASRC  
Source Active Options Register  
Source Active Source Address Register  
Source Active Count Register  
0279 0244  
0279 0248  
SACNT  
SADST  
SABIDX  
SAMPPRXY  
SACNTRLD  
SASRCBREF  
SADSTBREF  
-
0279 024C  
Source Active Destination Address Register  
Source Active Source B-Index Register  
Source Active Memory Protection Proxy Register  
Source Active Count Reload Register  
Source Active Source Address B-Reference Register  
Source Active Destination Address B-Reference Register  
Reserved  
0279 0250  
0279 0254  
0279 0258  
0279 025C  
0279 0260  
0279 0264 - 0279 027C  
0279 0280  
DFCNTRLD  
DFSRCBREF  
DFDSTBREF  
-
Destination FIFO Set Count Reload  
Destination FIFO Set Destination Address B Reference Register  
Destination FIFO Set Destination Address B Reference Register  
Reserved  
0279 0284  
0279 0288  
0279 028C - 0279 02FC  
0279 0300  
DFOPT0  
DFSRC0  
DFCNT0  
DFDST0  
DFBIDX0  
DFMPPRXY0  
-
Destination FIFO Options Register 0  
Destination FIFO Source Address Register 0  
Destination FIFO Count Register 0  
Destination FIFO Destination Address Register 0  
Destination FIFO BIDX Register 0  
Destination FIFO Memory Protection Proxy Register 0  
Reserved  
0279 0304  
0279 0308  
0279 030C  
0279 0310  
0279 0314  
0279 0318 - 0279 033C  
0279 0340  
DFOPT1  
DFSRC1  
DFCNT1  
DFDST1  
DFBIDX1  
DFMPPRXY1  
-
Destination FIFO Options Register 1  
Destination FIFO Source Address Register 1  
Destination FIFO Count Register 1  
Destination FIFO Destination Address Register 1  
Destination FIFO BIDX Register 1  
Destination FIFO Memory Protection Proxy Register 1  
Reserved  
0279 0344  
0279 0348  
0279 034C  
0279 0350  
0279 0354  
0279 0358 - 0279 037C  
0279 0380  
DFOPT2  
DFSRC2  
DFCNT2  
Destination FIFO Options Register 2  
Destination FIFO Source Address Register 2  
Destination FIFO Count Register 2  
0279 0384  
0279 0388  
164  
TMS320C6678 Peripheral Information and Electrical Specifications  
Copyright 2010 Texas Instruments Incorporated  
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
Table 7-27  
EDMA3 TPCC2 Transfer Controller 0 Registers (Part 2 of 2)  
Hex Address Range  
Acronym  
DFDST2  
DFBIDX2  
DFMPPRXY2  
-
Register Name  
0279 038C  
0279 0390  
Destination FIFO Destination Address Register 2  
Destination FIFO BIDX Register 2  
Destination FIFO Memory Protection Proxy Register 2  
Reserved  
0279 0394  
0279 0398 - 0279 03BC  
0279 03C0  
DFOPT3  
DFSRC3  
DFCNT3  
DFDST3  
DFBIDX3  
DFMPPRXY3  
-
Destination FIFO Options Register 3  
Destination FIFO Source Address Register 3  
Destination FIFO Count Register 3  
Destination FIFO Destination Address Register 3  
Destination FIFO BIDX Register 3  
Destination FIFO Memory Protection Proxy Register 3  
Reserved  
0279 03C4  
0279 03C8  
0279 03CC  
0279 03D0  
0279 03D4  
0279 03D8 - 0279 7FFC  
End of Table 7-27  
Table 7-28  
EDMA3 TPCC2 Transfer Controller 1 Registers (Part 1 of 2)  
Hex Address Range  
Acronym  
PID  
Register Name  
0279 8000  
0279 8004  
Peripheral Identification Register  
EDMA3TC Configuration Register  
Reserved  
TCCFG  
-
0279 8008 - 0279 80FC  
0279 8100  
TCSTAT  
-
EDMA3TC Channel Status Register  
Reserved  
0279 8104 - 0279 811C  
0279 8120  
ERRSTAT  
ERREN  
ERRCLR  
ERRDET  
ERRCMD  
-
Error Register  
0279 8124  
Error Enable Register  
0279 8128  
Error Clear Register  
0279 812C  
Error Details Register  
0279 8130  
Error Interrupt Command Register  
Reserved  
0279 8134 - 0279 813C  
0279 8140  
RDRATE  
-
Read Rate Register  
0279 8144 - 0279 823C  
0279 8240  
Reserved  
SAOPT  
SASRC  
SACNT  
SADST  
SABIDX  
SAMPPRXY  
SACNTRLD  
SASRCBREF  
SADSTBREF  
-
Source Active Options Register  
Source Active Source Address Register  
Source Active Count Register  
Source Active Destination Address Register  
Source Active Source B-Index Register  
Source Active Memory Protection Proxy Register  
Source Active Count Reload Register  
Source Active Source Address B-Reference Register  
Source Active Destination Address B-Reference Register  
Reserved  
0279 8244  
0279 8248  
0279 824C  
0279 8250  
0279 8254  
0279 8258  
0279 825C  
0279 8260  
0279 8264 - 0279 827C  
0279 8280  
DFCNTRLD  
DFSRCBREF  
DFDSTBREF  
-
Destination FIFO Set Count Reload  
Destination FIFO Set Destination Address B Reference Register  
Destination FIFO Set Destination Address B Reference Register  
Reserved  
0279 8284  
0279 8288  
0279 828C - 0279 82FC  
Copyright 2010 Texas Instruments Incorporated  
TMS320C6678 Peripheral Information and Electrical Specifications 165  
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
Table 7-28  
EDMA3 TPCC2 Transfer Controller 1 Registers (Part 2 of 2)  
Hex Address Range  
Acronym  
DFOPT0  
DFSRC0  
DFCNT0  
DFDST0  
DFBIDX0  
DFMPPRXY0  
-
Register Name  
0279 8300  
0279 8304  
Destination FIFO Options Register 0  
Destination FIFO Source Address Register 0  
Destination FIFO Count Register 0  
Destination FIFO Destination Address Register 0  
Destination FIFO BIDX Register 0  
0279 8308  
0279 830C  
0279 8310  
0279 8314  
Destination FIFO Memory Protection Proxy Register 0  
Reserved  
0279 8318 - 0279 833C  
0279 8340  
DFOPT1  
DFSRC1  
DFCNT1  
DFDST1  
DFBIDX1  
DFMPPRXY1  
-
Destination FIFO Options Register 1  
Destination FIFO Source Address Register 1  
Destination FIFO Count Register 1  
Destination FIFO Destination Address Register 1  
Destination FIFO BIDX Register 1  
0279 8344  
0279 8348  
0279 834C  
0279 8350  
0279 8354  
Destination FIFO Memory Protection Proxy Register 1  
Reserved  
0279 8358 - 0279 837C  
0279 8380  
DFOPT2  
DFSRC2  
DFCNT2  
DFDST2  
DFBIDX2  
DFMPPRXY2  
-
Destination FIFO Options Register 2  
Destination FIFO Source Address Register 2  
Destination FIFO Count Register 2  
Destination FIFO Destination Address Register 2  
Destination FIFO BIDX Register 2  
0279 8384  
0279 8388  
0279 838C  
0279 8390  
0279 8394  
Destination FIFO Memory Protection Proxy Register 2  
Reserved  
0279 8398 - 0279 83BC  
0279 83C0  
DFOPT3  
DFSRC3  
DFCNT3  
DFDST3  
DFBIDX3  
DFMPPRXY3  
-
Destination FIFO Options Register 3  
Destination FIFO Source Address Register 3  
Destination FIFO Count Register 3  
Destination FIFO Destination Address Register 3  
Destination FIFO BIDX Register 3  
0279 83C4  
0279 83C8  
0279 83CC  
0279 83D0  
0279 83D4  
Destination FIFO Memory Protection Proxy Register 3  
Reserved  
0279 83D8 - 0279 FFFC  
End of Table 7-28  
Table 7-29  
EDMA3 TPCC2 Transfer Controller 2 Registers (Part 1 of 3)  
Hex Address Range  
Acronym  
PID  
Register Name  
027A 0000  
027A 0004  
Peripheral Identification Register  
EDMA3TC Configuration Register  
Reserved  
TCCFG  
-
027A 0008 - 027A 00FC  
027A 0100  
TCSTAT  
-
EDMA3TC Channel Status Register  
Reserved  
027A 0104 - 027A 011C  
027A 0120  
ERRSTAT  
ERREN  
ERRCLR  
ERRDET  
ERRCMD  
-
Error Register  
027A 0124  
Error Enable Register  
Error Clear Register  
Error Details Register  
Error Interrupt Command Register  
Reserved  
027A 0128  
027A 012C  
027A 0130  
027A 0134 - 027A 013C  
166  
TMS320C6678 Peripheral Information and Electrical Specifications  
Copyright 2010 Texas Instruments Incorporated  
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
Table 7-29  
EDMA3 TPCC2 Transfer Controller 2 Registers (Part 2 of 3)  
Hex Address Range  
Acronym  
RDRATE  
-
Register Name  
027A 0140  
027A 0144 - 027A 023C  
027A 0240  
Read Rate Register  
Reserved  
SAOPT  
Source Active Options Register  
027A 0244  
SASRC  
Source Active Source Address Register  
Source Active Count Register  
027A 0248  
SACNT  
027A 024C  
SADST  
Source Active Destination Address Register  
Source Active Source B-Index Register  
Source Active Memory Protection Proxy Register  
Source Active Count Reload Register  
Source Active Source Address B-Reference Register  
Source Active Destination Address B-Reference Register  
Reserved  
027A 0250  
SABIDX  
SAMPPRXY  
SACNTRLD  
SASRCBREF  
SADSTBREF  
-
027A 0254  
027A 0258  
027A 025C  
027A 0260  
027A 0264 - 027A 027C  
027A 0280  
DFCNTRLD  
DFSRCBREF  
DFDSTBREF  
-
Destination FIFO Set Count Reload  
Destination FIFO Set Destination Address B Reference Register  
Destination FIFO Set Destination Address B Reference Register  
Reserved  
027A 0284  
027A 0288  
027A 028C - 027A 02FC  
027A 0300  
DFOPT0  
DFSRC0  
DFCNT0  
DFDST0  
DFBIDX0  
DFMPPRXY0  
-
Destination FIFO Options Register 0  
Destination FIFO Source Address Register 0  
Destination FIFO Count Register 0  
Destination FIFO Destination Address Register 0  
Destination FIFO BIDX Register 0  
027A 0304  
027A 0308  
027A 030C  
027A 0310  
027A 0314  
Destination FIFO Memory Protection Proxy Register 0  
Reserved  
027A 0318 - 027A 033C  
027A 0340  
DFOPT1  
DFSRC1  
DFCNT1  
DFDST1  
DFBIDX1  
DFMPPRXY1  
-
Destination FIFO Options Register 1  
Destination FIFO Source Address Register 1  
Destination FIFO Count Register 1  
Destination FIFO Destination Address Register 1  
Destination FIFO BIDX Register 1  
027A 0344  
027A 0348  
027A 034C  
027A 0350  
027A 0354  
Destination FIFO Memory Protection Proxy Register 1  
Reserved  
027A 0358 - 027A 037C  
027A 0380  
DFOPT2  
DFSRC2  
DFCNT2  
DFDST2  
DFBIDX2  
DFMPPRXY2  
-
Destination FIFO Options Register 2  
Destination FIFO Source Address Register 2  
Destination FIFO Count Register 2  
Destination FIFO Destination Address Register 2  
Destination FIFO BIDX Register 2  
027A 0384  
027A 0388  
027A 038C  
027A 0390  
027A 0394  
Destination FIFO Memory Protection Proxy Register 2  
Reserved  
027A 0398 - 027A 03BC  
027A 03C0  
DFOPT3  
DFSRC3  
DFCNT3  
DFDST3  
DFBIDX3  
Destination FIFO Options Register 3  
Destination FIFO Source Address Register 3  
Destination FIFO Count Register 3  
Destination FIFO Destination Address Register 3  
Destination FIFO BIDX Register 3  
027A 03C4  
027A 03C8  
027A 03CC  
027A 03D0  
Copyright 2010 Texas Instruments Incorporated  
TMS320C6678 Peripheral Information and Electrical Specifications 167  
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
Table 7-29  
EDMA3 TPCC2 Transfer Controller 2 Registers (Part 3 of 3)  
Hex Address Range  
Acronym  
DFMPPRXY3  
-
Register Name  
027A 03D4  
027A 03D8 - 027A 7FFC  
End of Table 7-29  
Destination FIFO Memory Protection Proxy Register 3  
Reserved  
Table 7-30  
EDMA3 TPCC2 Transfer Controller 3 Registers (Part 1 of 2)  
Hex Address Range  
Acronym  
PID  
Register Name  
027A 8000  
027A 8004  
Peripheral Identification Register  
EDMA3TC Configuration Register  
Reserved  
TCCFG  
-
027A 8008 - 027A 80FC  
027A 8100  
TCSTAT  
-
EDMA3TC Channel Status Register  
Reserved  
027A 8104 - 027A 811C  
027A 8120  
ERRSTAT  
ERREN  
Error Register  
027A 8124  
Error Enable Register  
027A 8128  
ERRCLR  
ERRDET  
ERRCMD  
-
Error Clear Register  
027A 812C  
Error Details Register  
027A 8130  
Error Interrupt Command Register  
Reserved  
027A 8134 - 027A 813C  
027A 8140  
RDRATE  
-
Read Rate Register  
027A 8144 - 027A 823C  
027A 8240  
Reserved  
SAOPT  
SASRC  
Source Active Options Register  
Source Active Source Address Register  
Source Active Count Register  
Source Active Destination Address Register  
Source Active Source B-Index Register  
Source Active Memory Protection Proxy Register  
Source Active Count Reload Register  
Source Active Source Address B-Reference Register  
Source Active Destination Address B-Reference Register  
Reserved  
027A 8244  
027A 8248  
SACNT  
SADST  
SABIDX  
SAMPPRXY  
SACNTRLD  
SASRCBREF  
SADSTBREF  
-
027A 824C  
027A 8250  
027A 8254  
027A 8258  
027A 825C  
027A 8260  
027A 8264 - 027A 827C  
027A 8280  
DFCNTRLD  
DFSRCBREF  
DFDSTBREF  
-
Destination FIFO Set Count Reload  
Destination FIFO Set Destination Address B Reference Register  
Destination FIFO Set Destination Address B Reference Register  
Reserved  
027A 8284  
027A 8288  
027A 828C - 027A 82FC  
027A 8300  
DFOPT0  
DFSRC0  
DFCNT0  
DFDST0  
DFBIDX0  
DFMPPRXY0  
-
Destination FIFO Options Register 0  
Destination FIFO Source Address Register 0  
Destination FIFO Count Register 0  
Destination FIFO Destination Address Register 0  
Destination FIFO BIDX Register 0  
Destination FIFO Memory Protection Proxy Register 0  
Reserved  
027A 8304  
027A 8308  
027A 830C  
027A 8310  
027A 8314  
027A 8318 - 027A 833C  
027A 8340  
DFOPT1  
DFSRC1  
DFCNT1  
Destination FIFO Options Register 1  
Destination FIFO Source Address Register 1  
Destination FIFO Count Register 1  
027A 8344  
027A 8348  
168  
TMS320C6678 Peripheral Information and Electrical Specifications  
Copyright 2010 Texas Instruments Incorporated  
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
Table 7-30  
EDMA3 TPCC2 Transfer Controller 3 Registers (Part 2 of 2)  
Hex Address Range  
Acronym  
DFDST1  
DFBIDX1  
DFMPPRXY1  
-
Register Name  
027A 834C  
027A 8350  
Destination FIFO Destination Address Register 1  
Destination FIFO BIDX Register 1  
Destination FIFO Memory Protection Proxy Register 1  
Reserved  
027A 8354  
027A 8358 - 027A 837C  
027A 8380  
DFOPT2  
DFSRC2  
DFCNT2  
DFDST2  
DFBIDX2  
DFMPPRXY2  
-
Destination FIFO Options Register 2  
Destination FIFO Source Address Register 2  
Destination FIFO Count Register 2  
Destination FIFO Destination Address Register 2  
Destination FIFO BIDX Register 2  
Destination FIFO Memory Protection Proxy Register 2  
Reserved  
027A 8384  
027A 8388  
027A 838C  
027A 8390  
027A 8394  
027A 8398 - 027A 83BC  
027A 83C0  
DFOPT3  
DFSRC3  
DFCNT3  
DFDST3  
DFBIDX3  
DFMPPRXY3  
-
Destination FIFO Options Register 3  
Destination FIFO Source Address Register 3  
Destination FIFO Count Register 3  
Destination FIFO Destination Address Register 3  
Destination FIFO BIDX Register 3  
Destination FIFO Memory Protection Proxy Register 3  
Reserved  
027A 83C4  
027A 83C8  
027A 83CC  
027A 83D0  
027A 83D4  
027A 83D8 - 027A FFFC  
End of Table 7-30  
7.5 Interrupts  
7.5.1 Interrupt Sources and Interrupt Controller  
The CPU interrupts on the C6678 device are configured through the C66x CorePac Interrupt Controller. The  
interrupt controller allows for up to 128 system events to be programmed to any of the twelve CPU interrupt inputs  
(CPUINT4 - CPUINT15), the CPU exception input (EXCEP), or the advanced emulation logic. The 128 system  
events consist of both internally-generated events (within the CorePac) and chip-level events.  
Additional system events are routed to each of the C66x CorePacs to provide chip-level events that are not required  
as CPU interrupts/exceptions to be routed to the interrupt controller as emulation events. Additionally, error-class  
events or infrequently used events are also routed through the system event router to offload the C66x CorePac  
interrupt selector. This is accomplished through INTC blocks, INTC[2:0], with one controller per C66x CorePac.  
This is clocked using CPU/6.  
The event controllers consist of simple combination logic to provide additional events to each C66x CorePac, plus  
the TPCC. INTC0 and INTC1 provide 17 additional events as well as 8 broadcast events to each of the C66x  
CorePacs, INTC2 provides 26 and 24 additional events to TPCC1 and TPCC2 respectively, and INTC3 provides 8  
and 32additional events to TPCC0 and HyperLink respectively.  
There are a large amount of events on the chip level. The chip level INTC provides a flexible way to combine and  
remap those events. Multiple events can be combined to a single event through chip level INTC. However, an event  
can only be mapped to a single event output from the chip level INTC. The chip level INTC also allows the software  
to trigger system event through memory writes. The broadcast events to C66x CorePacs can be used for  
synchronization among multiple cores or inter-processor communication purpose and etc. For more details on the  
INTC features, please refer to the Interrupt Controller (INTC) for KeyStone Devices User Guide (literature number  
SPRUGW4).  
Copyright 2010 Texas Instruments Incorporated  
TMS320C6678 Peripheral Information and Electrical Specifications 169  
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
Figure 7-12 shows the C6678 interrupt topology.  
Figure 7-12  
TMS320C6678 Interrupt Topology  
8 Broadcast Events from INTC0  
71 Primary Events  
5 Reserved Secondary Events  
Core0  
Core1  
Core2  
Core3  
17 Secondary Events  
INTC0  
91 Core-only Secondary Events  
64 Common Events  
71 Primary Events  
17 Secondary Events  
71 Primary Events  
17 Secondary Events  
71 Primary Events  
17 Secondary Events  
8 Broadcast Events from INTC1  
71 Primary Events  
5 Reserved Secondary Events  
91 Core-only Secondary Events  
64 Common Events  
Core4  
Core5  
Core6  
Core7  
17 Secondary Events  
INTC1  
71 Primary Events  
17 Secondary Events  
71 Primary Events  
17 Secondary Events  
71 Primary Events  
17 Secondary Events  
2 Reserved Secondary Events  
64 Common Events  
8 Reserved Secondary Events  
88 TPCC-only Events  
38 Primary Events  
CPU/3  
TPCC1  
26 Secondary Events  
INTC2  
40 Primary Events  
CPU/3  
TPCC2  
24 Secondary Events  
32 Queue Events  
Hyper  
Link  
17 Reserved Secondary Events  
63 Events  
32 Secondary Events  
INTC3  
8 Primary Events  
CPU/2  
TPCC0  
8 Secondary Events  
170  
TMS320C6678 Peripheral Information and Electrical Specifications  
Copyright 2010 Texas Instruments Incorporated  
 
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
Table 7-31 shows the mapping of system events. For more information on the Interrupt Controller, see the C66x  
CorePac User Guide (literature number SPRUGW0).  
Table 7-31  
TMS320C6678 System Event Mapping — C66x CorePac Primary Interrupts (Part 1 of 4)  
Event Number  
Interrupt Event  
Description  
0
1
2
3
4
5
6
7
8
9
EVT0  
Event combiner 0 output  
Event combiner 1 output  
Event combiner 2 output  
Event combiner 3 output  
TETB is half full  
EVT1  
EVT2  
EVT3  
TETBHFULLINTn1  
TETBFULLINTn1  
TETBACQINTn1  
TETBOVFLINTn1  
TETBUNFLINTn1  
EMU_DTDMA  
TETB is full  
Acquisition has been completed  
Overflow Condition Interrupt  
Underflow Condition Interrupt  
ECM interrupt for:  
1. Host scan access  
2. DTDMA transfer complete  
3. AET interrupt  
10  
11  
12  
13  
14  
15  
16  
17  
18  
19  
20  
21  
22  
23  
24  
25  
26  
27  
28  
29  
30  
31  
32  
33  
34  
35  
36  
37  
38  
MSMC_mpf_errorn4  
EMU_RTDXRX  
EMU_RTDXTX  
IDMA0  
Memory protection fault indicators for local core  
RTDX receive complete  
RTDX transmit complete  
IDMA Channel 0 Interrupt  
IDMA Channel 1 Interrupt  
Semaphore Error Interrupt  
Semaphore Interrupt  
IDMA1  
SEMERRn2  
SEMINTn2  
PCIEXpress_MSI_INTn3  
TSIP0_ERRINT[n]10  
TSIP1_ERRINT[n]10  
INTDST(n+16)9  
INTC0_OUT(32+0+11*n)7 Or INTC1_OUT(32+0+11*(n-4))7  
INTC0_OUT(32+1+11*n)7 Or INTC1_OUT(32+1+11*(n-4))7  
INTC0_OUT(32+2+11*n)7 Or INTC1_OUT(32+2+11*(n-4))7  
INTC0_OUT(32+3+11*n)7 Or INTC1_OUT(32+3+11*(n-4))7  
INTC0_OUT(32+4+11*n)7 Or INTC1_OUT(32+4+11*(n-4))7  
INTC0_OUT(32+5+11*n)7 Or INTC1_OUT(32+5+11*(n-4))7  
INTC0_OUT(32+6+11*n)7 Or INTC1_OUT(32+6+11*(n-4))7  
INTC0_OUT(32+7+11*n)7 Or INTC1_OUT(32+7+11*(n-4))7  
INTC0_OUT(32+8+11*n)7 Or INTC1_OUT(32+8+11*(n-4))7  
INTC0_OUT(32+9+11*n)7 Or INTC1_OUT(32+9+11*(n-4))7  
Message Signaled Interrupt Mode  
TSIP0 receive/transmit error interrupt  
TSIP1 receive/transmit error interrupt  
SRIO Interrupt  
Interrupt Controller Output  
Interrupt Controller Output  
Interrupt Controller Output  
Interrupt Controller Output  
Interrupt Controller Output  
Interrupt Controller Output  
Interrupt Controller Output  
Interrupt Controller Output  
Interrupt Controller Output  
Interrupt Controller Output  
INTC0_OUT(32+10+11*n)7 Or INTC1_OUT(32+10+11*(n-4))7 Interrupt Controller Output  
QM_INT_LOW_0  
QM_INT_LOW_1  
QM_INT_LOW_2  
QM_INT_LOW_3  
QM_INT_LOW_4  
QM_INT_LOW_5  
QM_INT_LOW_6  
QM interrupt  
QM interrupt  
QM interrupt  
QM interrupt  
QM interrupt  
QM interrupt  
QM interrupt  
Copyright 2010 Texas Instruments Incorporated  
TMS320C6678 Peripheral Information and Electrical Specifications 171  
 
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
Table 7-31  
TMS320C6678 System Event Mapping — C66x CorePac Primary Interrupts (Part 2 of 4)  
Event Number  
Interrupt Event  
Description  
39  
40  
41  
42  
43  
44  
45  
46  
47  
48  
49  
50  
51  
52  
53  
54  
55  
56  
57  
58  
59  
60  
61  
62  
63  
64  
65  
66  
67  
68  
69  
70  
71  
72  
73  
74  
75  
76  
77  
78  
79  
80  
81  
82  
QM_INT_LOW_7  
QM_INT_LOW_8  
QM_INT_LOW_9  
QM_INT_LOW_10  
QM_INT_LOW_11  
QM_INT_LOW_12  
QM_INT_LOW_13  
QM_INT_LOW_14  
QM_INT_LOW_15  
QM_INT_HIGH_n8  
QM_INT_HIGH_(n+8)8  
QM_INT_HIGH_(n+16)8  
QM_INT_HIGH_(n+24)8  
TSIP0_RFSINT[n]10  
TSIP0_RSFINT[n]10  
TSIP0_XFSINT[n]10  
TSIP0_XSFINT[n]10  
TSIP1_RFSINT[n]10  
TSIP1_RSFINT[n]10  
QM interrupt  
QM interrupt  
QM interrupt  
QM interrupt  
QM interrupt  
QM interrupt  
QM interrupt  
QM interrupt  
QM interrupt  
QM interrupt  
QM interrupt  
QM interrupt  
QM interrupt  
TSIP0 receive Frame Sync interrupt  
TSIP0 receive Super frame interrupt  
TSIP0 transmit Frame Sync interrupt  
TSIP0 transmit Super frame interrupt  
TSIP1 receive Frame Sync interrupt  
TSIP1 receive Super frame interrupt  
TSIP1 transmit Frame Sync interrupt  
TSIP1 transmit Super frame interrupt  
TSIP1_XFSINT[n]10  
TSIP1_XSFINT[n]10  
Reserved  
Reserved  
INTC0_OUT(2+8*n)7 Or INTC1_OUT(2+8*(n-4))7  
INTC0_OUT(3+8*n)7 Or INTC1_OUT(3+8*(n-4))7  
TINTLn6  
TINTHn6  
TINT8L  
Interrupt Controller Output  
Interrupt Controller Output  
Local Timer interrupt low  
Local Timer interrupt high  
Timer interrupt low  
Timer interrupt high  
Timer interrupt low  
Timer interrupt high  
Timer interrupt low  
Timer interrupt high  
Timer interrupt low  
Timer interrupt high  
Timer interrupt low  
Timer interrupt high  
Timer interrupt low  
Timer interrupt high  
Timer interrupt low  
Timer interrupt high  
Timer interrupt low  
Timer interrupt high  
Local GPIO Interrupt  
TINT8H  
TINT9L  
TINT9H  
TINT10L  
TINT10H  
TINT11L  
TINT11H  
TINT12L  
TINT12H  
TINT13L  
TINT13H  
TINT14L  
TINT14H  
TINT15L  
TINT15H  
GPINT8  
172  
TMS320C6678 Peripheral Information and Electrical Specifications  
Copyright 2010 Texas Instruments Incorporated  
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
Table 7-31  
TMS320C6678 System Event Mapping — C66x CorePac Primary Interrupts (Part 3 of 4)  
Event Number  
Interrupt Event  
Description  
83  
GPINT9  
Local GPIO Interrupt  
84  
GPINT10  
GPINT11  
GPINT12  
GPINT13  
GPINT14  
GPINT15  
GPINTn5  
IPC_LOCAL  
Local GPIO Interrupt  
85  
Local GPIO Interrupt  
86  
Local GPIO Interrupt  
87  
Local GPIO Interrupt  
88  
Local GPIO Interrupt  
89  
Local GPIO Interrupt  
90  
Local GPIO Interrupt  
91  
Inter DSP Interrupt from IPCGRn  
Interrupt Controller Output  
Interrupt Controller Output  
Interrupt Controller Output  
Interrupt Controller Output  
Dropped CPU interrupt event  
Invalid IDMA parameters  
92  
INTC0_OUT(4+8*n)7 Or INTC1_OUT(4+8*(n-4))7  
INTC0_OUT(5+8*n)7 Or INTC1_OUT(5+8*(n-4))7  
INTC0_OUT(6+8*n)7 Or INTC1_OUT(6+8*(n-4))7  
INTC0_OUT(7+8*n)7 Or INTC1_OUT(7+8*(n-4))7  
INTERR  
93  
94  
95  
96  
97  
EMC_IDMAERR  
98  
Reserved  
99  
Reserved  
100  
101  
102  
103  
104  
105  
106  
107  
108  
109  
110  
111  
112  
113  
114  
115  
116  
117  
118  
119  
120  
121  
122  
123  
124  
125  
126  
EFIINTA  
EFI Interrupt from Side A  
EFI Interrupt from Side B  
Interrupt Controller Output  
Interrupt Controller Output  
Interrupt Controller Output  
Interrupt Controller Output  
Interrupt Controller Output  
Interrupt Controller Output  
Interrupt Controller Output  
Interrupt Controller Output  
VbusM error event  
EFIINTB  
INTC0_OUT0 or INTC1_OUT0  
INTC0_OUT1 or INTC1_OUT1  
INTC0_OUT8 or INTC1_OUT8  
INTC0_OUT9 or INTC1_OUT9  
INTC0_OUT16 or INTC1_OUT16  
INTC0_OUT17 or INTC1_OUT17  
INTC0_OUT24 or INTC1_OUT24  
INTC0_OUT25 or INTC1_OUT25  
MDMAERREVT  
Reserved  
CPU/2_EDMACC_AETEVT  
PMC_ED  
CPU/2_TPCC AET Event  
Single bit error detected during DMA read  
CPU/3_1_TPCC AET Event  
CPU/3_1_EDMACC_AETEVT  
CPU/3_2_EDMACC_AETEVT  
UMC_ED1  
CPU/3_2_TPCC AET Event  
Corrected bit error detected  
UMC_ED2  
Uncorrected bit error detected  
Power Down sleep interrupt  
PDC_INT  
SYS_CMPA  
SYS DSP Memory Protection fault event  
PMC DSP Core Protection fault event  
PMC Memory Protection fault event  
DMC DSP Core Protection fault event  
DMC Memory Protection fault event  
UMC DSP Core Protection fault event  
UMC Memory Protection fault event  
EMC DSP Core Protection fault event  
PMC_CMPA  
PMC_DMPA  
DMC_CMPA  
DMC_DMPA  
UMC_CMPA  
UMC_DMPA  
EMC_CMPA  
Copyright 2010 Texas Instruments Incorporated  
TMS320C6678 Peripheral Information and Electrical Specifications 173  
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
Table 7-31  
TMS320C6678 System Event Mapping — C66x CorePac Primary Interrupts (Part 4 of 4)  
Event Number  
Interrupt Event  
Description  
127  
EMC_BUSERR  
EMC  
1. core[n] will receive TETBHFULLINTn, TETBFULLINTn, TETBACQINTn, TETBOVFLINTn and TETBUNFLINTn.  
2. core[n] will receive SEMINTn and SEMERRn.  
3. core[n] will receive PCIEXpress_MSI_INTn.  
4. core[n] will receive MSMC_mpf_errorn.  
5. core[n] will receive GPINTn.  
6. core[n] will receive TINTLn and TINTHn.  
7. For core0~3, it is INTC0 (interrupt number+16*n). For core4~7 it is INTC1(interrupt number+16*(n-4)) since there is a second INTC for core 4~7.  
8. n is core number.  
9. core[n] will receive INTDST(n+16).  
10. core[n] will receive TSIPx_xxx[n]  
End of Table 7-31  
Table 7-32  
INTC0 Event Inputs (Secondary Interrupts for C66x CorePacs) (Part 1 of 5)  
Input Event# on CP_INTC  
System Interrupt  
Description  
0
CPU/3_1_EDMACC_ERRINT  
CPU/3_1_EDMACC_MPINT  
CPU/3_1_EDMATC_ERRINT0  
CPU/3_1_EDMATC_ERRINT1  
CPU/3_1_EDMATC_ERRINT2  
CPU/3_1_EDMATC_ERRINT3  
CPU/3_1_EDMACC_GINT  
Reserved  
CPU/3_1_TPCC Error Interrupt  
CPU/3_1_TPCC Memory Protection Interrupt  
CPU/3_1_TPTC0 Error Interrupt  
CPU/3_1_TPTC1 Error Interrupt  
CPU/3_1_TPTC2 Error Interrupt  
CPU/3_1_TPTC3 Error Interrupt  
CPU/3_1_TPCC GINT  
1
2
3
4
5
6
7
8
CPU/3_1_TPCCINT0  
CPU/3_1_TPCC Individual Completion Interrupt  
CPU/3_1_TPCC Individual Completion Interrupt  
CPU/3_1_TPCC Individual Completion Interrupt  
CPU/3_1_TPCC Individual Completion Interrupt  
CPU/3_1_TPCC Individual Completion Interrupt  
CPU/3_1_TPCC Individual Completion Interrupt  
CPU/3_1_TPCC Individual Completion Interrupt  
CPU/3_1_TPCC Individual Completion Interrupt  
CPU/3_2_TPCC Error Interrupt  
9
CPU/3_1_TPCCINT1  
10  
11  
12  
13  
14  
15  
16  
17  
18  
19  
20  
21  
22  
23  
24  
25  
26  
27  
28  
29  
CPU/3_1_TPCCINT2  
CPU/3_1_TPCCINT3  
CPU/3_1_TPCCINT4  
CPU/3_1_TPCCINT5  
CPU/3_1_TPCCINT6  
CPU/3_1_TPCCINT7  
CPU/3_2_EDMACC_ERRINT  
CPU/3_2_EDMACC_MPINT  
CPU/3_2_EDMATC_ERRINT0  
CPU/3_2_EDMATC_ERRINT1  
CPU/3_2_EDMATC_ERRINT2  
CPU/3_2_EDMATC_ERRINT3  
CPU/3_2_EDMACC_GINT  
Reserved  
CPU/3_2_TPCC Memory Protection Interrupt  
CPU/3_2_TPTC0 Error Interrupt  
CPU/3_2_TPTC1 Error Interrupt  
CPU/3_2_TPTC2 Error Interrupt  
CPU/3_2_TPTC3 Error Interrupt  
CPU/3_2_TPCC GINT  
CPU/3_2_TPCCINT0  
CPU/3_2_TPCC Individual Completion Interrupt  
CPU/3_2_TPCC Individual Completion Interrupt  
CPU/3_2_TPCC Individual Completion Interrupt  
CPU/3_2_TPCC Individual Completion Interrupt  
CPU/3_2_TPCC Individual Completion Interrupt  
CPU/3_2_TPCC Individual Completion Interrupt  
CPU/3_2_TPCCINT1  
CPU/3_2_TPCCINT2  
CPU/3_2_TPCCINT3  
CPU/3_2_TPCCINT4  
CPU/3_2_TPCCINT5  
174  
TMS320C6678 Peripheral Information and Electrical Specifications  
Copyright 2010 Texas Instruments Incorporated  
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
Table 7-32  
INTC0 Event Inputs (Secondary Interrupts for C66x CorePacs) (Part 2 of 5)  
Input Event# on CP_INTC  
System Interrupt  
CPU/3_2_TPCCINT6  
CPU/3_2_TPCCINT7  
CPU/2_EDMACC_ERRINT  
CPU/2_EDMACC_MPINT  
CPU/2_EDMATC_ERRINT0  
CPU/2_EDMATC_ERRINT1  
CPU/2_EDMACC_GINT  
Reserved  
Description  
30  
31  
32  
33  
34  
35  
36  
37  
38  
39  
40  
41  
42  
43  
44  
45  
46  
47  
48  
49  
50  
51  
52  
53  
54  
55  
56  
57  
58  
59  
60  
61  
62  
63  
64  
65  
66  
67  
68  
69  
70  
71  
72  
73  
CPU/3_2_TPCC Individual Completion Interrupt  
CPU/3_2_TPCC Individual Completion Interrupt  
CPU/2_TPCC Error Interrupt  
CPU/2_TPCC Memory Protection Interrupt  
CPU/2_TPTC0 Error Interrupt  
CPU/2_TPTC1 Error Interrupt  
CPU/2_TPCC GINT  
CPU/2_TPCCINT0  
CPU/2_TPCCINT1  
CPU/2_TPCCINT2  
CPU/2_TPCCINT3  
CPU/2_TPCCINT4  
CPU/2_TPCCINT5  
CPU/2_TPCCINT6  
CPU/2_TPCCINT7  
Reserved  
CPU/2_TPCC Individual Completion Interrupt  
CPU/2_TPCC Individual Completion Interrupt  
CPU/2_TPCC Individual Completion Interrupt  
CPU/2_TPCC Individual Completion Interrupt  
CPU/2_TPCC Individual Completion Interrupt  
CPU/2_TPCC Individual Completion Interrupt  
CPU/2_TPCC Individual Completion Interrupt  
CPU/2_TPCC Individual Completion Interrupt  
QM_INT_PASS_TXQ_PEND_12  
PCIEXpress_ERR_INT  
PCIEXpress_PM_INT  
PCIEXpress_Legacy_INTA  
PCIEXpress_Legacy_INTB  
PCIEXpress_Legacy_INTC  
PCIEXpress_Legacy_INTD  
SPIINT0  
QM_SS_PASS pend event  
Protocol Error Interrupt  
Power Management Interrupt  
Legacy Interrupt Mode  
Legacy Interrupt Mode  
Legacy Interrupt Mode  
Legacy Interrupt Mode  
SPI Interrupt0  
SPIINT1  
SPI Interrupt1  
SPIXEVT  
Transmit event  
SPIREVT  
Receive event  
I2CINT  
I2C interrupt  
I2CREVT  
I2C Receive event  
I2CXEVT  
I2C Transmit event  
Reserved  
Reserved  
TETBHFULLINT  
TETB is half full  
TETBFULLINT  
TETB is full  
TETBACQINT  
Acquisition has been completed  
Overflow condition occur  
Underflow condition occur  
PASS_mdio Interrupt  
TETBOVFLINT  
TETBUNFLINT  
mdio_link_intr0  
mdio_link_intr1  
mdio_user_intr0  
mdio_user_intr1  
misc_intr  
PASS_mdio Interrupt  
PASS_mdio Interrupt  
PASS_mdio Interrupt  
PASS_misc Interrupt  
CP_Tracer_core_0_INTD  
CP_Tracer sliding time window interrupt for individual core  
Copyright 2010 Texas Instruments Incorporated  
TMS320C6678 Peripheral Information and Electrical Specifications 175  
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
Table 7-32  
INTC0 Event Inputs (Secondary Interrupts for C66x CorePacs) (Part 3 of 5)  
Input Event# on CP_INTC  
System Interrupt  
Description  
74  
75  
76  
77  
78  
79  
80  
81  
81  
82  
84  
85  
86  
87  
88  
89  
90  
CP_Tracer_core_1_INTD  
CP_Tracer_core_2_INTD  
CP_Tracer_core_3_INTD  
CP_Tracer_DDR_INTD  
CP_Tracer_MSMC_0_INTD  
CP_Tracer_MSMC_1_INTD  
CP_Tracer_MSMC_2_INTD  
CP_Tracer_MSMC_3_INTD  
CP_Tracer_CFG_INTD  
CP_Tracer_QM_SS_CFG_INTD  
CP_Tracer_QM_SS_DMA_INTD  
CP_Tracer_SEM_INTD  
PSC_ALLINT  
CP_Tracer sliding time window interrupt for individual core  
CP_Tracer sliding time window interrupt for individual core  
CP_Tracer sliding time window interrupt for individual core  
CP_Tracer sliding time window interrupt for DDR3 EMIF1  
CP_Tracer sliding time window interrupt for MSMC SRAM Bank0  
CP_Tracer sliding time window interrupt for MSMC SRAM Bank1  
CP_Tracer sliding time window interrupt for MSMC SRAM Bank2  
CP_Tracer sliding time window interrupt for MSMC SRAM Bank3  
CP_Tracer sliding time window interrupt for CFG0 SCR  
CP_Tracer sliding time window interrupt for QM_SS CFG  
CP_Tracer sliding time window interrupt for QM_SS Slave  
CP_Tracer sliding time window interrupt for Semaphore  
Power & Sleep Controller Interrupt  
MSMC_scrub_cerror  
Correctable (1-bit) soft error detected during scrub cycle  
Chip-level MMR Error Register  
BOOTCFG_INTD  
Reserved  
Reserved  
MPU0_INTD (MPU0_ADDR_ERR_INT and MPU0 Addressing violation interrupt and Protection violation interrupt.  
MPU0_PROT_ERR_INT combined)  
91  
92  
QM_INT_PASS_TXQ_PEND_13  
QM_SS_PASS pend event  
MPU1_INTD (MPU1_ADDR_ERR_INT and MPU1 Addressing violation interrupt and Protection violation interrupt.  
MPU1_PROT_ERR_INT combined)  
93  
94  
QM_INT_PASS_TXQ_PEND_14  
QM_SS_PASS pend event  
MPU2_INTD (MPU2_ADDR_ERR_INT and MPU2 Addressing violation interrupt and Protection violation interrupt.  
MPU2_PROT_ERR_INT combined)  
95  
96  
QM_INT_PASS_TXQ_PEND_15  
QM_SS_PASS pend event  
MPU3_INTD (MPU3_ADDR_ERR_INT and MPU3 Addressing violation interrupt and Protection violation interrupt.  
MPU3_PROT_ERR_INT combined)  
97  
QM_INT_PASS_TXQ_PEND_16  
MSMC_dedc_cerror  
MSMC_dedc_nc_error  
MSMC_scrub_nc_error  
Reserved  
QM_SS_PASS pend event  
98  
Correctable (1-bit) soft error detected on SRAM read  
Non-correctable (2-bit) soft error detected on SRAM read  
Non-correctable (2-bit) soft error detected during scrub cycle  
99  
100  
101  
102  
103  
104  
105  
105  
107  
108  
109  
110  
111  
112  
113  
114  
MSMC_mpf_error8  
MSMC_mpf_error9  
MSMC_mpf_error10  
MSMC_mpf_error11  
MSMC_mpf_error12  
MSMC_mpf_error13  
MSMC_mpf_error14  
MSMC_mpf_error15  
DDR3_ERR  
Memory protection fault indicators for each system master PrivID  
Memory protection fault indicators for each system master PrivID  
Memory protection fault indicators for each system master PrivID  
Memory protection fault indicators for each system master PrivID  
Memory protection fault indicators for each system master PrivID  
Memory protection fault indicators for each system master PrivID  
Memory protection fault indicators for each system master PrivID  
Memory protection fault indicators for each system master PrivID  
DDR3 EMIF error interrupt  
Hyperbridge_int_o  
INTDST0  
Hyperbridge Interrupt  
RapidIO Interrupt  
INTDST1  
RapidIO Interrupt  
INTDST2  
RapidIO Interrupt  
176  
TMS320C6678 Peripheral Information and Electrical Specifications  
Copyright 2010 Texas Instruments Incorporated  
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
Table 7-32  
INTC0 Event Inputs (Secondary Interrupts for C66x CorePacs) (Part 4 of 5)  
Input Event# on CP_INTC  
System Interrupt  
INTDST3  
Description  
115  
116  
117  
118  
119  
120  
121  
122  
123  
124  
125  
126  
127  
128  
129  
130  
131  
132  
133  
134  
135  
136  
137  
138  
139  
140  
141  
RapidIO Interrupt  
INTDST4  
RapidIO Interrupt  
INTDST5  
RapidIO Interrupt  
INTDST6  
RapidIO Interrupt  
INTDST7  
RapidIO Interrupt  
INTDST8  
RapidIO Interrupt  
INTDST9  
RapidIO Interrupt  
INTDST10  
INTDST11  
INTDST12  
INTDST13  
INTDST14  
INTDST15  
EASYNCERR  
RapidIO Interrupt  
RapidIO Interrupt  
RapidIO Interrupt  
RapidIO Interrupt  
RapidIO Interrupt  
RapidIO Interrupt  
EMIF16 Error Interrupt  
CP_Tracer_core_4_INTD  
CP_Tracer_core_5_INTD  
CP_Tracer_core_6_INTD  
CP_Tracer_core_7_INTD  
QM_INT_CDMA_0  
CP_Tracer sliding time window interrupt for individual core  
CP_Tracer sliding time window interrupt for individual core  
CP_Tracer sliding time window interrupt for individual core  
CP_Tracer sliding time window interrupt for individual core  
QM Interrupt for CDMA Starvation  
QM Interrupt for CDMA Starvation  
RapidIO Interrupt for CDMA Starvation  
PASS Interrupt for CDMA Starvation  
SmartReflex Sensor interrupt  
SmartReflex Sensor interrupt  
SmartReflex Sensor interrupt  
SmartReflex Sensor interrupt  
QM_INT_CDMA_1  
RapidIO_INT_CDMA_0  
PASS_INT_CDMA_0  
SmartReflex_intrreq0  
SmartReflex_intrreq1  
SmartReflex_intrreq2  
SmartReflex_intrreq3  
VPNoSMPSAck  
VPVOLTUPDATE has been asserted but SMPS has not been responded in a  
defined time interval  
142  
VPEqValue  
SRSINTERUPTZ is asserted, but the new voltage is not different from the  
current SMPS voltage.  
143  
144  
145  
146  
147  
148  
149  
150  
151  
152  
153  
154  
155  
156  
157  
VPMaxVdd  
the new voltage required is equal to or greater than MaxVdd.  
the new voltage required is equal to or less than MinVdd.  
Indicating that the FSM of Voltage Processor is in idle.  
VPMinVdd  
VPINIDLE  
VPOPPChangeDone  
Reserved  
Indicating that the average frequency error is within the desired limit.  
UARTINT  
UART Interrupt  
URXEVT  
UART Receive Event  
UTXEVT  
UART Transmit Event  
QM_INT_PASS_TXQ_PEND_17  
QM_INT_PASS_TXQ_PEND_18  
QM_INT_PASS_TXQ_PEND_19  
QM_INT_PASS_TXQ_PEND_20  
QM_INT_PASS_TXQ_PEND_21  
QM_INT_PASS_TXQ_PEND_22  
QM_INT_PASS_TXQ_PEND_23  
QM_SS_PASS pend event  
QM_SS_PASS pend event  
QM_SS_PASS pend event  
QM_SS_PASS pend event  
QM_SS_PASS pend event  
QM_SS_PASS pend event  
QM_SS_PASS pend event  
Copyright 2010 Texas Instruments Incorporated  
TMS320C6678 Peripheral Information and Electrical Specifications 177  
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
Table 7-32  
INTC0 Event Inputs (Secondary Interrupts for C66x CorePacs) (Part 5 of 5)  
Input Event# on CP_INTC  
System Interrupt  
Description  
158  
QM_INT_PASS_TXQ_PEND_24  
QM_INT_PASS_TXQ_PEND_25  
QM_SS_PASS pend event  
QM_SS_PASS pend event  
159  
End of Table 7-32  
Table 7-33  
INTC1 Event Inputs (Secondary Interrupts for C66x CorePacs) (Part 1 of 4)  
Input Event# on CP_INTC System Interrupt  
Description  
0
CPU/3_1_EDMACC_ERRINT  
CPU/3_1_TPCC Error Interrupt  
CPU/3_1_TPCC Memory Protection Interrupt  
CPU/3_1_TPTC0 Error Interrupt  
CPU/3_1_TPTC1 Error Interrupt  
CPU/3_1_TPTC2 Error Interrupt  
CPU/3_1_TPTC3 Error Interrupt  
CPU/3_1_TPCC GINT  
1
CPU/3_1_EDMACC_MPINT  
CPU/3_1_EDMATC_ERRINT0  
CPU/3_1_EDMATC_ERRINT1  
CPU/3_1_EDMATC_ERRINT2  
CPU/3_1_EDMATC_ERRINT3  
CPU/3_1_EDMACC_GINT  
Reserved  
2
3
4
5
6
7
8
CPU/3_1_TPCCINT0  
CPU/3_1_TPCC Individual Completion Interrupt  
CPU/3_1_TPCC Individual Completion Interrupt  
CPU/3_1_TPCC Individual Completion Interrupt  
CPU/3_1_TPCC Individual Completion Interrupt  
CPU/3_1_TPCC Individual Completion Interrupt  
CPU/3_1_TPCC Individual Completion Interrupt  
CPU/3_1_TPCC Individual Completion Interrupt  
CPU/3_1_TPCC Individual Completion Interrupt  
CPU/3_2_TPCC Error Interrupt  
9
CPU/3_1_TPCCINT1  
10  
11  
12  
13  
14  
15  
16  
17  
18  
19  
20  
21  
22  
23  
24  
25  
26  
27  
28  
29  
30  
31  
32  
33  
34  
35  
36  
CPU/3_1_TPCCINT2  
CPU/3_1_TPCCINT3  
CPU/3_1_TPCCINT4  
CPU/3_1_TPCCINT5  
CPU/3_1_TPCCINT6  
CPU/3_1_TPCCINT7  
CPU/3_2_EDMACC_ERRINT  
CPU/3_2_EDMACC_MPINT  
CPU/3_2_EDMATC_ERRINT0  
CPU/3_2_EDMATC_ERRINT1  
CPU/3_2_EDMATC_ERRINT2  
CPU/3_2_EDMATC_ERRINT3  
CPU/3_2_EDMACC_GINT  
Reserved  
CPU/3_2_TPCC Memory Protection Interrupt  
CPU/3_2_TPTC0 Error Interrupt  
CPU/3_2_TPTC1 Error Interrupt  
CPU/3_2_TPTC2 Error Interrupt  
CPU/3_2_TPTC3 Error Interrupt  
CPU/3_2_TPCC GINT  
CPU/3_2_TPCCINT0  
CPU/3_2_TPCC Individual Completion Interrupt  
CPU/3_2_TPCC Individual Completion Interrupt  
CPU/3_2_TPCC Individual Completion Interrupt  
CPU/3_2_TPCC Individual Completion Interrupt  
CPU/3_2_TPCC Individual Completion Interrupt  
CPU/3_2_TPCC Individual Completion Interrupt  
CPU/3_2_TPCC Individual Completion Interrupt  
CPU/3_2_TPCC Individual Completion Interrupt  
CPU/2_TPCC Error Interrupt  
CPU/3_2_TPCCINT1  
CPU/3_2_TPCCINT2  
CPU/3_2_TPCCINT3  
CPU/3_2_TPCCINT4  
CPU/3_2_TPCCINT5  
CPU/3_2_TPCCINT6  
CPU/3_2_TPCCINT7  
CPU/2_EDMACC_ERRINT  
CPU/2_EDMACC_MPINT  
CPU/2_EDMATC_ERRINT0  
CPU/2_EDMATC_ERRINT1  
CPU/2_EDMACC_GINT  
CPU/2_TPCC Memory Protection Interrupt  
CPU/2_TPTC0 Error Interrupt  
CPU/2_TPTC1 Error Interrupt  
CPU/2_TPCC GINT  
178  
TMS320C6678 Peripheral Information and Electrical Specifications  
Copyright 2010 Texas Instruments Incorporated  
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
Table 7-33  
INTC1 Event Inputs (Secondary Interrupts for C66x CorePacs) (Part 2 of 4)  
Input Event# on CP_INTC System Interrupt  
Description  
37  
38  
39  
40  
41  
42  
43  
44  
45  
46  
47  
48  
49  
50  
51  
52  
53  
54  
55  
56  
57  
58  
59  
60  
61  
62  
63  
64  
65  
66  
67  
68  
69  
70  
71  
72  
73  
74  
75  
76  
77  
78  
79  
80  
Reserved  
CPU/2_TPCCINT0  
CPU/2_TPCCINT1  
CPU/2_TPCCINT2  
CPU/2_TPCCINT3  
CPU/2_TPCCINT4  
CPU/2_TPCCINT5  
CPU/2_TPCCINT6  
CPU/2_TPCCINT7  
Reserved  
CPU/2_TPCC Individual Completion Interrupt  
CPU/2_TPCC Individual Completion Interrupt  
CPU/2_TPCC Individual Completion Interrupt  
CPU/2_TPCC Individual Completion Interrupt  
CPU/2_TPCC Individual Completion Interrupt  
CPU/2_TPCC Individual Completion Interrupt  
CPU/2_TPCC Individual Completion Interrupt  
CPU/2_TPCC Individual Completion Interrupt  
QM_INT_PASS_TXQ_PEND_18  
PCIEXpress_ERR_INT  
PCIEXpress_PM_INT  
PCIEXpress_Legacy_INTA  
PCIEXpress_Legacy_INTB  
PCIEXpress_Legacy_INTC  
PCIEXpress_Legacy_INTD  
SPIINT0  
QM_SS_PASS pend event  
Protocol Error Interrupt  
Power Management Interrupt  
Legacy Interrupt Mode  
Legacy Interrupt Mode  
Legacy Interrupt Mode  
Legacy Interrupt Mode  
SPI Interrupt0  
SPIINT1  
SPI Interrupt1  
SPIXEVT  
Transmit event  
SPIREVT  
Receive event  
I2CINT  
I2C interrupt  
I2CREVT  
I2C Receive event  
I2CXEVT  
I2C Transmit event  
Reserved  
Reserved  
TETBHFULLINT  
TETB is half full  
TETBFULLINT  
TETB is full  
TETBACQINT  
Acquisition has been completed  
TETBOVFLINT  
Overflow condition occur  
TETBUNFLINT  
Underflow condition occur  
mdio_link_intr0  
mdio_link_intr1  
mdio_user_intr0  
mdio_user_intr1  
misc_intr  
PASS_mdio Interrupt  
PASS_mdio Interrupt  
PASS_mdio Interrupt  
PASS_mdio Interrupt  
PASS_misc Interrupt  
CP_Tracer_core_0_INTD  
CP_Tracer_core_1_INTD  
CP_Tracer_core_2_INTD  
CP_Tracer_core_3_INTD  
CP_Tracer_DDR_INTD  
CP_Tracer_MSMC_0_INTD  
CP_Tracer_MSMC_1_INTD  
CP_Tracer_MSMC_2_INTD  
CP_Tracer sliding time window interrupt for individual core  
CP_Tracer sliding time window interrupt for individual core  
CP_Tracer sliding time window interrupt for individual core  
CP_Tracer sliding time window interrupt for individual core  
CP_Tracer sliding time window interrupt for DDR3 EMIF1  
CP_Tracer sliding time window interrupt for MSMC SRAM Bank0  
CP_Tracer sliding time window interrupt for MSMC SRAM Bank1  
CP_Tracer sliding time window interrupt for MSMC SRAM Bank2  
Copyright 2010 Texas Instruments Incorporated  
TMS320C6678 Peripheral Information and Electrical Specifications 179  
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
Table 7-33  
INTC1 Event Inputs (Secondary Interrupts for C66x CorePacs) (Part 3 of 4)  
Input Event# on CP_INTC System Interrupt  
Description  
81  
82  
83  
84  
85  
86  
87  
88  
89  
90  
CP_Tracer_MSMC_3_INTD  
CP_Tracer sliding time window interrupt for MSMC SRAM Bank3  
CP_Tracer sliding time window interrupt for CFG0 SCR  
CP_Tracer sliding time window interrupt for QM_SS CFG  
CP_Tracer sliding time window interrupt for QM_SS Slave  
CP_Tracer sliding time window interrupt for Semaphore  
Power & Sleep Controller Interrupt  
CP_Tracer_CFG_INTD  
CP_Tracer_QM_SS_CFG_INTD  
CP_Tracer_QM_SS_DMA_INTD  
CP_Tracer_SEM_INTD  
PSC_ALLINT  
MSMC_scrub_cerror  
BOOTCFG_INTD  
Correctable (1-bit) soft error detected during scrub cycle  
BOOTCFG Interrupt BOOTCFG_ERR and BOOTCFG_PROT  
VolCon error status  
po_vcon_smpserr_intr  
MPU0_INTD (MPU0_ADDR_ERR_INT and  
MPU0_PROT_ERR_INT combined)  
MPU0 Addressing violation interrupt and Protection violation interrupt.  
91  
92  
QM_INT_PASS_TXQ_PEND_19  
QM_SS_PASS pend event  
MPU1_INTD (MPU1_ADDR_ERR_INT and  
MPU1_PROT_ERR_INT combined)  
MPU1 Addressing violation interrupt and Protection violation interrupt.  
93  
94  
QM_INT_PASS_TXQ_PEND_20  
QM_SS_PASS pend event  
MPU2_INTD (MPU2_ADDR_ERR_INT and  
MPU2_PROT_ERR_INT combined)  
MPU2 Addressing violation interrupt and Protection violation interrupt.  
95  
96  
QM_INT_PASS_TXQ_PEND_21  
QM_SS_PASS pend event  
MPU3_INTD (MPU3_ADDR_ERR_INT and  
MPU3_PROT_ERR_INT combined)  
MPU3 Addressing violation interrupt and Protection violation interrupt.  
97  
QM_INT_PASS_TXQ_PEND_22  
MSMC_dedc_cerror  
MSMC_dedc_nc_error  
MSMC_scrub_nc_error  
Reserved  
QM_SS_PASS pend event  
98  
Correctable (1-bit) soft error detected on SRAM read  
Non-correctable (2-bit) soft error detected on SRAM read  
Non-correctable (2-bit) soft error detected during scrub cycle  
99  
100  
101  
102  
103  
104  
105  
106  
107  
108  
109  
110  
111  
112  
113  
114  
115  
116  
117  
118  
119  
120  
121  
MSMC_mpf_error8  
MSMC_mpf_error9  
MSMC_mpf_error10  
MSMC_mpf_error11  
MSMC_mpf_error12  
MSMC_mpf_error13  
MSMC_mpf_error14  
MSMC_mpf_error15  
DDR3_ERR  
Memory protection fault indicators for each system master PrivID  
Memory protection fault indicators for each system master PrivID  
Memory protection fault indicators for each system master PrivID  
Memory protection fault indicators for each system master PrivID  
Memory protection fault indicators for each system master PrivID  
Memory protection fault indicators for each system master PrivID  
Memory protection fault indicators for each system master PrivID  
Memory protection fault indicators for each system master PrivID  
DDR3 EMIF error interrupt  
Hyperbridge_int_o  
INTDST0  
Hyperbridge Interrupt  
RapidIO Interrupt  
INTDST1  
RapidIO Interrupt  
INTDST2  
RapidIO Interrupt  
INTDST3  
RapidIO Interrupt  
INTDST4  
RapidIO Interrupt  
INTDST5  
RapidIO Interrupt  
INTDST6  
RapidIO Interrupt  
INTDST7  
RapidIO Interrupt  
INTDST8  
RapidIO Interrupt  
INTDST9  
RapidIO Interrupt  
180  
TMS320C6678 Peripheral Information and Electrical Specifications  
Copyright 2010 Texas Instruments Incorporated  
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
Table 7-33  
INTC1 Event Inputs (Secondary Interrupts for C66x CorePacs) (Part 4 of 4)  
Input Event# on CP_INTC System Interrupt  
Description  
122  
123  
124  
125  
126  
127  
128  
129  
130  
131  
132  
133  
134  
135  
136  
137  
138  
139  
140  
141  
INTDST10  
RapidIO Interrupt  
INTDST11  
RapidIO Interrupt  
INTDST12  
RapidIO Interrupt  
INTDST13  
RapidIO Interrupt  
INTDST14  
RapidIO Interrupt  
INTDST15  
RapidIO Interrupt  
EASYNCERR  
EMIF16 Error Interrupt  
CP_Tracer_core_4_INTD  
CP_Tracer_core_5_INTD  
CP_Tracer_core_6_INTD  
CP_Tracer_core_7_INTD  
QM_INT_CDMA_0  
QM_INT_CDMA_1  
RapidIO_INT_CDMA_0  
PASS_INT_CDMA_0  
SmartReflex_intrreq0  
SmartReflex_intrreq1  
SmartReflex_intrreq2  
SmartReflex_intrreq3  
VPNoSMPSAck  
CP_Tracer sliding time window interrupt for individual core  
CP_Tracer sliding time window interrupt for individual core  
CP_Tracer sliding time window interrupt for individual core  
CP_Tracer sliding time window interrupt for individual core  
QM Interrupt for CDMA Starvation  
QM Interrupt for CDMA Starvation  
RapidIO Interrupt for CDMA Starvation  
PASS Interrupt for CDMA Starvation  
SmartReflex Sensor interrupt  
SmartReflex Sensor interrupt  
SmartReflex Sensor interrupt  
SmartReflex Sensor interrupt  
VPVOLTUPDATE has been asserted but SMPS has not been responded in a  
defined time interval  
142  
VPEqValue  
SRSINTERUPTZ is asserted, but the new voltage is not different from the  
current SMPS voltage.  
143  
VPMaxVdd  
The new voltage required is equal to or greater than MaxVdd.  
The new voltage required is equal to or less than MinVdd.  
Indicating that the FSM of Voltage Processor is in idle.  
144  
VPMinVdd  
145  
VPINIDLE  
146  
VPOPPChangeDone  
Reserved  
Indicating that the average frequency error is within the desired limit.  
147  
148  
UARTINT  
UART Interrupt  
149  
URXEVT  
UART Receive Event  
150  
UTXEVT  
UART Transmit Event  
151  
QM_INT_PASS_TXQ_PEND_23  
QM_INT_PASS_TXQ_PEND_24  
QM_INT_PASS_TXQ_PEND_25  
QM_INT_PASS_TXQ_PEND_26  
QM_INT_PASS_TXQ_PEND_27  
QM_INT_PASS_TXQ_PEND_28  
QM_INT_PASS_TXQ_PEND_29  
QM_INT_PASS_TXQ_PEND_30  
QM_INT_PASS_TXQ_PEND_31  
QM_SS_PASS pend event  
QM_SS_PASS pend event  
QM_SS_PASS pend event  
QM_SS_PASS pend event  
QM_SS_PASS pend event  
QM_SS_PASS pend event  
QM_SS_PASS pend event  
QM_SS_PASS pend event  
QM_SS_PASS pend event  
152  
153  
154  
155  
156  
157  
158  
159  
End of Table 7-33  
Copyright 2010 Texas Instruments Incorporated  
TMS320C6678 Peripheral Information and Electrical Specifications 181  
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
Table 7-34  
INTC2 Event Inputs (Secondary Events for TPCC1 and TPCC2) (Part 1 of 4)  
Input Event # on INTC System Interrupt  
Description  
0
GPINT8  
GPIO Interrupt  
1
GPINT9  
GPIO Interrupt  
2
GPINT10  
GPIO Interrupt  
3
GPINT11  
GPIO Interrupt  
4
GPINT12  
GPIO Interrupt  
5
GPINT13  
GPIO Interrupt  
6
GPINT14  
GPIO Interrupt  
7
GPINT15  
GPIO Interrupt  
8
TETBHFULLINT  
TETBFULLINT  
TETB is half full  
9
TETB is full  
10  
11  
12  
13  
14  
15  
16  
17  
18  
19  
20  
21  
22  
23  
24  
25  
26  
27  
28  
29  
30  
31  
32  
33  
34  
35  
36  
37  
38  
39  
40  
41  
42  
43  
TETBACQINT  
Acquisition has been completed  
TETB is half full  
TETBHFULLINT0  
TETBFULLINT0  
TETBACQINT0  
TETBHFULLINT1  
TETBFULLINT1  
TETBACQINT1  
TETBHFULLINT2  
TETBFULLINT2  
TETBACQINT2  
TETBHFULLINT3  
TETBFULLINT3  
TETBACQINT3  
Reserved  
TETB is full  
Acquisition has been completed  
TETB is half full  
TETB is full  
Acquisition has been completed  
TETB is half full  
TETB is full  
Acquisition has been completed  
TETB is half full  
TETB is full  
Acquisition has been completed  
QM_INT_HIGH_16  
QM_INT_HIGH_17  
QM_INT_HIGH_18  
QM_INT_HIGH_19  
QM_INT_HIGH_20  
QM_INT_HIGH_21  
QM_INT_HIGH_22  
QM_INT_HIGH_23  
QM_INT_HIGH_24  
QM_INT_HIGH_25  
QM_INT_HIGH_26  
QM_INT_HIGH_27  
QM_INT_HIGH_28  
QM_INT_HIGH_29  
QM_INT_HIGH_30  
QM_INT_HIGH_31  
mdio_link_intr0  
mdio_link_intr1  
mdio_user_intr0  
mdio_user_intr1  
QM Interrupt for IPC_core_0  
QM Interrupt for IPC_core_1  
QM Interrupt for IPC_core_2  
QM Interrupt for IPC_core_3  
QM Interrupt for IPC_core_4  
QM Interrupt for IPC_core_5  
QM Interrupt for IPC_core_6  
QM Interrupt for IPC_core_7  
QM Interrupt for IPC_core_0  
QM Interrupt for IPC_core_1  
QM Interrupt for IPC_core_2  
QM Interrupt for IPC_core_3  
QM Interrupt for IPC_core_4  
QM Interrupt for IPC_core_5  
QM Interrupt for IPC_core_6  
QM Interrupt for IPC_core_7  
PASS_mdio Interrupt  
PASS_mdio Interrupt  
PASS_mdio Interrupt  
PASS_mdio Interrupt  
182  
TMS320C6678 Peripheral Information and Electrical Specifications  
Copyright 2010 Texas Instruments Incorporated  
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
Table 7-34  
INTC2 Event Inputs (Secondary Events for TPCC1 and TPCC2) (Part 2 of 4)  
Input Event # on INTC System Interrupt  
Description  
44  
45  
46  
47  
48  
49  
50  
51  
52  
53  
54  
55  
56  
57  
58  
59  
60  
61  
62  
63  
64  
misc_intr  
PASS_misc Interrupt  
Tracer_core_0_INTD  
Tracer_core_1_INTD  
Tracer_core_2_INTD  
Tracer_core_3_INTD  
Tracer_DDR_INTD  
Tracer_MSMC_0_INTD  
Tracer_MSMC_1_INTD  
Tracer_MSMC_2_INTD  
Tracer_MSMC_3_INTD  
Tracer_CFG_INTD  
Tracer_QM_SS_CFG_INTD  
Tracer_QM_SS_DMA_INTD  
Tracer_SEM_INTD  
SEMERR0  
Tracer sliding time window interrupt for individual core  
Tracer sliding time window interrupt for individual core  
Tracer sliding time window interrupt for individual core  
Tracer sliding time window interrupt for individual core  
Tracer sliding time window interrupt for DDR3 EMIF1  
Tracer sliding time window interrupt for MSMC SRAM Bank0  
Tracer sliding time window interrupt for MSMC SRAM Bank1  
Tracer sliding time window interrupt for MSMC SRAM Bank2  
Tracer sliding time window interrupt for MSMC SRAM Bank3  
Tracer sliding time window interrupt for CFG0 SCR  
Tracer sliding time window interrupt for QM_SS CFG  
Tracer sliding time window interrupt for QM_SS Slave port  
Tracer sliding time window interrupt for Semaphore  
Semaphore interrupt  
SEMERR1  
Semaphore interrupt  
SEMERR2  
Semaphore interrupt  
SEMERR3  
Semaphore interrupt  
BOOTCFG_INTD  
BOOTCFG Interrupt BOOTCFG_ERR and BOOTCFG_PROT  
PASS Interrupt for CDMA Starvation  
PASS_INT_CDMA_0  
MPU0_INTD (MPU0_ADDR_ERR_INT and  
MPU0_PROT_ERR_INT combined)  
MPU0 Addressing violation interrupt and Protection violation interrupt.  
65  
66  
MSMC_scrub_cerror  
Correctable (1-bit) soft error detected during scrub cycle  
MPU1_INTD (MPU1_ADDR_ERR_INT and  
MPU1_PROT_ERR_INT combined)  
MPU1 Addressing violation interrupt and Protection violation interrupt.  
67  
68  
RapidIO_INT_CDMA_0  
RapidIO Interrupt for CDMA Starvation  
MPU2_INTD (MPU2_ADDR_ERR_INT and  
MPU2_PROT_ERR_INT combined)  
MPU2 Addressing violation interrupt and Protection violation interrupt.  
69  
70  
QM_INT_CDMA_0  
QM Interrupt for CDMA Starvation  
MPU3_INTD (MPU3_ADDR_ERR_INT and  
MPU3_PROT_ERR_INT combined)  
MPU3 Addressing violation interrupt and Protection violation interrupt.  
71  
72  
73  
74  
75  
76  
77  
78  
79  
80  
81  
82  
83  
84  
QM_INT_CDMA_1  
MSMC_dedc_cerror  
MSMC_dedc_nc_error  
MSMC_scrub_nc_error  
Reserved  
QM Interrupt for CDMA Starvation  
Correctable (1-bit) soft error detected on SRAM read  
Non-correctable (2-bit) soft error detected on SRAM read  
Non-correctable (2-bit) soft error detected during scrub cycle  
MSMC_mpf_error0  
MSMC_mpf_error1  
MSMC_mpf_error2  
MSMC_mpf_error3  
MSMC_mpf_error4  
MSMC_mpf_error5  
MSMC_mpf_error6  
MSMC_mpf_error7  
MSMC_mpf_error8  
Memory protection fault indicators for each system master PrivID  
Memory protection fault indicators for each system master PrivID  
Memory protection fault indicators for each system master PrivID  
Memory protection fault indicators for each system master PrivID  
Memory protection fault indicators for each system master PrivID  
Memory protection fault indicators for each system master PrivID  
Memory protection fault indicators for each system master PrivID  
Memory protection fault indicators for each system master PrivID  
Memory protection fault indicators for each system master PrivID  
Copyright 2010 Texas Instruments Incorporated  
TMS320C6678 Peripheral Information and Electrical Specifications 183  
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
Table 7-34  
INTC2 Event Inputs (Secondary Events for TPCC1 and TPCC2) (Part 3 of 4)  
Input Event # on INTC System Interrupt  
Description  
85  
MSMC_mpf_error9  
MSMC_mpf_error10  
MSMC_mpf_error11  
MSMC_mpf_error12  
MSMC_mpf_error13  
MSMC_mpf_error14  
MSMC_mpf_error15  
Reserved  
Memory protection fault indicators for each system master PrivID  
Memory protection fault indicators for each system master PrivID  
Memory protection fault indicators for each system master PrivID  
Memory protection fault indicators for each system master PrivID  
Memory protection fault indicators for each system master PrivID  
Memory protection fault indicators for each system master PrivID  
Memory protection fault indicators for each system master PrivID  
86  
87  
88  
89  
90  
91  
92  
93  
INTDST0  
RapidIO Interrupt  
RapidIO Interrupt  
RapidIO Interrupt  
RapidIO Interrupt  
RapidIO Interrupt  
RapidIO Interrupt  
RapidIO Interrupt  
RapidIO Interrupt  
RapidIO Interrupt  
RapidIO Interrupt  
RapidIO Interrupt  
RapidIO Interrupt  
RapidIO Interrupt  
RapidIO Interrupt  
RapidIO Interrupt  
RapidIO Interrupt  
RapidIO Interrupt  
RapidIO Interrupt  
RapidIO Interrupt  
RapidIO Interrupt  
RapidIO Interrupt  
RapidIO Interrupt  
RapidIO Interrupt  
RapidIO Interrupt  
EMIF16 Error Interrupt  
TETB is half full  
94  
INTDST1  
95  
INTDST2  
96  
INTDST3  
97  
INTDST4  
98  
INTDST5  
99  
INTDST6  
100  
101  
102  
103  
104  
105  
106  
107  
108  
109  
110  
111  
112  
113  
114  
115  
116  
117  
118  
119  
120  
121  
122  
123  
124  
125  
126  
127  
128  
INTDST7  
INTDST8  
INTDST9  
INTDST10  
INTDST11  
INTDST12  
INTDST13  
INTDST14  
INTDST15  
INTDST16  
INTDST17  
INTDST18  
INTDST19  
INTDST20  
INTDST21  
INTDST22  
INTDST23  
EASYNCERR  
TETBHFULLINT4  
TETBFULLINT4  
TETBACQINT4  
TETBHFULLINT5  
TETBFULLINT5  
TETBACQINT5  
TETBHFULLINT6  
TETBFULLINT6  
TETBACQINT6  
TETBHFULLINT7  
TETBFULLINT7  
TETB is full  
Acquisition has been completed  
TETB is half full  
TETB is full  
Acquisition has been completed  
TETB is half full  
TETB is full  
Acquisition has been completed  
TETB is half full  
TETB is full  
184  
TMS320C6678 Peripheral Information and Electrical Specifications  
Copyright 2010 Texas Instruments Incorporated  
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
Table 7-34  
INTC2 Event Inputs (Secondary Events for TPCC1 and TPCC2) (Part 4 of 4)  
Input Event # on INTC System Interrupt  
Description  
129  
TETBACQINT7  
Acquisition has been completed  
CP_Tracer sliding time window interrupt for individual core  
CP_Tracer sliding time window interrupt for individual core  
CP_Tracer sliding time window interrupt for individual core  
CP_Tracer sliding time window interrupt for individual core  
Semaphore error interrupt  
Semaphore error interrupt  
Semaphore error interrupt  
Semaphore error interrupt  
QM interrupt  
130  
CP_Tracer_core_4_INTD  
CP_Tracer_core_5_INTD  
CP_Tracer_core_6_INTD  
CP_Tracer_core_7_INTD  
SEMERR4  
131  
132  
133  
134  
135  
SEMERR5  
136  
SEMERR6  
137  
SEMERR7  
138  
QM_INT_HIGH_0  
QM_INT_HIGH_1  
QM_INT_HIGH_2  
QM_INT_HIGH_3  
QM_INT_HIGH_4  
QM_INT_HIGH_5  
139  
QM interrupt  
140  
QM interrupt  
141  
QM interrupt  
142  
QM interrupt  
143  
QM interrupt  
End of Table 7-34  
Table 7-35  
INTC3 Event Inputs (Secondary Events for TPCC0 and HyperLink) (Part 1 of 2)  
Input Event # on INTC System Interrupt  
Description  
0
GPINT0  
GPIO Interrupt  
1
GPINT1  
GPIO Interrupt  
2
GPINT2  
GPIO Interrupt  
3
GPINT3  
GPIO Interrupt  
4
GPINT4  
GPIO Interrupt  
5
GPINT5  
GPIO Interrupt  
6
GPINT6  
GPIO Interrupt  
7
GPINT7  
GPIO Interrupt  
8
GPINT8  
GPIO Interrupt  
9
GPINT9  
GPIO Interrupt  
10  
11  
12  
13  
14  
15  
16  
17  
18  
19  
20  
21  
22  
23  
24  
GPINT10  
GPIO Interrupt  
GPINT11  
GPIO Interrupt  
GPINT12  
GPIO Interrupt  
GPINT13  
GPIO Interrupt  
GPINT14  
GPIO Interrupt  
GPINT15  
GPIO Interrupt  
TETBHFULLINT  
TETBFULLINT  
TETBACQINT  
TETBHFULLINT0  
TETBFULLINT0  
TETBACQINT0  
TETBHFULLINT1  
TETBFULLINT1  
TETBACQINT1  
TETB is half full  
TETB is full  
Acquisition has been completed  
TETB is half full  
TETB is full  
Acquisition has been completed  
TETB is half full  
TETB is full  
Acquisition has been completed  
Copyright 2010 Texas Instruments Incorporated  
TMS320C6678 Peripheral Information and Electrical Specifications 185  
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
Table 7-35  
INTC3 Event Inputs (Secondary Events for TPCC0 and HyperLink) (Part 2 of 2)  
Input Event # on INTC System Interrupt  
Description  
25  
TETBHFULLINT2  
TETB is half full  
26  
TETBFULLINT2  
TETB is full  
27  
TETBACQINT2  
Acquisition has been completed  
28  
TETBHFULLINT3  
TETB is half full  
29  
TETBFULLINT3  
TETB is full  
30  
TETBACQINT3  
Acquisition has been completed  
31  
Tracer_core_0_INTD  
Tracer_core_1_INTD  
Tracer_core_2_INTD  
Tracer_core_3_INTD  
Tracer_DDR_INTD  
Tracer_MSMC_0_INTD  
Tracer_MSMC_1_INTD  
Tracer_MSMC_2_INTD  
Tracer_MSMC_3_INTD  
Tracer_CFG_INTD  
Tracer_QM_SS_CFG_INTD  
Tracer_QM_SS_DMA_INTD  
Tracer_SEM_INTD  
vusr_int_o  
Tracer sliding time window interrupt for individual core  
Tracer sliding time window interrupt for individual core  
Tracer sliding time window interrupt for individual core  
Tracer sliding time window interrupt for individual core  
Tracer sliding time window interrupt for DDR3 EMIF1  
Tracer sliding time window interrupt for MSMC SRAM Bank0  
Tracer sliding time window interrupt for MSMC SRAM Bank1  
Tracer sliding time window interrupt for MSMC SRAM Bank2  
Tracer sliding time window interrupt for MSMC SRAM Bank3  
Tracer sliding time window interrupt for CFG0 SCR  
Tracer sliding time window interrupt for QM_SS CFG  
Tracer sliding time window interrupt for QM_SS Slave port  
Tracer sliding time window interrupt for Semaphore  
HyperLink Interrupt  
32  
33  
34  
35  
36  
37  
38  
39  
40  
41  
42  
43  
44  
45  
TETBHFULLINT4  
TETB is half full  
46  
TETBFULLINT4  
TETB is full  
47  
TETBACQINT4  
Acquisition has been completed  
48  
TETBHFULLINT5  
TETB is half full  
49  
TETBFULLINT5  
TETB is full  
50  
TETBACQINT5  
Acquisition has been completed  
51  
TETBHFULLINT6  
TETB is half full  
52  
TETBFULLINT6  
TETB is full  
53  
TETBACQINT6  
Acquisition has been completed  
54  
TETBHFULLINT7  
TETB is half full  
55  
TETBFULLINT7  
TETB is full  
56  
TETBACQINT7  
Acquisition has been completed  
57  
CP_Tracer_core_4_INTD  
CP_Tracer_core_5_INTD  
CP_Tracer_core_6_INTD  
CP_Tracer_core_7_INTD  
DDR3_ERR  
CP_Tracer sliding time window interrupt for individual core  
CP_Tracer sliding time window interrupt for individual core  
CP_Tracer sliding time window interrupt for individual core  
CP_Tracer sliding time window interrupt for individual core  
DDR3 EMIF Error interrupt  
58  
59  
60  
61  
62  
po_vp_smpsack_intr  
Indicating that Volt_Proc receives the r-edge at its smpsack input.  
End of Table 7-35  
7.5.2 INTC Registers  
This section includes the offsets for INTC registers. The base addresses for interrupt control registers are INTC0 -  
0x0260 0000, INTC1 - 0x0260 4000, INTC2 - 0x0260 8000, and INTC3 - 0x0260 C000INTC0 - 0x0260 0000, INTC1  
- 0x0260 4000  
186  
TMS320C6678 Peripheral Information and Electrical Specifications  
Copyright 2010 Texas Instruments Incorporated  
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
7.5.2.1 INTC0/INTC1 Register Map  
Table 7-36  
INTC0/INTC1 Register  
Address Offset  
0x0  
Register Mnemonic  
REVISION_REG  
Register Name  
Revision Register  
0x4  
CONTROL_REG  
Control Register  
0xc  
HOST_CONTROL_REG  
GLOBAL_ENABLE_HINT_REG  
STATUS_SET_INDEX_REG  
STATUS_CLR_INDEX_REG  
ENABLE_SET_INDEX_REG  
ENABLE_CLR_INDEX_REG  
HINT_ENABLE_SET_INDEX_REG  
HINT_ENABLE_CLR_INDEX_REG  
RAW_STATUS_REG0  
RAW_STATUS_REG1  
RAW_STATUS_REG2  
RAW_STATUS_REG3  
RAW_STATUS_REG4  
ENA_STATUS_REG0  
ENA_STATUS_REG1  
ENA_STATUS_REG2  
ENA_STATUS_REG3  
ENA_STATUS_REG4  
ENABLE_REG0  
Host Control Register  
0x10  
Global Host Int Enable Register  
Status Set Index Register  
0x20  
0x24  
Status Clear Index Register  
Enable Set Index Register  
0x28  
0x2c  
Enable Clear Index Register  
Host Int Enable Set Index Register  
Host Int Enable Clear Index Register  
Raw Status Register 0  
0x34  
0x38  
0x200  
0x204  
0x208  
0x20c  
0x210  
0x280  
0x284  
0x288  
0x28c  
0x290  
0x300  
0x304  
0x308  
0x30c  
0x310  
0x380  
0x384  
0x388  
0x38c  
0x390  
0x400  
0x404  
0x408  
0x40c  
0x410  
0x414  
0x418  
0x41c  
0x420  
0x424  
0x428  
0x42c  
Raw Status Register 1  
Raw Status Register 2  
Raw Status Register 3  
Raw Status Register 4  
Enabled Status Register 0  
Enabled Status Register 1  
Enabled Status Register 2  
Enabled Status Register 3  
Enabled Status Register 4  
Enable Register 0  
ENABLE_REG1  
Enable Register 1  
ENABLE_REG2  
Enable Register 2  
ENABLE_REG3  
Enable Register 3  
ENABLE_REG4  
Enable Register 4  
ENABLE_CLR_REG0  
ENABLE_CLR_REG1  
ENABLE_CLR_REG2  
ENABLE_CLR_REG3  
ENABLE_CLR_REG4  
CH_MAP_REG0  
Enable Clear Register 0  
Enable Clear Register 1  
Enable Clear Register 2  
Enable Clear Register 3  
Enable Clear Register 4  
Interrupt Channel Map Register for 0 to 0+3  
Interrupt Channel Map Register for 4 to 4+3  
Interrupt Channel Map Register for 8 to 8+3  
Interrupt Channel Map Register for 12 to 12+3  
Interrupt Channel Map Register for 16 to 16+3  
Interrupt Channel Map Register for 20 to 20+3  
Interrupt Channel Map Register for 24 to 24+3  
Interrupt Channel Map Register for 28 to 28+3  
Interrupt Channel Map Register for 32 to 32+3  
Interrupt Channel Map Register for 36 to 36+3  
Interrupt Channel Map Register for 40 to 40+3  
Interrupt Channel Map Register for 44 to 44+3  
CH_MAP_REG1  
CH_MAP_REG2  
CH_MAP_REG3  
CH_MAP_REG4  
CH_MAP_REG5  
CH_MAP_REG6  
CH_MAP_REG7  
CH_MAP_REG8  
CH_MAP_REG9  
CH_MAP_REG10  
CH_MAP_REG11  
Copyright 2010 Texas Instruments Incorporated  
TMS320C6678 Peripheral Information and Electrical Specifications 187  
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
Table 7-36  
INTC0/INTC1 Register  
Address Offset  
0x430  
0x434  
0x438  
0x43c  
0x440  
0x444  
0x448  
0x44c  
0x450  
0x454  
0x458  
0x45c  
0x460  
0x464  
0x468  
0x46c  
0x470  
0x474  
0x478  
0x47c  
0x480  
0x484  
0x488  
0x48c  
0x490  
0x494  
0x498  
0x49c  
0x800  
0x804  
0x808  
0x80c  
0x810  
0x814  
0x818  
0x81c  
0x820  
0x824  
0x828  
0x82c  
0x830  
0x834  
0x838  
0x83c  
Register Mnemonic  
CH_MAP_REG12  
CH_MAP_REG13  
CH_MAP_REG14  
CH_MAP_REG15  
CH_MAP_REG16  
CH_MAP_REG17  
CH_MAP_REG18  
CH_MAP_REG19  
CH_MAP_REG20  
CH_MAP_REG21  
CH_MAP_REG22  
CH_MAP_REG23  
CH_MAP_REG24  
CH_MAP_REG25  
CH_MAP_REG26  
CH_MAP_REG27  
CH_MAP_REG28  
CH_MAP_REG29  
CH_MAP_REG30  
CH_MAP_REG31  
CH_MAP_REG32  
CH_MAP_REG33  
CH_MAP_REG34  
CH_MAP_REG35  
CH_MAP_REG36  
CH_MAP_REG37  
CH_MAP_REG38  
CH_MAP_REG39  
HINT_MAP_REG0  
HINT_MAP_REG1  
HINT_MAP_REG2  
HINT_MAP_REG3  
HINT_MAP_REG4  
HINT_MAP_REG5  
HINT_MAP_REG6  
HINT_MAP_REG7  
HINT_MAP_REG8  
HINT_MAP_REG9  
HINT_MAP_REG10  
HINT_MAP_REG11  
HINT_MAP_REG12  
HINT_MAP_REG13  
HINT_MAP_REG14  
HINT_MAP_REG15  
Register Name  
Interrupt Channel Map Register for 48 to 48+3  
Interrupt Channel Map Register for 52 to 52+3  
Interrupt Channel Map Register for 56 to 56+3  
Interrupt Channel Map Register for 60 to 60+3  
Interrupt Channel Map Register for 64 to 64+3  
Interrupt Channel Map Register for 68 to 68+3  
Interrupt Channel Map Register for 72 to 72+3  
Interrupt Channel Map Register for 76 to 76+3  
Interrupt Channel Map Register for 80 to 80+3  
Interrupt Channel Map Register for 84 to 84+3  
Interrupt Channel Map Register for 88 to 88+3  
Interrupt Channel Map Register for 92 to 92+3  
Interrupt Channel Map Register for 96 to 96+3  
Interrupt Channel Map Register for 100 to 100+3  
Interrupt Channel Map Register for 104 to 104+3  
Interrupt Channel Map Register for 108 to 108+3  
Interrupt Channel Map Register for 112 to 112+3  
Interrupt Channel Map Register for 116 to 116+3  
Interrupt Channel Map Register for 120 to 120+3  
Interrupt Channel Map Register for 124 to 124+3  
Interrupt Channel Map Register for 128 to 128+3  
Interrupt Channel Map Register for 132 to 132+3  
Interrupt Channel Map Register for 136 to 136+3  
Interrupt Channel Map Register for 140 to 140+3  
Interrupt Channel Map Register for 144 to 144+3  
Interrupt Channel Map Register for 148 to 148+3  
Interrupt Channel Map Register for 152 to 152+3  
Interrupt Channel Map Register for 156 to 156+3  
Host Interrupt Map Register for 0 to 0+3  
Host Interrupt Map Register for 4 to 4+3  
Host Interrupt Map Register for 8 to 8+3  
Host Interrupt Map Register for 12 to 12+3  
Host Interrupt Map Register for 16 to 16+3  
Host Interrupt Map Register for 20 to 20+3  
Host Interrupt Map Register for 24 to 24+3  
Host Interrupt Map Register for 28 to 28+3  
Host Interrupt Map Register for 32 to 32+3  
Host Interrupt Map Register for 36 to 36+3  
Host Interrupt Map Register for 40 to 40+3  
Host Interrupt Map Register for 44 to 44+3  
Host Interrupt Map Register for 48 to 48+3  
Host Interrupt Map Register for 52 to 52+3  
Host Interrupt Map Register for 56 to 56+3  
Host Interrupt Map Register for 60 to 60+3  
188  
TMS320C6678 Peripheral Information and Electrical Specifications  
Copyright 2010 Texas Instruments Incorporated  
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
Table 7-36  
INTC0/INTC1 Register  
Address Offset  
0x840  
Register Mnemonic  
HINT_MAP_REG16  
HINT_MAP_REG17  
HINT_MAP_REG18  
ENABLE_HINT_REG0  
ENABLE_HINT_REG1  
ENABLE_HINT_REG2  
Register Name  
Host Interrupt Map Register for 64 to 64+3  
Host Interrupt Map Register for 68 to 68+3  
Host Interrupt Map Register for 72 to 72+3  
Host Int Enable Register 0  
0x844  
0x848  
0x1500  
0x1504  
0x1508  
Host Int Enable Register 1  
Host Int Enable Register 2  
End of Table 7-36  
7.5.2.2 INTC2 Register Map  
Table 7-37  
INTC2 Register  
Address Offset  
0x0  
Register Mnemonic  
Register Name  
REVISION_REG  
Revision Register  
0x10  
GLOBAL_ENABLE_HINT_REG  
STATUS_SET_INDEX_REG  
STATUS_CLR_INDEX_REG  
ENABLE_SET_INDEX_REG  
ENABLE_CLR_INDEX_REG  
HINT_ENABLE_SET_INDEX_REG  
HINT_ENABLE_CLR_INDEX_REG  
RAW_STATUS_REG0  
RAW_STATUS_REG1  
RAW_STATUS_REG2  
RAW_STATUS_REG3  
RAW_STATUS_REG4  
ENA_STATUS_REG0  
ENA_STATUS_REG1  
ENA_STATUS_REG2  
ENA_STATUS_REG3  
ENA_STATUS_REG4  
ENABLE_REG0  
Global Host Int Enable Register  
Status Set Index Register  
Status Clear Index Register  
Enable Set Index Register  
Enable Clear Index Register  
Host Int Enable Set Index Register  
Host Int Enable Clear Index Register  
Raw Status Register 0  
0x20  
0x24  
0x28  
0x2c  
0x34  
0x38  
0x200  
0x204  
0x208  
0x20c  
0x210  
0x280  
0x284  
0x288  
0x28c  
0x290  
0x300  
0x304  
0x308  
0x30c  
0x310  
0x380  
0x384  
0x388  
0x38c  
0x390  
0x400  
0x404  
0x408  
0x40c  
Raw Status Register 1  
Raw Status Register 2  
Raw Status Register 3  
Raw Status Register 4  
Enabled Status Register 0  
Enabled Status Register 1  
Enabled Status Register 2  
Enabled Status Register 3  
Enabled Status Register 4  
Enable Register 0  
ENABLE_REG1  
Enable Register 1  
ENABLE_REG2  
Enable Register 2  
ENABLE_REG3  
Enable Register 3  
ENABLE_REG4  
Enable Register 4  
ENABLE_CLR_REG0  
ENABLE_CLR_REG1  
ENABLE_CLR_REG2  
ENABLE_CLR_REG3  
ENABLE_CLR_REG4  
CH_MAP_REG0  
Enable Clear Register 0  
Enable Clear Register 1  
Enable Clear Register 2  
Enable Clear Register 3  
Enable Clear Register 4  
Interrupt Channel Map Register for 0 to 0+3  
Interrupt Channel Map Register for 4 to 4+3  
Interrupt Channel Map Register for 8 to 8+3  
Interrupt Channel Map Register for 12 to 12+3  
CH_MAP_REG1  
CH_MAP_REG2  
CH_MAP_REG3  
Copyright 2010 Texas Instruments Incorporated  
TMS320C6678 Peripheral Information and Electrical Specifications 189  
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
Table 7-37  
INTC2 Register  
Address Offset  
0x410  
0x414  
0x418  
0x41c  
0x420  
0x424  
0x428  
0x42c  
0x430  
0x434  
0x438  
0x43c  
0x440  
0x444  
0x448  
0x44c  
0x450  
0x454  
0x458  
0x45c  
0x460  
0x464  
0x468  
0x46c  
0x470  
0x474  
0x478  
0x47c  
0x480  
0x484  
0x488  
0x48c  
0x490  
0x494  
0x498  
0x49c  
0x800  
0x804  
0x808  
0x80c  
0x810  
0x814  
0x818  
0x81c  
Register Mnemonic  
Register Name  
CH_MAP_REG4  
CH_MAP_REG5  
CH_MAP_REG6  
CH_MAP_REG7  
CH_MAP_REG8  
CH_MAP_REG9  
CH_MAP_REG10  
CH_MAP_REG11  
CH_MAP_REG12  
CH_MAP_REG13  
CH_MAP_REG14  
CH_MAP_REG15  
CH_MAP_REG16  
CH_MAP_REG17  
CH_MAP_REG18  
CH_MAP_REG19  
CH_MAP_REG20  
CH_MAP_REG21  
CH_MAP_REG22  
CH_MAP_REG23  
CH_MAP_REG24  
CH_MAP_REG25  
CH_MAP_REG26  
CH_MAP_REG27  
CH_MAP_REG28  
CH_MAP_REG29  
CH_MAP_REG30  
CH_MAP_REG31  
CH_MAP_REG32  
CH_MAP_REG33  
CH_MAP_REG34  
CH_MAP_REG35  
CH_MAP_REG36  
CH_MAP_REG37  
CH_MAP_REG38  
CH_MAP_REG39  
HINT_MAP_REG0  
HINT_MAP_REG1  
HINT_MAP_REG2  
HINT_MAP_REG3  
HINT_MAP_REG4  
HINT_MAP_REG5  
HINT_MAP_REG6  
HINT_MAP_REG7  
Interrupt Channel Map Register for 16 to 16+3  
Interrupt Channel Map Register for 20 to 20+3  
Interrupt Channel Map Register for 24 to 24+3  
Interrupt Channel Map Register for 28 to 28+3  
Interrupt Channel Map Register for 32 to 32+3  
Interrupt Channel Map Register for 36 to 36+3  
Interrupt Channel Map Register for 40 to 40+3  
Interrupt Channel Map Register for 44 to 44+3  
Interrupt Channel Map Register for 48 to 48+3  
Interrupt Channel Map Register for 52 to 52+3  
Interrupt Channel Map Register for 56 to 56+3  
Interrupt Channel Map Register for 60 to 60+3  
Interrupt Channel Map Register for 64 to 64+3  
Interrupt Channel Map Register for 68 to 68+3  
Interrupt Channel Map Register for 72 to 72+3  
Interrupt Channel Map Register for 76 to 76+3  
Interrupt Channel Map Register for 80 to 80+3  
Interrupt Channel Map Register for 84 to 84+3  
Interrupt Channel Map Register for 88 to 88+3  
Interrupt Channel Map Register for 92 to 92+3  
Interrupt Channel Map Register for 96 to 96+3  
Interrupt Channel Map Register for 100 to 100+3  
Interrupt Channel Map Register for 104 to 104+3  
Interrupt Channel Map Register for 108 to 108+3  
Interrupt Channel Map Register for 112 to 112+3  
Interrupt Channel Map Register for 116 to 116+3  
Interrupt Channel Map Register for 120 to 120+3  
Interrupt Channel Map Register for 124 to 124+3  
Interrupt Channel Map Register for 128 to 128+3  
Interrupt Channel Map Register for 132 to 132+3  
Interrupt Channel Map Register for 136 to 136+3  
Interrupt Channel Map Register for 140 to 140+3  
Interrupt Channel Map Register for 144 to 144+3  
Interrupt Channel Map Register for 148 to 148+3  
Interrupt Channel Map Register for 152 to 152+3  
Interrupt Channel Map Register for 156 to 156+3  
Host Interrupt Map Register for 0 to 0+3  
Host Interrupt Map Register for 4 to 4+3  
Host Interrupt Map Register for 8 to 8+3  
Host Interrupt Map Register for 12 to 12+3  
Host Interrupt Map Register for 16 to 16+3  
Host Interrupt Map Register for 20 to 20+3  
Host Interrupt Map Register for 24 to 24+3  
Host Interrupt Map Register for 28 to 28+3  
190  
TMS320C6678 Peripheral Information and Electrical Specifications  
Copyright 2010 Texas Instruments Incorporated  
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
Table 7-37  
INTC2 Register  
Address Offset  
0x820  
Register Mnemonic  
Register Name  
HINT_MAP_REG8  
HINT_MAP_REG9  
HINT_MAP_REG10  
HINT_MAP_REG11  
HINT_MAP_REG12  
ENABLE_HINT_REG0  
ENABLE_HINT_REG1  
Host Interrupt Map Register for 32 to 32+3  
Host Interrupt Map Register for 36 to 36+3  
Host Interrupt Map Register for 40 to 40+3  
Host Interrupt Map Register for 44 to 44+3  
Host Interrupt Map Register for 48 to 48+3  
Host Int Enable Register 0  
0x824  
0x828  
0x82c  
0x830  
0x1500  
0x1504  
Host Int Enable Register 1  
End of Table 7-37 End of Table 7-37  
End of Table 7-37  
7.5.2.3 INTC3 Register Map  
Table 7-38  
INTC3 Register  
Address Offset  
0x0  
Register Mnemonic  
Register Name  
REVISION_REG  
Revision Register  
0x10  
GLOBAL_ENABLE_HINT_REG  
STATUS_SET_INDEX_REG  
STATUS_CLR_INDEX_REG  
ENABLE_SET_INDEX_REG  
ENABLE_CLR_INDEX_REG  
HINT_ENABLE_SET_INDEX_REG  
HINT_ENABLE_CLR_INDEX_REG  
RAW_STATUS_REG0  
RAW_STATUS_REG1  
ENA_STATUS_REG0  
ENA_STATUS_REG1  
ENABLE_REG0  
Global Host Int Enable Register  
0x20  
Status Set Index Register  
0x24  
Status Clear Index Register  
0x28  
Enable Set Index Register  
0x2c  
Enable Clear Index Register  
0x34  
Host Int Enable Set Index Register  
Host Int Enable Clear Index Register  
Raw Status Register 0  
0x38  
0x200  
0x204  
0x280  
0x284  
0x300  
0x304  
0x380  
0x384  
0x400  
0x404  
0x408  
0x40c  
0x410  
0x414  
0x418  
0x41c  
0x420  
0x424  
0x428  
0x42c  
0x430  
0x434  
0x438  
Raw Status Register 1  
Enabled Status Register 0  
Enabled Status Register 1  
Enable Register 0  
ENABLE_REG1  
Enable Register 1  
ENABLE_CLR_REG0  
ENABLE_CLR_REG1  
CH_MAP_REG0  
Enable Clear Register 0  
Enable Clear Register 1  
Interrupt Channel Map Register for 0 to 0+3  
Interrupt Channel Map Register for 4 to 4+3  
Interrupt Channel Map Register for 8 to 8+3  
Interrupt Channel Map Register for 12 to 12+3  
Interrupt Channel Map Register for 16 to 16+3  
Interrupt Channel Map Register for 20 to 20+3  
Interrupt Channel Map Register for 24 to 24+3  
Interrupt Channel Map Register for 28 to 28+3  
Interrupt Channel Map Register for 32 to 32+3  
Interrupt Channel Map Register for 36 to 36+3  
Interrupt Channel Map Register for 40 to 40+3  
Interrupt Channel Map Register for 44 to 44+3  
Interrupt Channel Map Register for 48 to 48+3  
Interrupt Channel Map Register for 52 to 52+3  
Interrupt Channel Map Register for 56 to 56+3  
CH_MAP_REG1  
CH_MAP_REG2  
CH_MAP_REG3  
CH_MAP_REG4  
CH_MAP_REG5  
CH_MAP_REG6  
CH_MAP_REG7  
CH_MAP_REG8  
CH_MAP_REG9  
CH_MAP_REG10  
CH_MAP_REG11  
CH_MAP_REG12  
CH_MAP_REG13  
CH_MAP_REG14  
Copyright 2010 Texas Instruments Incorporated  
TMS320C6678 Peripheral Information and Electrical Specifications 191  
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
Table 7-38  
INTC3 Register  
Address Offset  
0x43c  
Register Mnemonic  
Register Name  
CH_MAP_REG15  
HINT_MAP_REG0  
HINT_MAP_REG1  
HINT_MAP_REG2  
HINT_MAP_REG3  
HINT_MAP_REG4  
HINT_MAP_REG5  
HINT_MAP_REG6  
HINT_MAP_REG7  
HINT_MAP_REG8  
HINT_MAP_REG9  
ENABLE_HINT_REG0  
ENABLE_HINT_REG1  
Interrupt Channel Map Register for 60 to 60+3  
Host Interrupt Map Register for 0 to 0+3  
Host Interrupt Map Register for 4 to 4+3  
Host Interrupt Map Register for 8 to 8+3  
Host Interrupt Map Register for 12 to 12+3  
Host Interrupt Map Register for 16 to 16+3  
Host Interrupt Map Register for 20 to 20+3  
Host Interrupt Map Register for 24 to 24+3  
Host Interrupt Map Register for 28 to 28+3  
Host Interrupt Map Register for 32 to 32+3  
Host Interrupt Map Register for 36 to 36+3  
Host Int Enable Register 0  
0x800  
0x804  
0x808  
0x80c  
0x810  
0x814  
0x818  
0x81c  
0x820  
0x824  
0x1500  
0x1504  
End of Table 7-38  
Host Int Enable Register 1  
7.5.3 Inter-Processor Register Map  
Table 7-39  
IPC Generation Registers (IPCGRx)  
Address Start  
Address End  
0x02620203  
0x02620207  
0x0262020B  
0x0262020F  
0x02620213  
0x02620217  
0x0262021B  
0x0262021F  
0x0262023F  
0x0262027B  
0x0262027F  
0x02620283  
0x02620287  
0x0262028B  
0x0262028F  
0x02620293  
0x02620297  
0x0262029B  
0x0262029F  
0x026202BB  
0x026202BF  
Size  
4B  
4B  
4B  
4B  
4B  
4B  
4B  
4B  
32B  
28B  
4B  
4B  
4B  
4B  
4B  
4B  
4B  
4B  
4B  
28B  
4B  
Register Name  
Description  
0x02620200  
0x02620204  
0x02620208  
0x0262020C  
0x02620210  
0x02620214  
0x02620218  
0x0262021C  
0x02620220  
0x02620260  
0x0262027C  
0x02620280  
0x02620284  
0x02620288  
0x0262028C  
0x02620290  
0x02620294  
0x02620298  
0x0262029C  
0x026202A0  
0x026202BC  
End of Table 7-39  
NMIGR0  
NMIGR1  
NMIGR2  
NMIGR3  
NMIGR4  
NMIGR5  
NMIGR6  
NMIGR7  
Reserved  
Reserved  
IPCGRH  
IPCAR0  
IPCAR1  
IPCAR2  
IPCAR3  
IPCAR4  
IPCAR5  
IPCAR6  
IPCAR7  
Reserved  
IPCARH  
NMI Event Generation Register for Core 0  
NMI Event Generation Register for Core 1  
NMI Event Generation Register for Core 2  
NMI Event Generation Register for Core 3  
NMI Event Generation Register for Core 4  
NMI Event Generation Register for Core 5  
NMI Event Generation Register for Core 6  
NMI Event Generation Register for Core 7  
Reserved  
Reserved  
IPC Generation Register for Host  
IPC Acknowledgement Register for Core 0  
IPC Acknowledgement Register for Core 1  
IPC Acknowledgement Register for Core 2  
IPC Acknowledgement Register for Core 3  
IPC Acknowledgement Register for Core 4  
IPC Acknowledgement Register for Core 5  
IPC Acknowledgement Register for Core 6  
IPC Acknowledgement Register for Core 7  
Reserved  
IPC Acknowledgement Register for Host  
192  
TMS320C6678 Peripheral Information and Electrical Specifications  
Copyright 2010 Texas Instruments Incorporated  
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
7.5.4 External Interrupts Electrical Data/Timing  
Table 7-40  
NMI and Local Reset Timing Requirements (1)  
(see Figure 7-13)  
No.  
Min  
TBD  
Max  
Unit  
μs  
1
1
1
2
2
2
3
4
tsu(LRESETz-LRESETNMIENzL)  
Setup Time - LRESETz valid before LRESETNMIENz low  
tsu(NMIz-LRESETNMIENzL)  
tsu(CORESELn-LRESETNMIENzL)  
th(LRESETNMIENzL-LRESETz)  
th(LRESETNMIENzL-NMIz)  
th(LRESETNMIENzL-CORESELn)  
tw(LRESETNMIENz)  
Setup Time - NMIz valid before LRESETNMIENz low  
Setup Time - CORESEL[2:0] valid before LRESETNMIENz low  
Hold Time - LRESETz valid after LRESETNMIENz low  
Hold Time - NMIz valid after LRESETNMIENz low  
Hold Time - CORESEL[2:0] valid after LRESETNMIENz low  
Pulse Width - LRESETNMIENz low width  
TBD  
TBD  
TBD  
TBD  
TBD  
TBD  
TBD  
μs  
μs  
μs  
μs  
μs  
μs  
tc(LRESETNMIENzL-LRESETNMIENzL) Cycle Time - time between LRESETNMIENz low  
μs  
End of Table 7-40  
1 P = 1/CPU clock frequency in ns. For example, when running parts at 1000 MHz, use P = 1 ns.  
Figure 7-13  
NMI and Local Reset Timing  
1
2
CORESEL[3:0]/  
LRESETz/  
NMIz  
3
LRESETNMIENz  
4
Copyright 2010 Texas Instruments Incorporated  
TMS320C6678 Peripheral Information and Electrical Specifications 193  
 
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
7.6 MPU  
The C6678 supports four MPUs:  
One MPU is used to protect main CORE/3 CFG SCR (CFG space of all slave devices on the SCR is protected  
by the MPU).  
Two MPUs are used for QM_SS (one for DATA PORT port and another is for CFG PORT port).  
One MPU is used for Semaphore.  
This section contains MPU register map and details of device-specific MPU registers only. For MPU features and  
details of generic MPU registers, see the Memory Protection Unit (MPU) for KeyStone Devices User Guide (literature  
number SPRUGW5).  
The following tables show the configuration of each MPU and the memory regions protected by each MPU.  
Table 7-41  
MPU Default Configuration  
MPU0  
MPU1  
MPU2  
MPU3  
Setting  
Main CFG SCR  
(QM_SS DATA PORT)  
(QM_SS CFG PORT)  
Semaphore  
Default permission  
Assume allowed  
Assume allowed  
Assume allowed  
Assume allowed  
Number of allowed IDs supported  
Number of programmable ranges supported  
Compare width  
16  
16  
16  
16  
16  
4
16  
1
1KB granularity  
1KB granularity  
1KB granularity  
1KB granularity  
End of Table 7-41  
Table 7-42  
MPU Memory Regions  
Memory Protection  
Main CFG SCR  
Start Address  
0x01D00000  
0x34000000  
0x02A00000  
0x02640000  
End Address  
0x026203FF  
0x340BFFFF  
0x02ABFFFF  
0x026407FF  
MPU0  
MPU1  
MPU2  
MPU3  
QM_SS DATA PORT  
QM_SS CFG PORT  
Semaphore  
End of Table 7-42  
Table 7-43 shows the privilege ID of each CORE and every mastering peripheral. Table 7-43 also shows the privilege  
level (supervisor vs. user), security level (secure vs. non-secure), and access type (instruction read vs. data/DMA read  
or write) of each master on the device. In some cases, a particular setting depends on software being executed at the  
time of the access or the configuration of the master peripheral.  
Table 7-43  
Device Master Settings (Part 1 of 2)  
Privilege ID Privilege Level  
Master  
CORE0  
CORE1  
CORE2  
CORE3  
CORE4  
CORE5  
CORE6  
CORE7  
PA_SS  
Security Level  
SW dependant  
SW dependant  
SW dependant  
SW dependant  
SW dependant  
SW dependant  
SW dependant  
SW dependant  
Non-secure  
Access Type  
DMA  
0
1
2
3
4
5
6
7
8
9
SW dependant, driven by MSMC  
SW dependant, driven by MSMC  
SW dependant, driven by MSMC  
SW dependant, driven by MSMC  
SW dependant, driven by MSMC  
SW dependant, driven by MSMC  
SW dependant, driven by MSMC  
SW dependant, driven by MSMC  
User  
DMA  
DMA  
DMA  
DMA  
DMA  
DMA  
DMA  
DMA  
SRIO_CPPI  
User  
Non-secure  
DMA  
194  
TMS320C6678 Peripheral Information and Electrical Specifications  
Copyright 2010 Texas Instruments Incorporated  
 
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
Table 7-43  
Device Master Settings (Part 2 of 2)  
Master  
Privilege ID Privilege Level  
Security Level  
Access Type  
SRIO_M  
9
Driven by SRIO block, User mode and supervisor mode is determined on a Non-secure  
per-transaction basis. Only the transaction with source ID matching the  
value in SupervisorID register is granted supervisor mode.  
DMA  
QM_CDMA/QM_second 10  
User  
Non-secure  
Non-secure  
DMA  
DMA  
DMA  
PCIe  
DAP  
11  
12  
Supervisor  
Driven by debug_SS  
Driven by  
debug_SS  
Reserved  
13  
14  
15  
Supervisor  
Supervisor  
User  
Non-secure  
Non-secure  
Non-secure  
DMA  
DMA  
DMA  
Reserved  
TSIP0/1  
End of Table 7-43  
7.6.1 MPU Registers  
This section includes the offsets for MPU registers and definitions for device specific MPU registers.  
7.6.1.1 MPU Register Map  
Table 7-44  
MPU0 Registers (Part 1 of 2)  
Offset  
0h  
Name  
Description  
REVID  
Revision ID  
4h  
CONFIG  
Configuration  
10h  
IRAWSTAT  
Interrupt raw status/set  
14h  
IENSTAT  
Interrupt enable status/clear  
18h  
IENSET  
Interrupt enable  
1Ch  
IENCLR  
Interrupt enable clear  
20h  
EOI  
End of interrupt  
200h  
204h  
208h  
210h  
214h  
218h  
220h  
224h  
228h  
230h  
234h  
238h  
240h  
244h  
248h  
250h  
254h  
258h  
260h  
PROG1_MPSAR  
PROG1_MPEAR  
PROG1_MPPA  
PROG2_MPSAR  
PROG2_MPEAR  
PROG2_MPPA  
PROG3_MPSAR  
PROG3_MPEAR  
PROG3_MPPA  
PROG4_MPSAR  
PROG4_MPEAR  
PROG4_MPPA  
PROG5_MPSAR  
PROG5_MPEAR  
PROG5_MPPA  
PROG6_MPSAR  
PROG6_MPEAR  
PROG6_MPPA  
PROG7_MPSAR  
Programmable range 1, start address  
Programmable range 1, end address  
Programmable range 1, memory page protection attributes  
Programmable range 2, start address  
Programmable range 2, end address  
Programmable range 2, memory page protection attributes  
Programmable range 3, start address  
Programmable range 3, end address  
Programmable range 3, memory page protection attributes  
Programmable range 4, start address  
Programmable range 4, end address  
Programmable range 4, memory page protection attributes  
Programmable range 5, start address  
Programmable range 5, end address  
Programmable range 5, memory page protection attributes  
Programmable range 6, start address  
Programmable range 6, end address  
Programmable range 6, memory page protection attributes  
Programmable range 7, start address  
Copyright 2010 Texas Instruments Incorporated  
TMS320C6678 Peripheral Information and Electrical Specifications 195  
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
Table 7-44  
MPU0 Registers (Part 2 of 2)  
Offset  
264h  
268h  
270h  
274h  
278h  
280h  
284h  
288h  
290h  
294h  
298h  
2A0h  
2A4h  
2A8h  
2B0h  
2B4h  
2B8h  
2C0h  
2C4h  
2C8h  
2D0h  
2D4h  
2Dh  
Name  
Description  
PROG7_MPEAR  
PROG7_MPPA  
PROG8_MPSAR  
PROG8_MPEAR  
PROG8_MPPA  
PROG9_MPSAR  
PROG9_MPEAR  
PROG9_MPPA  
PROG10_MPSAR  
PROG10_MPEAR  
PROG10_MPPA  
PROG11_MPSAR  
PROG11_MPEAR  
PROG11_MPPA  
PROG12_MPSAR  
PROG12_MPEAR  
PROG12_MPPA  
PROG13_MPSAR  
PROG13_MPEAR  
PROG13_MPPA  
PROG14_MPSAR  
PROG14_MPEAR  
PROG14_MPPA  
PROG15_MPSAR  
PROG15_MPEAR  
PROG15_MPPA  
PROG16_MPSAR  
PROG16_MPEAR  
PROG16_MPPA  
FLTADDRR  
Programmable range 7, end address  
Programmable range 7, memory page protection attributes  
Programmable range 8, start address  
Programmable range 8, end address  
Programmable range 8, memory page protection attributes  
Programmable range 9, start address  
Programmable range 9, end address  
Programmable range 9, memory page protection attributes  
Programmable range 10, start address  
Programmable range 10, end address  
Programmable range 10, memory page protection attributes  
Programmable range 11, start address  
Programmable range 11, end address  
Programmable range 11, memory page protection attributes  
Programmable range 12, start address  
Programmable range 12, end address  
Programmable range 12, memory page protection attributes  
Programmable range 13, start address  
Programmable range 13, end address  
Programmable range 13, memory page protection attributes  
Programmable range 14, start address  
Programmable range 14, end address  
Programmable range 14, memory page protection attributes  
Programmable range 15, start address  
Programmable range 15, end address  
2E0h  
2E4h  
2E8h  
2F0h  
2F4h  
2F8h  
300h  
304h  
308h  
Programmable range 15, memory page protection attributes  
Programmable range 16, start address  
Programmable range 16, end address  
Programmable range 16, memory page protection attributes  
Fault address  
FLTSTAT  
Fault status  
FLTCLR  
Fault clear  
End of Table 7-44  
Table 7-45  
MPU1 Registers (Part 1 of 2)  
Offset  
0h  
Name  
Description  
REVID  
Revision ID  
4h  
CONFIG  
IRAWSTAT  
IENSTAT  
IENSET  
IENCLR  
EOI  
Configuration  
10h  
14h  
18h  
1Ch  
20h  
Interrupt raw status/set  
Interrupt enable status/clear  
Interrupt enable  
Interrupt enable clear  
End of interrupt  
196  
TMS320C6678 Peripheral Information and Electrical Specifications  
Copyright 2010 Texas Instruments Incorporated  
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
Table 7-45  
MPU1 Registers (Part 2 of 2)  
Offset  
200h  
204h  
208h  
210h  
214h  
218h  
220h  
224h  
228h  
230h  
234h  
238h  
300h  
304h  
308h  
Name  
Description  
PROG1_MPSAR  
PROG1_MPEAR  
PROG1_MPPA  
PROG2_MPSAR  
PROG2_MPEAR  
PROG2_MPPA  
PROG3_MPSAR  
PROG3_MPEAR  
PROG3_MPPA  
PROG4_MPSAR  
PROG4_MPEA  
PROG4_MPPA  
FLTADDRR  
Programmable range 1, start address  
Programmable range 1, end address  
Programmable range 1, memory page protection attributes  
Programmable range 2, start address  
Programmable range 2, end address  
Programmable range 2, memory page protection attributes  
Programmable range 3, start address  
Programmable range 3, end address  
Programmable range 3, memory page protection attributes  
Programmable range 4, start address  
Programmable range 4, end address  
Programmable range 4, memory page protection attributes  
Fault address  
FLTSTAT  
Fault status  
FLTCLR  
Fault clear  
End of Table 7-45  
Table 7-46  
MPU2 Registers (Part 1 of 2)  
Offset  
0h  
Name  
Description  
REVID  
Revision ID  
4h  
CONFIG  
Configuration  
10h  
IRAWSTAT  
Interrupt raw status/set  
14h  
IENSTAT  
Interrupt enable status/clear  
18h  
IENSET  
Interrupt enable  
1Ch  
IENCLR  
Interrupt enable clear  
20h  
EOI  
End of interrupt  
200h  
204h  
208h  
210h  
214h  
218h  
220h  
224h  
228h  
230h  
234h  
238h  
240h  
244h  
248h  
250h  
254h  
PROG1_MPSAR  
PROG1_MPEAR  
PROG1_MPPA  
PROG2_MPSAR  
PROG2_MPEAR  
PROG2_MPPA  
PROG3_MPSAR  
PROG3_MPEAR  
PROG3_MPPA  
PROG4_MPSAR  
PROG4_MPEAR  
PROG4_MPPA  
PROG5_MPSAR  
PROG5_MPEAR  
PROG5_MPPA  
PROG6_MPSAR  
PROG6_MPEAR  
Programmable range 1, start address  
Programmable range 1, end address  
Programmable range 1, memory page protection attributes  
Programmable range 2, start address  
Programmable range 2, end address  
Programmable range 2, memory page protection attributes  
Programmable range 3, start address  
Programmable range 3, end address  
Programmable range 3, memory page protection attributes  
Programmable range 4, start address  
Programmable range 4, end address  
Programmable range 4, memory page protection attributes  
Programmable range 5, start address  
Programmable range 5, end address  
Programmable range 5, memory page protection attributes  
Programmable range 6, start address  
Programmable range 6, end address  
Copyright 2010 Texas Instruments Incorporated  
TMS320C6678 Peripheral Information and Electrical Specifications 197  
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
Table 7-46  
MPU2 Registers (Part 2 of 2)  
Offset  
258h  
260h  
264h  
268h  
270h  
274h  
278h  
280h  
284h  
288h  
290h  
294h  
298h  
2A0h  
2A4h  
2A8h  
2B0h  
2B4h  
2B8h  
2C0h  
2C4h  
2C8h  
2D0h  
2D4h  
2Dh  
Name  
Description  
PROG6_MPPA  
PROG7_MPSAR  
PROG7_MPEAR  
PROG7_MPPA  
PROG8_MPSAR  
PROG8_MPEAR  
PROG8_MPPA  
PROG9_MPSAR  
PROG9_MPEAR  
PROG9_MPPA  
PROG10_MPSAR  
PROG10_MPEAR  
PROG10_MPPA  
PROG11_MPSAR  
PROG11_MPEAR  
PROG11_MPPA  
PROG12_MPSAR  
PROG12_MPEAR  
PROG12_MPPA  
PROG13_MPSAR  
PROG13_MPEAR  
PROG13_MPPA  
PROG14_MPSAR  
PROG14_MPEAR  
PROG14_MPPA  
PROG15_MPSAR  
PROG15_MPEAR  
PROG15_MPPA  
PROG16_MPSAR  
PROG16_MPEAR  
PROG16_MPPA  
FLTADDRR  
Programmable range 6, memory page protection attributes  
Programmable range 7, start address  
Programmable range 7, end address  
Programmable range 7, memory page protection attributes  
Programmable range 8, start address  
Programmable range 8, end address  
Programmable range 8, memory page protection attributes  
Programmable range 9, start address  
Programmable range 9, end address  
Programmable range 9, memory page protection attributes  
Programmable range 10, start address  
Programmable range 10, end address  
Programmable range 10, memory page protection attributes  
Programmable range 11, start address  
Programmable range 11, end address  
Programmable range 11, memory page protection attributes  
Programmable range 12, start address  
Programmable range 12, end address  
Programmable range 12, memory page protection attributes  
Programmable range 13, start address  
Programmable range 13, end address  
Programmable range 13, memory page protection attributes  
Programmable range 14, start address  
Programmable range 14, end address  
Programmable range 14, memory page protection attributes  
Programmable range 15, start address  
Programmable range 15, end address  
2E0h  
2E4h  
2E8h  
2F0h  
2F4h  
2F8h  
300h  
304h  
308h  
Programmable range 15, memory page protection attributes  
Programmable range 16, start address  
Programmable range 16, end address  
Programmable range 16, memory page protection attributes  
Fault address  
FLTSTAT  
Fault status  
FLTCLR  
Fault clear  
End of Table 7-46  
Table 7-47  
MPU3 Registers (Part 1 of 2)  
Offset  
0h  
Name  
Description  
REVID  
Revision ID  
4h  
CONFIG  
IRAWSTAT  
IENSTAT  
IENSET  
Configuration  
10h  
14h  
18h  
Interrupt raw status/set  
Interrupt enable status/clear  
Interrupt enable  
198  
TMS320C6678 Peripheral Information and Electrical Specifications  
Copyright 2010 Texas Instruments Incorporated  
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
Table 7-47  
MPU3 Registers (Part 2 of 2)  
Offset  
1Ch  
Name  
Description  
IENCLR  
Interrupt enable clear  
20h  
EOI  
End of interrupt  
200h  
204h  
208h  
300h  
304h  
308h  
PROG1_MPSAR  
PROG1_MPEAR  
PROG1_MPPA  
FLTADDRR  
FLTSTAT  
Programmable range 1, start address  
Programmable range 1, end address  
Programmable range 1, memory page protection attributes  
Fault address  
Fault status  
FLTCLR  
Fault clear  
End of Table 7-47  
7.6.1.2 Device-Specific MPU Registers  
7.6.1.2.1 Configuration Register (CONFIG)  
The configuration register (CONFIG) contains the configuration value of the MPU.  
Figure 7-14  
Configuration Register (CONFIG)  
31  
24  
23  
20  
19  
16  
15  
12  
11  
1
0
ASSUME_ALLOWED  
R-1  
ADDR_WIDTH  
R-0  
NUM_FIXED  
R-0  
NUM_PROG  
R-4  
NUM_AIDS  
R-16  
Reserved  
R-0  
MPU0  
MPU1  
MPU2  
MPU3  
R-16  
Reset Values  
R-1  
R-16  
Legend: R = Read only; -n = value after reset  
Table 7-48  
Configuration Register (CONFIG) Field Descriptions  
Bits  
Field Description  
31 – 24 ADDR_WIDTH  
Address alignment for range checking  
0 = 1KB alignment  
6 = 64KB alignment  
23 – 20 NUM_FIXED  
19 – 16 NUM_PROG  
15 – 12 NUM_AIDS  
Number of fixed address ranges  
Number of programmable address ranges  
Number of supported AIDs  
11 – 1  
0
Reserved  
Reserved. These bits will always reads as 0.  
ASSUME_ALLOWED  
Assume allowed bit. When an address is not covered by any MPU protection range, this bit determines whether the  
transfer is assumed to be allowed or not.  
0 = Assume disallowed  
1 = Assume allowed  
7.6.2 MPU Programmable Range Registers  
7.6.2.1 Programmable Range n Start Address Register (PROGn_MPSAR)  
The programmable address start register holds the start address for the range. This register is writeable by a  
supervisor entity only. If NS = 0 (non-secure mode) in the associated MPPA register, then the register is also  
writeable only by a secure entity.  
Copyright 2010 Texas Instruments Incorporated  
TMS320C6678 Peripheral Information and Electrical Specifications 199  
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
The start address must be aligned on a page boundary. The size of the page is 1K byte. The size of the page determines  
the width of the address field in MPSAR and MPEAR.  
Figure 7-15  
Programmable Range n Start Address Register (PROGn_MPSAR)  
31  
10  
9
0
START_ADDR  
R/W  
Reserved  
R
Legend: R = Read only; R/W = Read/Write  
Table 7-49  
Register  
Programmable Range n Start Address Register (PROGn_MPSAR) Field Descriptions (MPU0)  
Bits  
31 – 10 START_ADDR  
9 – 0 Reserved  
31 – 10 START_ADDR  
9 – 0 Reserved  
31 – 10 START_ADDR  
9 – 0 Reserved  
31 – 10 START_ADDR  
9 – 0 Reserved  
31 – 10 START_ADDR  
9 – 0 Reserved  
31 – 10 START_ADDR  
9 – 0 Reserved  
31 – 10 START_ADDR  
9 – 0 Reserved  
31 – 10 START_ADDR  
9 – 0 Reserved  
31 – 10 START_ADDR  
9 – 0 Reserved  
31 – 10 START_ADDR  
9 – 0 Reserved  
31 – 10 START_ADDR  
9 – 0 Reserved  
31 – 10 START_ADDR  
9 – 0 Reserved  
31 – 10 START_ADDR  
9 – 0 Reserved  
31 – 10 START_ADDR  
9 – 0 Reserved  
31 – 10 START_ADDR  
9 – 0 Reserved  
31 – 10 START_ADDR  
9 – 0 Reserved  
End of Table 7-49  
Name  
Reset Value  
0x7400  
000h  
Range  
Description  
Programmable  
Start address for range 0.  
Register 0  
Register 1  
Register 2  
Register 3  
Register 4  
Register 5  
Register 6  
Register 7  
Register 8  
Register 9  
Register 10  
Register 11  
Register 12  
Register 13  
Register 14  
Register 15  
Reserved, these bits always read as 0.  
Start address for range 1.  
0x7C00  
000h  
Programmable  
Programmable  
Programmable  
Programmable  
Programmable  
Programmable  
Programmable  
Programmable  
Programmable  
Programmable  
Programmable  
Programmable  
Programmable  
Programmable  
Programmable  
Reserved, these bits always read as 0.  
Start address for range 2.  
0x8000  
000h  
Reserved, these bits always read as 0.  
Start address for range 3.  
0x7800  
000h  
Reserved, these bits always read as 0.  
Start address for range 4.  
0x8700  
000h  
Reserved, these bits always read as 0.  
Start address for range 5.  
0x87C0  
000h  
Reserved, these bits always read as 0.  
Start address for range 6.  
0x8800  
000h  
Reserved, these bits always read as 0.  
Start address for range 7.  
0x8C40  
000h  
Reserved, these bits always read as 0.  
Start address for range 8.  
0x8C80  
000h  
Reserved, these bits always read as 0.  
Start address for range 9.  
0x8CC0  
000h  
Reserved, these bits always read as 0.  
Start address for range 10.  
0x8D40  
000h  
Reserved, these bits always read as 0.  
Start address for range 11.  
0x9000  
000h  
Reserved, these bits always read as 0.  
Start address for range 12.  
0x9400  
000h  
Reserved, these bits always read as 0.  
Start address for range 13.  
0x94C0  
000h  
Reserved, these bits always read as 0.  
Start address for range 14.  
0x9800  
000h  
Reserved, these bits always read as 0.  
Start address for range 15.  
0x9880  
000h  
Reserved, these bits always read as 0.  
200  
TMS320C6678 Peripheral Information and Electrical Specifications  
Copyright 2010 Texas Instruments Incorporated  
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
Table 7-50  
Register  
Programmable Range n Start Address Register (PROGn_MPSAR) Field Descriptions (MPU1)  
Bits  
Name  
Reset Value  
0xD0000  
000h  
Range  
Description  
31 – 10  
9 – 0  
START_ADDR  
Reserved  
Programmable  
Start address for range 0.  
Register 0  
Register 1  
Register 2  
Register 3  
Register 4  
Reserved, these bits always read as 0.  
Start address for range 1.  
31 – 10  
9 – 0  
START_ADDR  
Reserved  
0xD0080  
000h  
Programmable  
Programmable  
Programmable  
Programmable  
Programmable  
Reserved, these bits always read as 0.  
Start address for range 2.  
31 – 10  
9 – 0  
START_ADDR  
Reserved  
0xD0180  
000h  
Reserved, these bits always read as 0.  
Start address for range 3.  
31 – 10  
9 – 0  
START_ADDR  
Reserved  
0xD01A0  
000h  
Reserved, these bits always read as 0.  
Start address for range 4.  
31 – 10  
9 – 0  
START_ADDR  
Reserved  
0xD02E0  
000h  
Reserved, these bits always read as 0.  
Start address for range 5.  
31 – 10  
9 – 0  
START_ADDR  
Reserved  
0xD0200  
000h  
Register 5  
Reserved, these bits always read as 0.  
End of Table 7-50  
Table 7-51  
Register  
Programmable Range n Start Address Register (PROGn_MPSAR) Field Descriptions (MPU2) (Part 1 of 2)  
Bits  
Name  
Reset Value  
0xA800  
000h  
Range  
Description  
31 – 10  
9 – 0  
START_ADDR  
Reserved  
Programmable  
Start address for range 0.  
Register 0  
Register 1  
Register 2  
Register 3  
Register 4  
Register 5  
Register 6  
Register 7  
Register 8  
Register 9  
Register 10  
Register 11  
Register 12  
Reserved, these bits always read as 0.  
Start address for range 1.  
31 – 10  
9 – 0  
START_ADDR  
Reserved  
0xA880  
000h  
Programmable  
Programmable  
Programmable  
Programmable  
Programmable  
Programmable  
Programmable  
Programmable  
Programmable  
Programmable  
Programmable  
Programmable  
Reserved, these bits always read as 0.  
Start address for range 2.  
31 – 10  
9 – 0  
START_ADDR  
Reserved  
0xA900  
000h  
Reserved, these bits always read as 0.  
Start address for range 3.  
31 – 10  
9 – 0  
START_ADDR  
Reserved  
0xA980  
000h  
Reserved, these bits always read as 0.  
Start address for range 4.  
31 – 10  
9 – 0  
START_ADDR  
Reserved  
0xA9A0  
000h  
Reserved, these bits always read as 0.  
Start address for range 5.  
31 – 10  
9 – 0  
START_ADDR  
Reserved  
0xA9A4  
000h  
Reserved, these bits always read as 0.  
Start address for range 6.  
31 – 10  
9 – 0  
START_ADDR  
Reserved  
0xA9A8  
000h  
Reserved, these bits always read as 0.  
Start address for range 7.  
31 – 10  
9 – 0  
START_ADDR  
Reserved  
0xA9AC  
000h  
Reserved, these bits always read as 0.  
Start address for range 8.  
31 – 10  
9 – 0  
START_ADDR  
Reserved  
0xA9B0  
000h  
Reserved, these bits always read as 0.  
Start address for range 9.  
31 – 10  
9 – 0  
START_ADDR  
Reserved  
0xA9B8  
000h  
Reserved, these bits always read as 0.  
Start address for range 10.  
31 – 10  
9 – 0  
START_ADDR  
Reserved  
0xAA00  
000h  
Reserved, these bits always read as 0.  
Start address for range 11.  
31 – 10  
9 – 0  
START_ADDR  
Reserved  
0xAA40  
000h  
Reserved, these bits always read as 0.  
Start address for range 12.  
31 – 10  
9 – 0  
START_ADDR  
Reserved  
0xAA80  
000h  
Reserved, these bits always read as 0.  
Copyright 2010 Texas Instruments Incorporated  
TMS320C6678 Peripheral Information and Electrical Specifications 201  
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
Table 7-51  
Register  
Programmable Range n Start Address Register (PROGn_MPSAR) Field Descriptions (MPU2) (Part 2 of 2)  
Bits  
Name  
Reset Value  
0xAAA0  
000h  
Range  
Description  
31 – 10  
9 – 0  
START_ADDR  
Reserved  
Programmable  
Start address for range 13.  
Register 13  
Register 14  
Reserved, these bits always read as 0.  
Start address for range 14.  
31 – 10  
9 – 0  
START_ADDR  
Reserved  
0xAAD0  
000h  
Programmable  
Programmable  
Reserved, these bits always read as 0.  
Start address for range 15.  
31 – 10  
9 – 0  
START_ADDR  
Reserved  
0xAAE0  
000h  
Register 15  
Reserved, these bits always read as 0.  
End of Table 7-51  
Table 7-52  
Register  
Programmable Range n Start Address Register (PROGn_MPSAR) Field Descriptions (MPU3)  
Bits  
31 – 16 START_ADDR R/W  
9 – 0 Reserved  
Name  
R/W (1)  
Reset Value Range  
Description  
9900h  
000h  
Programmable  
Start address for range 0.  
Reserved, these bits always read as 0.  
Register 0  
R
End of Table 7-52  
1 R = Read only; W = Write only, R/W = Read/Write  
7.6.2.2 Programmable Range n - End Address Register (PROGn_MPEAR)  
The programmable address end register holds the end address for the range. This register is writeable by a supervisor  
entity only. If NS = 0 (non-secure mode) in the associated MPPA register then the register is also only writeable by  
a secure entity.  
The end address must be aligned on a page boundary. The size of the page depends on the MPU number. The page  
size for MPU1 is 1K byte and for MPU2 it is 64K bytes. The size of the page determines the width of the address field  
in MPSAR and MPEAR  
Figure 7-16  
Programmable Range n End Address Register (PROGn_MPEAR)  
31  
10  
9
0
END_ADDR  
R/W  
Reserved  
R
Legend: R = Read only; R/W = Read/Write  
Table 7-53  
Register  
Programmable Range n End Address Register (PROGn_MPEAR) Field Descriptions (MPU0)  
Bits  
31 – 10 END_ADDR  
9 – 0 Reserved  
31 – 10 END_ADDR  
9 – 0 Reserved  
31 – 10 END_ADDR  
9 – 0 Reserved  
31 – 10 END_ADDR  
9 – 0 Reserved  
31 – 10 END_ADDR  
9 – 0 Reserved  
31 – 10 END_ADDR  
9 – 0 Reserved  
Name  
Reset Value  
0x7620  
3FFh  
Range  
Description  
Programmable  
End address for range 0.  
Register 0  
Register 1  
Register 2  
Register 3  
Register 4  
Register 5  
Reserved, these bits always read as 0.  
End address for range 1.  
0x7DFF  
3FFh  
Programmable  
Programmable  
Programmable  
Programmable  
Programmable  
Reserved, these bits always read as 0.  
End address for range 2.  
0x827F  
3FFh  
Reserved, these bits always read as 0.  
End address for range 3.  
0x7AFF  
3FFh  
Reserved, these bits always read as 0.  
End address for range 4.  
0x8783  
3FFh  
Reserved, these bits always read as 0.  
End address for range 5.  
0x87DF  
3FFh  
Reserved, these bits always read as 0.  
202  
TMS320C6678 Peripheral Information and Electrical Specifications  
Copyright 2010 Texas Instruments Incorporated  
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
Table 7-53  
Programmable Range n End Address Register (PROGn_MPEAR) Field Descriptions (MPU0)  
Register  
Bits  
31 – 10 END_ADDR  
9 – 0 Reserved  
31 – 10 END_ADDR  
9 – 0 Reserved  
31 – 10 END_ADDR  
9 – 0 Reserved  
31 – 10 END_ADDR  
9 – 0 Reserved  
31 – 10 END_ADDR  
9 – 0 Reserved  
31 – 10 END_ADDR  
9 – 0 Reserved  
31 – 10 END_ADDR  
9 – 0 Reserved  
31 – 10 END_ADDR  
9 – 0 Reserved  
31 – 10 END_ADDR  
9 – 0 Reserved  
31 – 10 END_ADDR  
9 – 0 Reserved  
End of Table 7-53  
Name  
Reset Value  
0x8BC0  
3FFh  
Range  
Description  
Programmable  
End address for range 6.  
Register 6  
Reserved, these bits always read as 0.  
End address for range 7.  
0x8C40  
3FFh  
Programmable  
Programmable  
Programmable  
Programmable  
Programmable  
Programmable  
Programmable  
Programmable  
Programmable  
Register 7  
Register 8  
Register 9  
Register 10  
Register 11  
Register 12  
Register 13  
Register 14  
Register 15  
Reserved, these bits always read as 0.  
End address for range 8.  
0x8C80  
3FFh  
Reserved, these bits always read as 0.  
End address for range 9.  
0x8CC0  
3FFh  
Reserved, these bits always read as 0.  
End address for range 10.  
0x8D43  
3FFh  
Reserved, these bits always read as 0.  
End address for range 11.  
92CF  
3FFh  
Reserved, these bits always read as 0.  
End address for range 12.  
0x9480  
3FFh  
Reserved, these bits always read as 0.  
End address for range 13.  
0x9500  
3FFh  
Reserved, these bits always read as 0.  
End address for range 14.  
0x983F  
3FFh  
Reserved, these bits always read as 0.  
End address for range 15.  
0x9881  
3FFh  
Reserved, these bits always read as 0.  
Table 7-54  
Register  
Programmable Range n End Address Register (PROGn_MPEAR) Field Descriptions (MPU1)  
Bits  
31 – 10 END_ADDR  
9 – 0 Reserved  
31 – 10 END_ADDR  
9 – 0 Reserved  
31 – 10 END_ADDR  
9 – 0 Reserved  
31 – 10 END_ADDR  
9 – 0 Reserved  
31 – 10 END_ADDR  
9 – 0 Reserved  
31 – 10 END_ADDR  
9 – 0 Reserved  
Name  
Reset Value  
0xD007F  
3FFh  
Range  
Description  
Programmable  
End address for range 0.  
Register 0  
Register 1  
Register 2  
Register 3  
Register 4  
Reserved, these bits always read as 0.  
End address for range 1.  
0xD017F  
3FFh  
Programmable  
Programmable  
Programmable  
Programmable  
Programmable  
Reserved, these bits always read as 0.  
End address for range 2.  
0xD019F  
3FFh  
Reserved, these bits always read as 0.  
End address for range 3.  
0xD02DF  
3FFh  
Reserved, these bits always read as 0.  
End address for range 4.  
0xD02FF  
3FFh  
Reserved, these bits always read as 0.  
End address for range 5.  
0xD02FF  
3FFh  
Register 5  
Reserved, these bits always read as 0.  
End of Table 7-54  
Table 7-55  
Register  
Programmable Range n End Address Register (PROGn_MPEAR) Field Descriptions (MPU2) (Part 1 of 2)  
Bits  
31 – 10 END_ADDR  
9 – 0 Reserved  
31 – 10 END_ADDR  
Name  
Reset Value  
0xA87F  
3FFh  
Range  
Description  
Programmable  
End address for range 0.  
Reserved, these bits always read as 0.  
End address for range 1.  
Register 0  
Register 1  
0xA8FF  
Programmable  
Copyright 2010 Texas Instruments Incorporated  
TMS320C6678 Peripheral Information and Electrical Specifications 203  
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
Table 7-55  
Register  
Programmable Range n End Address Register (PROGn_MPEAR) Field Descriptions (MPU2) (Part 2 of 2)  
Bits  
Name  
Reset Value  
3FFh  
Range  
Description  
9 – 0  
Reserved  
Reserved, these bits always read as 0.  
End address for range 2.  
31 – 10 END_ADDR  
9 – 0 Reserved  
31 – 10 END_ADDR  
9 – 0 Reserved  
31 – 10 END_ADDR  
9 – 0 Reserved  
31 – 10 END_ADDR  
9 – 0 Reserved  
31 – 10 END_ADDR  
9 – 0 Reserved  
31 – 10 END_ADDR  
9 – 0 Reserved  
31 – 10 END_ADDR  
9 – 0 Reserved  
31 – 10 END_ADDR  
9 – 0 Reserved  
31 – 10 END_ADDR  
9 – 0 Reserved  
31 – 10 END_ADDR  
9 – 0 Reserved  
31 – 10 END_ADDR  
9 – 0 Reserved  
31 – 10 END_ADDR  
9 – 0 Reserved  
31 – 10 END_ADDR  
9 – 0 Reserved  
31 – 10 END_ADDR  
9 – 0 Reserved  
End of Table 7-55  
0xA97F  
3FFh  
Programmable  
Programmable  
Programmable  
Programmable  
Programmable  
Programmable  
Programmable  
Programmable  
Programmable  
Programmable  
Programmable  
Programmable  
Programmable  
Programmable  
Register 2  
Register 3  
Register 4  
Register 5  
Register 6  
Register 7  
Register 8  
Register 9  
Register 10  
Register 11  
Register 12  
Register 13  
Register 14  
Register 15  
Reserved, these bits always read as 0.  
End address for range 3.  
0xA99F  
3FFh  
Reserved, these bits always read as 0.  
End address for range 4.  
0xA9A3  
3FFh  
Reserved, these bits always read as 0.  
End address for range 5.  
0xA9A7  
3FFh  
Reserved, these bits always read as 0.  
End address for range 6.  
0xA9AB  
3FFh  
Reserved, these bits always read as 0.  
End address for range 7.  
0xA9AF  
3FFh  
Reserved, these bits always read as 0.  
End address for range 8.  
0xA9B7  
3FFh  
Reserved, these bits always read as 0.  
End address for range 9.  
0xA9BF  
3FFh  
Reserved, these bits always read as 0.  
End address for range 10.  
0xAA3F  
3FFh  
Reserved, these bits always read as 0.  
End address for range 11.  
0xAA7F  
3FFh  
Reserved, these bits always read as 0.  
End address for range 12.  
0xAA9F  
3FFh  
Reserved, these bits always read as 0.  
End address for range 13.  
0xAACF  
3FFh  
Reserved, these bits always read as 0.  
End address for range 14.  
0xAADE  
3FFh  
Reserved, these bits always read as 0.  
End address for range 15.  
0xAAFF  
3FFh  
Reserved, these bits always read as 0.  
Table 7-56  
Register  
Programmable Range n End Address Register (PROGn_MPEAR) Field Descriptions (MPU3)  
Bits  
Name  
Reset Value  
9901h  
Range  
Description  
31 – 16  
9 – 0  
END_ADDR  
Reserved  
Programmable  
Programmable  
End address for range 0.  
Reserved, these bits always read as 0.  
Register 0  
3FFh  
End of Table 7-56  
204  
TMS320C6678 Peripheral Information and Electrical Specifications  
Copyright 2010 Texas Instruments Incorporated  
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
7.6.2.3 Programmable Range n Memory Protection Page Attribute Register (PROGn_MPPA)  
The programmable address memory protection page attribute register holds the permissions for the region. This  
register is writeable only by a non-debug supervisor entity. If NS = 0 (secure mode) then the register is also only  
writeable by a non-debug secure entity. The NS bit is only writeable by a non-debug secure entity. For debug accesses  
the register is writeable only when NS = 1 or EMU = 1.  
Figure 7-17  
Programmable Range n Memory Protection Page Attribute Register (PROGn_MPPA)  
31  
26  
25  
24  
23  
22  
21  
20  
19  
18  
17  
16  
15  
Reserved  
R
AID15 AID14 AID13 AID12 AID11 AID10  
AID9  
R/W  
AID8  
R/W  
AID7  
R/W  
AID6  
R/W  
AID5  
R/W  
R/W  
R/W  
R/W  
R/W  
R/W  
R/W  
14  
13  
12  
11  
10  
9
8
7
6
5
4
3
2
1
0
AID4  
R/W  
AID3  
R/W  
AID2  
R/W  
AID1  
R/W  
AID0  
R/W  
AIDX  
R/W  
Reserved  
R
NS  
EMU  
R/W  
SR  
SW  
R/W  
SX  
UR  
UW  
R/W  
UX  
R/W  
R/W  
R/W  
R/W  
R/W  
Legend: R = Read only; R/W = Read/Write  
Table 7-57  
Programmable Range n Memory Protection Page Attribute Register (PROGn_MPPA) Field Descriptions  
(Part 1 of 2)  
Bits  
Name  
Description  
31 – 26  
25  
Reserved  
AID15  
Reserved. These bits will always reads as 0.  
Controls access from ID = 15  
0 = Access denied.  
1 = Access granted.  
24  
23  
22  
21  
20  
19  
18  
17  
16  
AID14  
AID13  
AID12  
AID11  
AID10  
AID9  
Controls access from ID = 14  
0 = Access denied.  
1 = Access granted.  
Controls access from ID = 13  
0 = Access denied.  
1 = Access granted.  
Controls access from ID = 12  
0 = Access denied.  
1 = Access granted.  
Controls access from ID = 11  
0 = Access denied.  
1 = Access granted.  
Controls access from ID = 10  
0 = Access denied.  
1 = Access granted.  
Controls access from ID = 9  
0 = Access denied.  
1 = Access granted.  
AID8  
Controls access from ID = 8  
0 = Access denied.  
1 = Access granted.  
AID7  
Controls access from ID = 7  
0 = Access denied.  
1 = Access granted.  
AID6  
Controls access from ID = 6  
0 = Access denied.  
1 = Access granted.  
Copyright 2010 Texas Instruments Incorporated  
TMS320C6678 Peripheral Information and Electrical Specifications 205  
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
Table 7-57  
Programmable Range n Memory Protection Page Attribute Register (PROGn_MPPA) Field Descriptions  
(Part 2 of 2)  
Bits  
Name  
Description  
15  
AID5  
AID4  
AID3  
AID2  
AID1  
AID0  
AIDX  
Controls access from ID = 5  
0 = Access denied.  
1 = Access granted.  
14  
13  
12  
11  
10  
9
Controls access from ID = 4  
0 = Access denied.  
1 = Access granted.  
Controls access from ID = 3  
0 = Access denied.  
1 = Access granted.  
Controls access from ID = 2  
0 = Access denied.  
1 = Access granted.  
Controls access from ID = 1  
0 = Access denied.  
1 = Access granted.  
Controls access from ID = 0  
0 = Access denied.  
1 = Access granted.  
Controls access from ID > 15  
0 = Access denied.  
1 = Access granted.  
8
7
Reserved  
NS  
Always reads as 0.  
Non-secure access permission  
0 = Only secure access allowed.  
1 = Non-secure access allowed.  
6
5
4
3
2
1
0
EMU  
SR  
Emulation (debug) access permission. This bit is ignored if NS = 1  
0 = Debug access not allowed.  
1 = Debug access allowed.  
Supervisor Read permission  
0 = Access not allowed.  
1 = Access allowed.  
SW  
SX  
Supervisor Write permission  
0 = Access not allowed.  
1 = Access allowed.  
Supervisor Execute permission  
0 = Access not allowed.  
1 = Access allowed.  
UR  
User Read permission  
0 = Access not allowed.  
1 = Access allowed  
UW  
UX  
User Write permission  
0 = Access not allowed.  
1 = Access allowed.  
User Execute permission  
0 = Access not allowed.  
1 = Access allowed.  
End of Table 7-571  
206  
TMS320C6678 Peripheral Information and Electrical Specifications  
Copyright 2010 Texas Instruments Incorporated  
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
Table 7-58  
Programmable Range n Memory Protection Page Attribute Register (PROGn_MPPA) Reset Values  
Register  
MPU0  
MPU1  
0X03FF_FC80  
0X0003_FCB6  
0X0003_FCB4  
0X0003_FC80  
0X0003_FCB6  
N/A  
MPU2  
MPU3  
0X0003_FCB6  
N/A  
Register 0  
Register 1  
Register 2  
Register 3  
Register 4  
Register 5  
Register 6  
Register 7  
Register 8  
Register 9  
Register 10  
Register 11  
Register 12  
Register 13  
Register 14  
Register 15  
End of Table 7-58  
0X0003_FCB6  
0X0003_FC80  
0X0003_FCB6  
0X0003_FCB6  
0X0003_FC80  
0X0003_FC80  
0X0003_FCB6  
0X0003_FCB4  
0X0003_FCB4  
0X0003_FCB4  
0X0003_FCB4  
0X0003_FCB6  
0X0003_FCB4  
0X0003_FCB6  
0X0003_FCB4  
0X0003_FCB4  
0x03FF_FCA4  
0X0003_FCB6  
0X0003_FCB6  
0X0003_FCB4  
0X0003_FCB4  
0X0003_FCB4  
0X0003_FCB4  
0X0003_FCB4  
0X0003_FCB4  
0X0003_FCB4  
0X0003_FCA4  
0X0003_FCB4  
0X0003_FCB4  
0X0003_FCB4  
0X0003_FCB4  
0X0003_FCB6  
N/A  
N/A  
N/A  
N/A  
N/A  
N/A  
N/A  
N/A  
N/A  
N/A  
N/A  
N/A  
N/A  
N/A  
N/A  
N/A  
N/A  
N/A  
N/A  
N/A  
N/A  
N/A  
N/A  
N/A  
Copyright 2010 Texas Instruments Incorporated  
TMS320C6678 Peripheral Information and Electrical Specifications 207  
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
7.7 Reset Controller  
The reset controller detects the different type of resets supported on the TMS320C6678 device and manages the  
distribution of those resets throughout the device.  
The device has several types of resets:  
Power-on reset  
Hard reset  
Soft reset  
CPU local reset  
Table 7-59 explains further the types of reset, the reset initiator, and the effects of each reset on the device. For more  
information on the effects of each reset on the PLL controllers and their clocks, see Section ‘‘Reset Electrical Data /  
Timing’’ on page 211  
Table 7-59  
Reset Type  
Reset Types  
Initiator  
Effect on Device When Reset Occurs  
RESETSTAT Pin Status  
POR (Power On Reset) POR pin active low  
RESETFULL pin active low  
Total reset of the chip. Everything on the device is reset to its default  
state in response to this. Activates the POR signal on chip, which is used  
to reset test/emu logic. Boot configurations are latched. ROM boot  
process is initiated.  
Toggles RESETSTAT pin  
Hard Reset  
RESET pin active low  
Resets everything except for test/emu logic and Reset Isolation  
modules. Emulator and Reset Isolation modules stay alive during this  
reset. This reset is also different from POR in that the PLLCTL assumes  
power and clocks are stable when Device Reset is asserted. Boot  
configurations are not latched. ROM boot process is initiated.  
Toggles RESETSTAT pin  
Toggles RESETSTAT pin  
Emulation  
PLLCTL register (RSCTRL)  
Watchdog Timers  
RESET pin active low  
PLLCTL register (RSCTRL)  
Watchdog Timers  
Soft Reset  
Software can program these initiators to be hard or soft. Hard reset is  
the default, but can be programmed to be Soft reset. Soft Reset will  
behave like Hard Reset except that PCIe MMRs,EMIF16 MMRs, DDR3  
EMIF MMRs, and External Memory contents are retained. Boot  
configurations are not latched. ROM boot process is initiated.  
C66x CorePac  
local reset  
Software (through  
LPSC MMR)  
MMR bit in LPSC controls C66x CorePac local reset. Used by Watchdog Does not toggle  
Timers (in the event of a timeout) to reset C66x CorePac. Can also be  
initiated by LRESET device pin. C66x CorePac memory system and Slave  
DMA port are still alive when C66x CorePac is in local reset. Provides a  
local reset of the C66x CorePac, without destroying clock alignment or  
memory contents. Does not initiate ROM boot process.  
RESETSTAT pin  
Watchdog Timers  
LRESET pin  
End of Table 7-59  
7.7.1 Power-on Reset  
Power-on reset is used to reset the entire device, including the test and emulation logic.  
Power-on reset is initiated by the following  
1. POR pin  
2. RESETFULL pin  
During power-up, the POR pin must be asserted (driven low) until the power supplies have reached their normal  
operating conditions. A RESETFULL pin is also provided to allow the on-board host to reset the entire device  
including the reset isolated logic. The assumption is that, device is already powered up and hence unlike POR,  
RESETFULL pin will be driven by the on-board host control other than the power good circuitry. For power-on  
reset, the Main PLL controller comes up in bypass mode and the PLL is not enabled. Other resets do not affect the  
state of the PLL or the dividers in the PLL controller.  
208  
TMS320C6678 Peripheral Information and Electrical Specifications  
Copyright 2010 Texas Instruments Incorporated  
 
 
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
The following sequence must be followed during a power-on reset:  
1. Wait for all power supplies to reach normal operating conditions while keeping the POR pin asserted (driven  
low). While POR is asserted, all pins except RESETSTAT will be set to high-impedance. After the POR pin is  
de-asserted (driven high), all Z group pins, low group pins, and high group pins are set to their reset state and  
will remain at their reset state until otherwise configured by their respective peripheral. All peripherals that are  
power managed, are disabled after a Power-on Reset and must be enabled through the Device State Control  
registers (for more details, see Section Table 3-2 ‘‘Device State Control Registers’’ on page 62).  
2. Clocks are reset, and they are propagated throughout the chip to reset any logic that was using reset  
synchronously. All logic is now reset and RESETSTAT will be driven low indicating that the device is in reset.  
3. POR must be held active until all supplies on the board are stable then for at least an additional time for the  
Chip level PLLs to lock.  
4. The POR pin can now be de-asserted. Reset sampled pin values are latched at this point. The Chip level PLLs  
is taken out of reset and begins its locking sequence, and all power-on device initialization also begins.  
5. After device initialization is complete, the RESETSTAT pin is de-asserted (driven high). By this time, DDR3  
PLL has already completed its locking sequence and is outputting a valid clock. The system clocks of both PLL  
controllers are allowed to finish their current cycles and then paused for 10 cycles of their respective system  
reference clocks. After the pause, the system clocks are restarted at their default divide by settings.  
6. The device is now out of reset and device execution begins as dictated by the selected boot mode.  
Note—To most of the device, reset is de-asserted only when the POR and RESET pins are both de-asserted  
(driven high). Therefore, in the sequence described above, if the RESET pin is held low past the low period  
of the POR pin, most of the device will remain in reset. The RESET pin should not be tied together with the  
POR pin.  
7.7.2 Hard Reset  
A Hard reset will reset everything on the device except the PLLs, test, emulation logic and reset isolation modules.  
POR should also remain de-asserted during this time.  
Hard reset is initiated by the following  
RESET pin  
RSCTRL register in PLLCTL  
Watchdog Timer  
Emulation  
All the above initiators by default are configured to act as Hard reset. Except Emulation all the other 3 initiators can  
be configured as Soft resets in the RSCFG register in PLLCTL.  
The following sequence must be followed during a Hard reset:  
1. The RESET pin is pulled active low for a minimum of 24 CLKIN1 cycles. During this time the RESET signal is  
able to propagate to all modules (except those specifically mentioned above). All I/O are Hi-Z for modules  
affected by RESET, to prevent off-chip contention during the warm reset.  
2. Once all logic is reset, RESETSTAT is driven active to denote that the device is in reset.  
3. The RESET pin can now be released. A minimal device initialization begins to occur. Note that configuration  
pins are not re-latched and clocking is unaffected within the device.  
4. After device initialization is complete, the RESETSTAT pin is de-asserted (driven high).  
Note—The POR pin should be held inactive (high) throughout the warm reset sequence. Otherwise, if POR  
is activated (brought low), the minimum POR pulse width must be met. The RESET pin should not be tied  
together with the POR pin.  
Copyright 2010 Texas Instruments Incorporated  
TMS320C6678 Peripheral Information and Electrical Specifications 209  
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
7.7.3 Soft Reset  
A soft reset will behave like a hard reset except that the PCIe MMRs and DDR3 EMIF MMRs contents are retained.  
POR should also remain de-asserted during this time.  
Soft reset is initiated by the following  
RESET pin  
RSCTRL register in PLLCTL  
Watchdog Timer  
Emulation  
All the above initiators by default are configured to act as Hard reset. Except Emulation all the other 3 initiators can  
be configured as Soft resets in the RSCFG register in PLLCTL.  
In the case of a soft reset, the clock logic or the power control logic of the peripherals are not affected, and, therefore,  
the enabled/disabled state of the peripherals is not affected. The following external memory contents are maintained  
during a soft reset:  
DDR3 MMRs: The DDR3 Memory Controller registers are not reset. In addition, the DDR3 SDRAM memory  
content is retained if the user places the DDR3 SDRAM in self-refresh mode before invoking the soft reset.  
PCIe MMRs: The contents of the memory connected to the EMIFA are retained. The EMIFA registers are not  
reset.  
During a soft reset, the following happens:  
1. The RESETSTAT pin goes low to indicate an internal reset is being generated. The reset is allowed to propagate  
through the system. Internal system clocks are not affected. PLLs also remain locked.  
2. After device initialization is complete, the RESETSTAT pin is deasserted (driven high). In addition, the PLL  
controllers pause their system clocks for about 8 cycles.  
At this point:  
The state of the peripherals before the soft reset is not changed.  
The I/O pins are controlled as dictated by the DEVSTAT register.  
The DDR3 MMRs and PCIe MMRs retain their previous values. Only the DDR3 Memory Controller  
and PCIe state machines are reset by the soft reset.  
The PLL controllers are operating in the mode prior to soft reset. System clocks are unaffected.  
The boot sequence is started after the system clocks are restarted. Since the configuration pins are not latched with  
a System Reset, the previous values, as shown in the DEVSTAT register, are used to select the boot mode.  
210  
TMS320C6678 Peripheral Information and Electrical Specifications  
Copyright 2010 Texas Instruments Incorporated  
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
7.7.4 Local Reset  
The local reset can be used to reset a particular CorePac without resetting any other chip components.  
Local reset is initiated by the following (for more details see the Phase Locked Loop (PLL) Controller for KeyStone  
Devices User Guide (literature number SPRUGV2):  
LRESET pin  
Watchdog Timer should cause one of the below based on Reset Multiplex “ESTMUXn” register setting (See  
TBD for details):  
Local Reset  
NMI  
NMI followed by a time delay and then a local reset for the core selected  
Hard Reset by requesting reset via PLLCTL  
LPSC MMRs  
7.7.5 Reset Priority  
If any of the above reset sources occur simultaneously, the PLLCTL processes only the highest priority reset request.  
The reset request priorities are as follows (high to low):  
Power-on reset  
Hard/Soft reset  
7.7.6 Reset Controller Register  
The reset controller register are part of the PLLCTL MMRs. All C6678 device-specific MMRs are covered in Section  
7.8.4 ‘‘Main PLL Controller/SRIO/HyperLink/PCIe Clock Input Electrical Data/Timing’’ on page 224. For more  
details on these registers and how to program them, see the Phase Locked Loop (PLL) Controller for KeyStone Devices  
User Guide (literature number SPRUGV2).  
7.7.7 Reset Electrical Data / Timing  
Table 7-60  
Reset Timing Requirements (1)  
(see Figure 7-18, Figure 7-19, Figure 7-20 and Figure 7-21)  
No.  
Min  
Max  
Unit  
Power-on-Reset  
1
2
2
th(PORzL)  
Hold Time - POR low after VDDS15 Stable and Input Clocks Valid  
Setup Time - RESET high before POR high  
500C + 100  
500C + 100  
500C + 100  
μs  
tsu(RESETzH-PORzH)  
μs  
μs  
tsu(RESETFULLzH-PORzH) Setup Time - RESETFULL high before POR high  
Full-Reset  
4
5
6
td(PORzH-RESETFULLzL)  
tw(RESETFULLzL)  
Delay Time - POR high before RESETFULL low  
Pulse Width - Pulse width RESETFULL low  
500C + 100  
500C + TBD  
500C + 100  
μs  
μs  
μs  
td(RESETzH-RESETFULLzL) Delay Time - RESET high before RESETFULL low  
Hard-Reset  
4
9
6
td(PORzH-RESETzL)  
tw(RESETzL)  
Delay Time - POR high before RESET low  
Pulse Width - Pulse width RESET low  
500C + 100  
500C + TBD  
500C + 100  
μs  
μs  
μs  
td(RESETFULLzH-RESETzL) Delay Time - RESETFULL high before RESET low  
Soft Reset  
12  
13  
14  
td(PORzH-RESETzL)  
tw(RESETzL)  
Delay Time - POR high before RESET low  
Pulse Width - Pulse width RESET low  
500C + 100  
500C + TBD  
500C + 100  
μs  
μs  
μs  
td(RESETFULLzH-RESETzL) Delay Time - RESETFULL high before RESET low  
End of Table 7-60  
Copyright 2010 Texas Instruments Incorporated  
TMS320C6678 Peripheral Information and Electrical Specifications 211  
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
1 C = 1 ÷ CORECLK(N|P) frequency in ns.  
Table 7-61  
Reset Switching Characteristics Over Recommended Operating Conditions  
(see Figure 7-18, Figure 7-19, Figure 7-20 and Figure 7-21)  
No. Parameter  
Min  
Max  
Unit  
Power-on-Reset  
Delay Time - RESETSTAT high after POR high  
Full-Reset  
3
7
td(PORzH-RESETSTATzH)  
TBD  
μs  
td(RESETFULLzH-RESETSTATzH) Delay Time - RESETSTAT high after RESETFULL high  
TBD  
TBD  
μs  
μs  
Hard Reset  
11  
td(RESETzH-RESETSTATzH)  
Delay Time - RESETSTAT high after RESET high  
Soft Reset  
15  
td(RESETzH-RESETSTATzH)  
Delay Time - RESETSTAT high after RESET high  
TBD  
μs  
End of Table 7-61  
Figure 7-18  
Power-On Reset Timing  
1
2
VDDS15 Stable +  
Clocks Valid  
(internal signal)  
POR  
RESET  
RESETFULL  
3
RESETSTAT  
Figure 7-19  
Full-Reset Timing  
4
5
6
RESETFULL  
RESET  
RESETSTAT  
POR  
7
212  
TMS320C6678 Peripheral Information and Electrical Specifications  
Copyright 2010 Texas Instruments Incorporated  
 
 
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
Figure 7-20  
Hard-Reset Timing  
8
9
10  
RESET  
RESETFULL  
11  
RESETSTAT  
POR  
Figure 7-21  
Soft-Reset Timing  
12  
13  
14  
RESET  
RESETFULL  
RESETSTAT  
POR  
15  
Table 7-62  
Boot Configuration Timing Requirements (1)  
(See Figure 7-22)  
No.  
Min  
Max  
Unit  
ns  
1
2
tsu(GPIOn-PORz)  
th(PORz-GPIOn)  
Setup Time - GPIO valid before POR asserted  
12C  
12C  
Hold Time - GPIO valid after POR asserted  
ns  
End of Table 7-62  
1 C = 1 ÷ CORECLK(N|P) frequency in ns.  
Figure 7-22  
Boot Configuration Timing  
1
POR  
GPIO[15:0]/  
DONE  
2
Copyright 2010 Texas Instruments Incorporated  
TMS320C6678 Peripheral Information and Electrical Specifications 213  
 
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
214  
TMS320C6678 Peripheral Information and Electrical Specifications  
Copyright 2010 Texas Instruments Incorporated  
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
7.8 Main PLL and PLL Controller  
This section provides a description of the Main PLL and the PLL controller. For details on the operation of the PLL  
controller module, see the Phase Locked Loop (PLL) Controller for KeyStone Devices User Guide (literature number  
SPRUGV2).  
Note—The Main PLL controller registers can be accessed by any master in the device.  
The Main PLL is controlled by the standard PLL controller. The PLL controller manages the clock ratios, alignment,  
and gating for the system clocks to the device. Figure 7-23 shows a block diagram of the main PLL controller. The  
following paragraphs define the clocks and PLL controller parameters.  
Figure 7-23  
Main PLL and PLL Controller  
Main PLL Controller  
/2  
xM  
REFCLK  
C66x  
CorePac  
CORECLK(P|N)  
Main PLL  
/x  
/2  
/3  
/y  
SYSCLK2  
SYSCLK3  
SYSCLK4  
SYSCLK5  
SYSCLK6  
SYSCLK7  
SYSCLK8  
SYSCLK9  
SYSCLK10  
SYSCLK11  
/64  
/6  
To Switch Fabric,  
Peripherals,  
Accelerators  
/z  
/12  
/3  
/6  
Copyright 2010 Texas Instruments Incorporated  
TMS320C6678 Peripheral Information and Electrical Specifications 215  
 
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
The inputs, multiply factor within the PLL, and post-division for each of the chip-level clocks from the PLL output.  
The PLL controller also controls reset propagation through the chip, clock alignment, and test points. The PLL  
controller monitors the PLL status and provides an output signal indicating when the PLL is locked.  
Main PLL power is supplied externally via the Main PLL power-supply pin (AVDDA1). An external EMI filter  
circuit must be added to all PLL supplies. See the Hardware Design Guide for KeyStone Devices (literature number  
SPRABI2). for detailed recommendations. For the best performance, TI recommends that all the PLL external  
components be on a single side of the board without jumpers, switches, or components other than those shown. For  
reduced PLL jitter, maximize the spacing between switching signal traces and the PLL external components (C1, C2,  
and the EMI Filter).  
The minimum SYSCLK rise and fall times should also be observed. For the input clock timing requirements, see  
Section 7.8.4 ‘‘Main PLL Controller/SRIO/HyperLink/PCIe Clock Input Electrical Data/Timing’’.  
CAUTION—The PLL controller module as described in the see the Phase Locked Loop (PLL) Controller for  
KeyStone Devices User Guide (literature number SPRUGV2) includes a superset of features, some of which  
are not supported on the TMS320C6678 device. The following sections describe the registers that are  
supported; it should be assumed that any registers not included in these sections is not supported by the  
device. Furthermore, only the bits within the registers described here are supported. Avoid writing to any  
reserved memory location or changing the value of reserved bits.  
7.8.1 Main PLL Controller Device-Specific Information  
7.8.1.1 Internal Clocks and Maximum Operating Frequencies  
The Main PLL, used to drive the CorePacs, the switch fabric, and a majority of the peripheral clocks (all but the  
DDR3 and the PASS modules) requires a PLL controller to manage the various clock divisions, gating, and  
synchronization. The Main PLL’s PLL controller has several SYSCLK outputs that are listed below, along with the  
clock description. Each SYSCLK has a corresponding divider that divides down the output clock of the PLL. Note  
that dividers are not programmable unless explicitly mentioned in the description below.  
REFCLK: Full-rate clock for CorePac 0~CorePac 7.  
SYSCLK2: 1/x-rate clock for CorePac (emulation) and the ADTF module. Default rate for this will be 1/3. This  
is programmable from /1 to /32, where this clock does not violate the max of 350 MHz. The SYSCLK2 can be  
turned off by software.  
SYSCLK3: 1/2-rate clock used to clock the L2/MSMC, HyperLink, CPU/2 SCR, DDR EMIF and CPU/2  
EDMA.  
SYSCLK4: 1/3-rate clock for the switch fabrics and fast peripherals. The Debug_SS and ETBs will use this as  
well.  
SYSCLK5: 1/y-rate clock for system trace module only. Default rate for this will be 1/5. It is configurable and  
the max configurable clock is 210 MHz and min configuration clock is 32 MHz. The SYSCLK5 can be turned  
off by software.  
SYSCLK6: 1/64-rate clock. 1/64 rate clock (emif_ptv) used to clock the PVT compensated buffers for DDR3  
EMIF.  
SYSCLK7: 1/6-rate clock for slow peripherals and sources the SYSCLKOUT output pin.  
SYSCLK8: 1/z-rate clock. This clock is used as slow_sysclck in the system. Default for this will be 1/64. This is  
programmable from /24 to /80.  
SYSCLK9: 1/12-rate clock for SmartReflex.  
SYSCLK10: 1/3-rate clock for SRIO only.  
SYSCLK11: 1/6-rate clock for PSC only.  
Only SYSCLK2, SYSCLK5 and SYSCLK8 are programmable on TMS320C6678 device.  
216  
TMS320C6678 Peripheral Information and Electrical Specifications  
Copyright 2010 Texas Instruments Incorporated  
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
Note—In case any of the other programmable SYSCLKs are set slower than 1/64 rate, then SYSCLK8  
(SLOW_SYSCLK) needs to be programmed to either match, or be slower than, the slowest SYSCLK in the  
system.  
7.8.1.2 Main PLL Controller Operating Modes  
The Main PLL controller has two modes of operation: bypass mode and PLL mode. The mode of operation is  
determined by the PLLEN bit of the PLL control register (PLLCTL). In PLL mode, REFCLK is generated from the  
PLL output using the divider POSTDIV and the PLL multiplier PLLM. In bypass mode, PLL output is fed directly  
to REFCLK.  
All hosts must hold off accesses to the DSP while the frequency of its internal clocks is changing. A mechanism must  
be in place such that the DSP notifies the host when the PLL configuration has completed.  
7.8.1.3 Main PLL Stabilization, Lock, and Reset Times  
The PLL stabilization time is the amount of time that must be allotted for the internal PLL regulators to become  
stable after device powerup. The PLL should not be operated until this stabilization time has expired.  
The PLL reset time is the amount of wait time needed when resetting the PLL (writing PLLRST = 1), in order for the  
PLL to properly reset, before bringing the PLL out of reset (writing PLLRST = 0). For the Main PLL reset time value,  
see Table 7-63.  
The PLL lock time is the amount of time needed from when the PLL is taken out of reset (PLLRST = 1 with  
PLLEN = 0) to when to when the PLL controller can be switched to PLL mode (PLLEN = 1). The Main PLL lock time  
is given in Table 7-63.  
Table 7-63  
Main PLL Stabilization, Lock, and Reset Times  
Min  
Typ  
Max Unit  
PLL stabilization time  
PLL lock time  
100  
μs  
2000 × C (1)  
PLL reset time  
1000  
ns  
End of Table 7-63  
1 C = SYSCLK(N|P) cycle time in ns.  
7.8.2 PLL Controller Memory Map  
The memory map of the PLL controller is shown in Table 7-64. TMS320C6678 specific PLL Controller register  
definitions can be found in the sections following the Table 7-64, for other registers in the table, see the Phase Locked  
Loop (PLL) Controller for KeyStone Devices User Guide (literature number SPRUGV2).  
CAUTION—Note that only registers documented here are accessible on the TMS320C6678. Other addresses  
in the PLL controller memory map including the reserved registers should not be modified. Furthermore,  
only the bits within the registers described here are supported. Avoid writing to any reserved memory  
location or changing the value of reserved bits. It is recommended to use read-modify-write sequence to  
make any changes to the valid bits in the register.  
Copyright 2010 Texas Instruments Incorporated  
TMS320C6678 Peripheral Information and Electrical Specifications 217  
 
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
Table 7-64  
PLL Controller Registers (Including Reset Controller)  
Hex Address Range  
Acronym  
-
Register Name  
0231 0000 - 0231 00E3  
0231 00E4  
Reserved  
RSTYPE  
RSTCTRL  
RSTCFG  
RSISO  
Reset Type Status Register (Reset Controller)  
Software Reset Control Register (Reset Controller)  
Reset Configuration Register (Reset Controller)  
Reset isolation register(Reset Controller)  
Reserved  
0231 00E8  
0231 00EC  
0231 00F0  
0231 00F0 - 0231 00FF  
0231 0100  
-
PLLCTL  
-
PLL Control Register  
Reserved  
0231 0104  
0231 0108  
SECCTL  
-
PLL Secondary Control Register  
Reserved  
0231 010C  
0231 0110  
PLLM  
PLL Multiplier Control Register  
PLL pre-divider control register  
Reserved  
0231 0114  
PREDIV  
PLLDIV1  
PLLDIV2  
PLLDIV3  
-
0231 0118  
0231 011C  
PLL controller divider 2 register  
Reserved  
0231 0120  
0231 0124  
Reserved  
0231 0128  
POSTDIV  
-
PLL Post-Divider Register  
Reserved  
0231 012C - 0231 0134  
0231 0138  
PLLCMD  
PLLSTAT  
ALNCTL  
DCHANGE  
CKEN  
PLL Controller Command Register  
PLL Controller Status Register  
PLL Controller Clock Align Control Register  
PLLDIV Ratio Change Status Register  
Reserved  
0231 013C  
0231 0140  
0231 0144  
0231 0148  
0231 014C  
CKSTAT  
SYSTAT  
-
Reserved  
0231 0150  
SYSCLK Status Register  
Reserved  
0231 0154 - 0231 015C  
0231 0160  
PLLDIV4  
PLLDIV5  
PLLDIV6  
PLLDIV7  
PLLDIV8  
PLLDIV9 - PLLDIV16  
-
Reserved  
0231 0164  
PLL Controller Divider 5 Register  
Reserved  
0231 0168  
0231 016C  
Reserved  
0231 0170  
PLL Controller Divider 8 Register  
Reserved  
0231 0174 - 0231 0193  
0231 0194 - 0231 01FF  
End of Table 7-64  
Reserved  
218  
TMS320C6678 Peripheral Information and Electrical Specifications  
Copyright 2010 Texas Instruments Incorporated  
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
7.8.2.1 PLL Secondary Control Register (SECCTL)  
The PLL Secondary Control Register contains extra fields to control the Main PLL and is shown in Figure 7-24 and  
described in Table 7-65.  
Figure 7-24  
PLL Secondary Control Register (SECCTL))  
31  
24  
23  
22  
21  
OUTPUT_DIVIDE  
RW-0 RW-0  
20  
19  
18  
0
Reserved  
BYPASS  
RW-0  
Reserved  
RW-001 0000 0000 0000 0000  
R-0000 0000  
RW-0  
RW-1  
Legend: R/W = Read/Write; R = Read only; -n = value after reset  
Table 7-65  
PLL Secondary Control Register (SECCTL) Field Descriptions  
Description  
Bit  
Field  
Reserved  
31-24  
23  
Reserved  
BYPASS  
Main PLL Bypass Enable  
0 = Main PLL Bypass disabled  
1 = Main PLL Bypass enabled  
22-19  
OUTPUT_DIVIDE  
Output Divider ratio bits.  
0h = ÷1. Divide frequency by 1.  
1h = ÷2. Divide frequency by 2.  
2h = ÷3. Divide frequency by 3.  
3h = ÷4. Divide frequency by 4.  
4h - Fh = ÷5 to ÷16. Divide frequency by 5 to divide frequency by 80.  
18-0  
Reserved  
Reserved  
End of Table 7-65  
7.8.2.2 PLL Controller Divider Register (PLLDIV2, PLLDIV5, PLLDIV8)  
The PLL controller divider registers (PLLDIV2, PLLDIV5, PLLDIV8) are shown in Figure 7-25 and described in  
Table 7-66. The default values of the RATIO field on a reset for PLLDIV2, PLLDIV5, and PLLDIV8 are different and  
mentioned in the footnote of Figure 7-25.  
Figure 7-25  
PLL Controller Divider Register (PLLDIVn)  
31  
16  
15  
14  
8
7
0
Reserved  
R-0  
Dn (1) EN  
Reserved  
R-0  
RATIO  
R/W-1  
R/W-n (2)  
Legend: R/W = Read/Write; R = Read only; -n = value after reset  
1 D2EN for PLLDIV2; D5EN for PLLDIV5; D8EN for PLLDIV8  
2 n=02h for PLLDIV2; n=04h for PLLDIV5; n=3Fh for PLLDIV8  
Table 7-66  
PLL Controller Divider Register (PLLDIVn) Field Descriptions  
Description  
Bit  
Field  
Reserved  
DnEN  
31-16  
15  
Reserved.  
Divider Dn enable bit. (see footnote of Figure 7-25)  
0 = Divider n is disabled.  
1 = No clock output. Divider n is enabled.  
Copyright 2010 Texas Instruments Incorporated  
TMS320C6678 Peripheral Information and Electrical Specifications 219  
 
 
 
 
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
Table 7-66  
PLL Controller Divider Register (PLLDIVn) Field Descriptions  
Description  
Bit  
Field  
Reserved  
RATIO  
14-8  
7-0  
Reserved. The reserved bit location is always read as 0. A value written to this field has no effect.  
Divider ratio bits. (see footnote of Figure 7-25)  
0h = ÷1. Divide frequency by 1.  
1h = ÷2. Divide frequency by 2.  
2h = ÷3. Divide frequency by 3.  
3h = ÷4. Divide frequency by 4.  
4h - 4Fh = ÷5 to ÷80. Divide frequency by 5 to divide frequency by 80.  
End of Table 7-66  
7.8.2.3 PLL Controller Clock Align Control Register (ALNCTL)  
The PLL controller clock align control register (ALNCTL) is shown in Figure 7-26 and described in Table 7-67.  
Figure 7-26  
PLL Controller Clock Align Control Register (ALNCTL)  
31  
8
7
6
5
4
3
2
1
0
Reserved  
R-0  
ALN8  
R/W-1  
Reserved  
R-0  
ALN5  
R/W-1  
Reserved  
R-0  
ALN2  
R/W-1  
Reserved  
R-0  
Legend: R/W = Read/Write; R = Read only; -n = value after reset, for reset value  
Table 7-67  
PLL Controller Clock Align Control Register (ALNCTL) Field Descriptions  
Bit  
31-8  
6-5  
3-2  
0
Field Description  
Reserved  
Reserved. The reserved bit location is always read as 0. A value written to this field has no effect.  
7
ALN8  
ALN5  
ALN2  
SYSCLKn alignment. Do not change the default values of these fields.  
0 = Do not align SYSCLKn to other SYSCLKs during GO operation. If SYSn in DCHANGE is set, SYSCLKn switches to the new  
4
ratio immediately after the GOSET bit in PLLCMD is set.  
1
1 = Align SYSCLKn to other SYSCLKs selected in ALNCTL when the GOSET bit in PLLCMD is set and SYSn in DCHANGE is 1.  
The SYSCLKn rate is set to the ratio programmed in the RATIO bit in PLLDIVn.  
End of Table 7-67  
7.8.2.4 PLLDIV Divider Ratio Change Status Register (DCHANGE)  
Whenever a different ratio is written to the PLLDIVn registers, the PLLCTL flags the change in the DCHANGE  
status register. During the GO operation, the PLL controller will change only the divide ratio of the SYSCLKs with  
the bit set in DCHANGE. Note that the ALNCTL register determines if that clock also needs to be aligned to other  
clocks. The PLLDIV divider ratio change status register is shown in Figure 7-27 and described in Table 7-68.  
Figure 7-27  
PLLDIV Divider Ratio Change Status Register (DCHANGE)  
31  
8
7
6
5
4
3
2
1
0
Reserved  
R-0  
SYS8  
R/W-0  
Reserved  
R-0  
SYS5  
R/W-0  
Reserved  
R-0  
SYS2  
R/W-0  
Reserved  
R-0  
Legend: R/W = Read/Write; R = Read only; -n = value after reset, for reset value  
220  
TMS320C6678 Peripheral Information and Electrical Specifications  
Copyright 2010 Texas Instruments Incorporated  
 
 
 
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
Table 7-68  
PLLDIV Divider Ratio Change Status Register (DCHANGE) Field Descriptions  
Bit  
31-8  
6-5  
3-2  
0
Field  
Description  
Reserved  
Reserved. The reserved bit location is always read as 0. A value written to this field has no effect.  
7
SYS8  
SYS5  
SYS2  
Identifies when the SYSCLKn divide ratio has been modified.  
0 = SYSCLKn ratio has not been modified. When GOSET is set, SYSCLKn will not be affected.  
1 = SYSCLKn ratio has been modified. When GOSET is set, SYSCLKn will change to the new ratio.  
4
1
End of Table 7-68  
7.8.2.5 SYSCLK Status Register (SYSTAT)  
The SYSCLK status register (SYSTAT) shows the status of SYSCLK[11:1]. SYSTAT is shown in Figure 7-28 and  
described in Table 7-69.  
Figure 7-28  
SYSCLK Status Register (SYSTAT)  
31  
11  
10  
SYS11ON SYS10ON SYS9ON SYS8ON SYS7ON SYS6ON SYS5ON SYS4ON SYS3ON SYS2ON SYS1ON  
R-1 R-1 R-1 R-1 R-1 R-1 R-1 R-1 R-1 R-1 R-1  
9
8
7
6
5
4
3
2
1
0
Reserved  
R-n  
Legend: R/W = Read/Write; R = Read only; -n = value after reset  
Table 7-69  
SYSCLK Status Register (SYSTAT) Field Descriptions  
Bit  
Field Description  
31-11  
10-0  
Reserved  
SYS[N (1)]ON  
Reserved. The reserved bit location is always read as 0. A value written to this field has no effect.  
SYSCLK[N] on status.  
0 = SYSCLK[N] is gated.  
1 = SYSCLK[N] is on.  
End of Table 7-69  
1 Where N = 1, 2, 3,....N (Not all these output clocks may be used on a specific device. For more information, see the device-specific data manual)  
7.8.2.6 Reset Type Status Register (RSTYPE)  
The reset type status (RSTYPE) register latches the cause of the last reset. If multiple reset sources occur  
simultaneously, this register latches the highest priority reset source. The Reset Type Status register is shown in  
Figure 7-29 and described in Table 7-70.  
Figure 7-29  
Reset Type Status Register (RSTYPE)  
31  
29  
28  
EMU-RST  
R-0  
27  
12  
11  
8
7
3
2
1
0
Reserved  
R-0  
Reserved  
R-0  
WDRST[N]  
R-0  
Reserved  
R-0  
PLLCTRLRST  
R-0  
RESET  
R-0  
POR  
R-0  
Legend: R = Read only; -n = value after reset  
Copyright 2010 Texas Instruments Incorporated  
TMS320C6678 Peripheral Information and Electrical Specifications 221  
 
 
 
 
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
Table 7-70  
Reset Type Status Register (RSTYPE) Field Descriptions  
Bit  
Field  
Description  
31-29 Reserved  
Reserved. Read only. Always reads as 0. Writes have no effect.  
28  
EMU-RST  
Reset initiated by emulation.  
0 = Not the last reset to occur.  
1 = The last reset to occur.  
27-12 Reserved  
Reserved. Read only. Always reads as 0. Writes have no effect.  
11  
10  
9
WDRST3  
WDRST2  
WDRST1  
WDRST0  
Reserved  
PLLCTLRST  
Reset initiated by Watchdog Timer[N].  
0 = Not the last reset to occur.  
1 = The last reset to occur.  
8
7-3  
2
Reserved. Read only. Always reads as 0. Writes have no effect.  
Reset initiated by PLLCTL.  
0 = Not the last reset to occur.  
1 = The last reset to occur.  
1
0
RESET  
POR  
RESET reset.  
0 = RESET was not the last reset to occur.  
1 = RESET was the last reset to occur.  
Power-on reset.  
0 = Power-on reset was not the last reset to occur.  
1 = Power-on reset was the last reset to occur.  
End of Table 7-70  
7.8.2.7 Reset Control Register (RSTCTRL)  
This register contains a key that enables writes to the MSB of this register and the RSTCFG register. The key value  
is 0x5A69. A valid key will be stored as 0x000C, any other key value is invalid. When the RSTCTRL or the RSTCFG  
is written, the key is invalidated. Every write must be set up with a valid key. The Software Reset Control register  
(RSTCTRL) is shown in Figure 7-30 and described in Table 7-71.  
Figure 7-30  
Reset Control Register (RSTCTRL)  
31  
17  
16  
15  
0
Reserved  
R-0x0000  
SWRST  
R/W-0x (1)  
KEY  
R/W-0x0003  
Legend: R = Read only; -n = value after reset;  
1 Writes are conditional based on valid key.  
Table 7-71  
Reset Control Register (RSTCTRL) Field Descriptions  
Bit  
Field  
Description  
31-17 Reserved  
Reserved.  
16  
SWRST  
Software reset  
0 = Reset  
1 = Not reset  
15-0  
KEY  
Key used to enable writes to RSTCTRL and RSTCFG.  
End of Table 7-71  
222  
TMS320C6678 Peripheral Information and Electrical Specifications  
Copyright 2010 Texas Instruments Incorporated  
 
 
 
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
7.8.2.8 Reset Configuration Register (RSTCFG)  
This register is used to configure the type of reset initiated by RESET, Watchdog Timer and the PLL controller’s  
RSTCTRL register; i.e., a Hard reset or a Soft reset. By default, these resets will be Hard resets. The Reset  
Configuration register (RSTCFG) is shown in Figure 7-31 and described in Table 7-72.  
Figure 7-31  
Reset Configuration Register (RSTCFG)  
31  
16  
15  
Reserved  
R-00  
14  
13  
12  
11  
4
3
0
(1)  
Reserved  
R-0x0000  
PLLCTLRSTTYPE  
R/W-0 (2)  
RESETTYPE  
R/W-02  
Reserved  
R-0x0  
WDTYPE[N  
R/W-0x002  
]
Legend: R = Read only; R/W = Read/Write; -n = value after reset  
1 Where N = 1, 2, 3,....N (Not all these output may be used on a specific device. For more information, see the device-specific data manual)  
2 Writes are conditional based on valid key. For details, see Section 7.8.2.7 ‘‘Reset Control Register (RSTCTRL)’’.  
Table 7-72  
Reset Configuration Register (RSTCFG) Field Descriptions  
Bit  
Acronym  
Description  
31-14 Reserved  
Reserved.  
13  
PLLCTLRSTTYPE PLL controller initiates a software-driven reset of type:  
0 = Hard reset (default)  
1 = Soft reset  
12  
RESETTYPE  
RESET initiates a reset of type:  
0 = Hard Reset (default)  
1 = Soft Reset  
11-4  
Reserved  
WDTYPE3  
WDTYPE2  
WDTYPE1  
WDTYPE0  
Reserved.  
3
2
1
0
Watchdog Timer [N] initiates a reset of type:  
0 = Hard Reset (default)  
1 = Soft Reset  
End of Table 7-72  
7.8.2.9 Reset Isolation Register (RSISO)  
This register is used to select the module clocks that must maintain their clocking without pausing through non  
Power-on reset. Setting any of these bits effectively blocks reset to all PLLCTL registers in order to maintain current  
values of PLL multiplier, divide ratios and other settings. The Reset Isolation register (RSTCTRL) is shown in  
Figure 7-32 and described in Table 7-73.  
Figure 7-32  
Reset Isolation Register (RSISO)  
31  
16  
15  
10  
9
8
7
4
3
2
0
Reserved  
R-0x0000  
Reserved  
R-0x00  
SRIOISO  
R/W-0  
SRISO  
R/W-0  
Reserved  
R-0x0  
AIF2ISO  
R/W-0  
Reserved  
R-000  
Legend: R = Read only; R/W = Read/Write; -n = value after reset  
Copyright 2010 Texas Instruments Incorporated  
TMS320C6678 Peripheral Information and Electrical Specifications 223  
 
 
 
 
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
Table 7-73  
Reset Isolation Register (RSISO) Field Descriptions  
Bit  
Acronym  
Description  
31-10 Reserved  
Reserved.  
9
SRIOISO  
Isolate SRIO module  
0 = Not reset isolated  
1 = Reset Isolated  
8
SRISO  
Isolate SmartReflex  
0 = Not reset isolated  
1 = Reset Isolated  
7-4  
3
Reserved  
AIF2ISO  
Reserved.  
Isolate AIF2 module  
0 = Not reset isolated  
1 = Reset isolated  
2-0  
Reserved  
Reserved.  
End of Table 7-73  
7.8.3 Main PLL Control Register  
The Main PLL uses a chip-level register (MAINPLLCTL) along with the PLL controller for its configuration. This  
MMR exists inside the Bootcfg space. To write to this register, software should go through an un-locking sequence  
using KICK0/KICK1 registers. For valid configurable values into the MAINPLLCTL register see Section 2.5.3 ‘‘PLL  
Boot Configuration Settings’’ on page 31. See section 3.3.4 ‘‘Kicker Mechanism (KICK0 and KICK1) Register’’ on  
page 66 for the address location of the registers and locking and unlocking sequences for accessing the registers. This  
register is reset on POR only.  
Figure 7-33  
Main PLL Control Register (MAINPLLCTL)  
31  
24  
23  
19  
18  
16  
15  
12  
11  
Reserved  
RW, +000000  
6
5
0
BWADJ[7:0]  
RW,+0000 0101  
Legend: RW = Read/Write; -n = value after reset  
Reserved  
PLLM[12:6]  
PLLD  
RW,+000000  
RW - 0000 0  
RW,+0000000  
7.8.4 Main PLL Controller/SRIO/HyperLink/PCIe Clock Input Electrical Data/Timing  
Table 7-74  
Main PLL Control Register Field Descriptions  
Bit  
31-24 BWADJ[7:0]  
23-19 Reserved  
Field Description  
BWADJ should be programmed to a value equal to half of PLLM[12:0]  
Reserved  
18-12 PLLM[12:6]  
11-6 Reserved  
A 13-bit bus that selects the values for the multiplication factor (see Note below)  
Reserved  
5-0  
PLLD  
A 6-bit bus that selects the values for the reference divider  
End of Table 7-74  
Note—PLLM[5:0] bits of the multiplier is controlled by the PLLM register inside the PLL controller and  
PLLM[12:6] bits are controlled by the above chip level register. MAINPLLCTL register PLLM[12:6] bits  
should be written just before writing to PLLM register PLLM[5:0] bits in the controller to have the complete  
13 bit value latched when the GO operation is initiated in the PLL controller. See the Phase Locked Loop  
224  
TMS320C6678 Peripheral Information and Electrical Specifications  
Copyright 2010 Texas Instruments Incorporated  
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
(PLL) Controller for KeyStone Devices User Guide (literature number SPRUGV2) for the recommended  
programming sequence. Output Divide ratio and Bypass enable/disable of the Main PLL is controlled by the  
SECCTL register in the PLL Controller. See the Phase Locked Loop (PLL) Controller for KeyStone Devices  
User Guide (literature number SPRUGV2) for more details.  
Table 7-75  
Main PLL Controller/SRIO/HyperLink/PCIe Clock Input Timing Requirements (Part 1 of 2)  
(see Figure 7-34 and Figure 7-35)  
No.  
Min  
Max Unit  
CORECLK[P:N]  
1
1
3
2
2
3
4
4
4
4
5
5
tc(CORCLKN)  
Cycle Time _ CORECLKN cycle time  
Cycle Time _ CORECLKP cycle time  
Pulse Width _ CORECLKN high  
Pulse Width _ CORECLKN low  
Pulse Width _ CORECLKP high  
Pulse Width _ CORECLKP low  
10  
25  
ns  
tc(CORECLKP)  
10  
25  
ns  
ns  
ns  
ns  
ns  
ps  
ps  
ps  
ps  
ps  
ps  
tw(CORECLKN)  
0.45*tc(CORECLKN)  
0.55*tc(CORECLKN)  
tw(CORECLKN)  
0.45*tc(CORECLKN)  
0.55*tc(CORECLKN)  
tw(CORECLKP)  
0.45*tc(CORECLKP)  
0.55*tc(CORECLKP)  
tw(CORECLKP)  
0.45*tc(CORECLKP)  
0.55*tc(CORECLKP)  
tr(CORECLKN_250mv)  
tf(CORECLKN_250mv)  
tr(CORECLKP_250mv)  
tf(CORECLKP_250mv)  
tj(CORECLKN)  
Transition Time _ CORECLKN Rise time (250mV)  
Transition Time _ CORECLKN Fall time (250mV)  
Transition Time _ CORECLKP Rise time (250mV)  
Transition Time _ CORECLKP Fall time (250mV)  
Jitter, Peak_to_Peak _ Periodic CORECLKN  
Jitter, Peak_to_Peak _ Periodic CORECLKP  
50  
50  
50  
50  
350  
350  
350  
350  
100  
100  
tj(CORECLKP)  
SRIOSGMIICLK[P:N]  
1
1
3
2
2
3
4
tc(SRIOSMGMIICLKN)  
tc(SRIOSMGMIICLKP)  
tw(SRIOSMGMIICLKN)  
tw(SRIOSMGMIICLKN)  
tw(SRIOSMGMIICLKP)  
tw(SRIOSMGMIICLKP)  
Cycle Time _ SRIOSMGMIICLKN cycle time  
Cycle Time _ SRIOSMGMIICLKP cycle time  
Pulse Width _ SRIOSMGMIICLKN high  
Pulse Width _ SRIOSMGMIICLKN low  
Pulse Width _ SRIOSMGMIICLKP high  
Pulse Width _ SRIOSMGMIICLKP low  
3.2  
3.2  
6.4  
6.4  
ns  
ns  
ns  
ns  
ns  
ns  
0.45*tc(SRIOSGMIICLKN) 0.55*tc(SRIOSGMIICLKN)  
0.45*tc(SRIOSGMIICLKN) 0.55*tc(SRIOSGMIICLKN)  
0.45*tc(SRIOSGMIICLKP) 0.55*tc(SRIOSGMIICLKP)  
0.45*tc(SRIOSGMIICLKP) 0.55*tc(SRIOSGMIICLKP)  
tr(SRIOSMGMIICLKN_25 Transition Time _ SRIOSMGMIICLKN Rise time (250mV)  
0mv)  
50  
50  
50  
50  
350  
350  
350  
350  
ps  
ps  
ps  
ps  
4
4
4
tf(SRIOSMGMIICLKN_25 Transition Time _ SRIOSMGMIICLKN Fall time (250mV)  
0mv)  
tr(SRIOSMGMIICLKP_25 Transition Time _ SRIOSMGMIICLKP Rise time (250mV)  
0mv)  
tf(SRIOSMGMIICLKP_25 Transition Time _ SRIOSMGMIICLKP Fall time (250mV)  
0mv)  
4
4
4
4
5
5
5
tr(SRIOSMGMIICLKN)  
tf(SRIOSMGMIICLKP)  
tr(SRIOSMGMIICLKN)  
tf(SRIOSMGMIICLKP)  
tj(SRIOSMGMIICLKN)  
tj(SRIOSMGMIICLKP)  
tj(SRIOSMGMIICLKN)  
Transition Time _ SRIOSMGMIICLKN Rise time (VOH)  
Transition Time _ SRIOSMGMIICLKN Fall time (VOH)  
Transition Time _ SRIOSMGMIICLKP Rise time (VOH)  
Transition Time _ SRIOSMGMIICLKP Fall time (VOH)  
Jitter, Peak_to_Peak _ Periodic SRIOSMGMIICLKN  
Jitter, Peak_to_Peak _ Periodic SRIOSMGMIICLKP  
50  
50  
50  
50  
1300  
1300  
1300  
1300  
4
ps  
ps  
ps  
ps  
ps,RMS  
ps,RMS  
4
Jitter, Peak_to_Peak _ Periodic SRIOSMGMIICLKN (SRIO  
Not Used)  
8
8
ps,RMS  
ps,RMS  
5
tj(SRIOSMGMIICLKP)  
Jitter, Peak_to_Peak _ Periodic SRIOSMGMIICLKP (SRIO  
Not Used)  
MCMCLK[P:N]  
Cycle Time _ MCMCLKN cycle time  
1
1
tc(MCMCLKN)  
tc(MCMCLKP)  
3.2  
3.2  
6.4  
6.4  
ns  
ns  
Cycle Time _ MCMCLKP cycle time  
Copyright 2010 Texas Instruments Incorporated  
TMS320C6678 Peripheral Information and Electrical Specifications 225  
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
Table 7-75  
Main PLL Controller/SRIO/HyperLink/PCIe Clock Input Timing Requirements (Part 2 of 2)  
(see Figure 7-34 and Figure 7-35)  
No.  
Min  
Max Unit  
3
2
2
3
4
4
4
4
4
4
4
4
5
5
tw(MCMCLKN)  
tw(MCMCLKN)  
tw(MCMCLKP)  
Pulse Width _ MCMCLKN high  
0.45*tc(MCMCLKN)  
0.55*tc(MCMCLKN)  
ns  
ns  
Pulse Width _ MCMCLKN low  
0.45*tc(MCMCLKN)  
0.55*tc(MCMCLKN)  
Pulse Width _ MCMCLKP high  
0.45*tc(MCMCLKP)  
0.55*tc(MCMCLKP)  
ns  
tw(MCMCLKP)  
Pulse Width _ MCMCLKP low  
0.45*tc(MCMCLKP)  
0.55*tc(MCMCLKP)  
ns  
tr(MCMCLKN_250mv)  
tf(MCMCLKN_250mv)  
tr(MCMCLKP_250mv)  
tf(MCMCLKP_250mv)  
tr(MCMCLKN)  
Transition Time _ MCMCLKN Rise time (250mV)  
Transition Time _ MCMCLKN Fall time (250mV)  
Transition Time _ MCMCLKP Rise time (250mV)  
Transition Time _ MCMCLKP Fall time (250mV)  
Transition Time _ MCMCLKN Rise time (VOH)  
Transition Time _ MCMCLKN Fall time (VOH)  
Transition Time _ MCMCLKP Rise time (VOH)  
Transition Time _ MCMCLKP Fall time (VOH)  
Jitter, Peak_to_Peak _ Periodic MCMCLKN  
Jitter, Peak_to_Peak _ Periodic MCMCLKP  
PCIECLK[P:N]  
50  
50  
50  
50  
50  
50  
50  
50  
350  
350  
350  
350  
1300  
1300  
1300  
1300  
4
ps  
ps  
ps  
ps  
ps  
tf(MCMCLKP)  
ps  
tr(MCMCLKN)  
ps  
tf(MCMCLKP)  
ps  
tj(MCMCLKN)  
ps,RMS  
ps,RMS  
tj(MCMCLKP)  
4
1
1
3
2
2
3
4
4
4
4
4
4
4
4
5
5
tc(PCIECLKN)  
Cycle Time _ PCIECLKN cycle time  
3.2  
10  
ns  
ns  
tc(PCIECLKP)  
Cycle Time _ PCIECLKP cycle time  
3.2  
10  
tw(PCIECLKN)  
tw(PCIECLKN)  
tw(PCIECLKP)  
Pulse Width _ PCIECLKN high  
0.45*tc(PCIECLKN)  
0.55*tc(PCIECLKN)  
ns  
Pulse Width _ PCIECLKN low  
0.45*tc(PCIECLKN)  
0.55*tc(PCIECLKN)  
ns  
Pulse Width _ PCIECLKP high  
0.45*tc(PCIECLKP)  
0.55*tc(PCIECLKP)  
ns  
tw(PCIECLKP)  
Pulse Width _ PCIECLKP low  
0.45*tc(PCIECLKP)  
0.55*tc(PCIECLKP)  
ns  
tr(PCIECLKN_250mv)  
tf(PCIECLKN_250mv)  
tr(PCIECLKP_250mv)  
tf(PCIECLKP_250mv)  
tr(PCIECLKN)  
Transition Time _ PCIECLKN Rise time (250mV)  
Transition Time _ PCIECLKN Fall time (250mV)  
Transition Time _ PCIECLKP Rise time (250mV)  
Transition Time _ PCIECLKP Fall time (250mV)  
Transition Time _ PCIECLKN Rise time (VOH)  
Transition Time _ PCIECLKN Fall time (VOH)  
Transition Time _ PCIECLKP Rise time (VOH)  
Transition Time _ PCIECLKP Fall time (VOH)  
Jitter, Peak_to_Peak _ Periodic PCIECLKN  
Jitter, Peak_to_Peak _ Periodic PCIECLKP  
50  
50  
50  
50  
50  
50  
50  
50  
350  
350  
350  
350  
1300  
1300  
1300  
1300  
4
ps  
ps  
ps  
ps  
ps  
tf(PCIECLKP)  
ps  
tr(PCIECLKN)  
ps  
tf(PCIECLKP)  
ps  
tj(PCIECLKN)  
ps,RMS  
ps,RMS  
tj(PCIECLKP)  
4
End of Table 7-75  
Figure 7-34  
Main PLL Controller/SRIO/HyperLink/PCIe Clock Input Timing  
1
2
3
5
<CLK_NAME>CLKN  
<CLK_NAME>CLKP  
4
226  
TMS320C6678 Peripheral Information and Electrical Specifications  
Copyright 2010 Texas Instruments Incorporated  
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
Figure 7-35  
PLL Transition Time  
peak-to-peak differential input  
voltage (250 mV to 2 V)  
250 mV peak-to-peak  
0
TR = 50 ps min to 350 ps max (10% to 90 %)  
for the 250 mV peak-to-peak centered at zero crossing  
Copyright 2010 Texas Instruments Incorporated  
TMS320C6678 Peripheral Information and Electrical Specifications 227  
 
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
7.9 DD3 PLL  
The DDR3 PLL generates interface clocks for the DDR3 memory controller. When coming out of power-on reset,  
DDR3 PLL is programmed to a valid frequency during the boot config before being enabled and used.  
DDR3 PLL power is supplied externally via the Main PLL power-supply pin (AVDDA2). An external EMI filter  
circuit must be added to all PLL supplies. See the Hardware Design Guide for KeyStone Devices (literature number  
SPRABI2). For the best performance, TI recommends that all the PLL external components be on a single side of the  
board without jumpers, switches, or components other than those shown. For reduced PLL jitter, maximize the  
spacing between switching signal traces and the PLL external components (C1, C2, and the EMI Filter).  
Figure 7-36  
DDR3 PLL Block Diagram  
/2  
DDR3 PLL  
PLLOUT  
DDR3  
PHY  
DDRCLK(N|P)  
xPLLM  
7.9.1 DDR3 PLL Control Register  
The DDR3 PLL, which is used to drive the DDR PHY for the EMIF, does not use a PLL controller. DDR3 PLL can  
be controlled using the DDR3PLLCTL register located in the Bootcfg module. This MMR exists inside the Bootcfg  
space. To write to this register, software should go through an un-locking sequence using KICK0/KICK1 registers.  
For suggested configurable values see section 3.3.4 ‘‘Kicker Mechanism (KICK0 and KICK1) Register’’ on page 66  
for the address location of the registers and locking and unlocking sequences for accessing the registers. This register  
is reset on POR only  
.
Figure 7-37  
DDR3 PLL Control Register (DDR3PLLCTL) (1)  
31  
24  
23  
22  
Reserved  
RW,+0001  
19  
18  
16  
15  
6
5
0
Reserved  
RW,+0000 1001  
BYPASS  
RW,+0  
PLLM  
RW,+0000000010011  
PLLD  
RW,+000000  
Legend: RW = Read/Write; -n = value after reset  
1 This register is Reset on POR only. The regreset, reset and bgreset from PLL are all tied to a common pll0_ctrl_rst_n The pwrdn, regpwrdn, bgpwrdn are all tied to common  
pll0_ctrl_to_pll_pwrdn.  
Table 7-76  
DDR3 PLL Control Register Field Descriptions  
Bit  
31-24 Reserved  
23 BYPASS  
Field Description  
Reserved  
Enable Bypass Mode  
0 = Bypass Disabled  
1 = Bypass Enabled  
22-19 Reserved  
18-6 PLLM  
Reserved  
A 13-bit bus that selects the values for the multiplication factor (see Note below)  
A 6-bit bus that selects the values for the reference divider  
5-0  
PLLD  
End of Table 7-76  
228  
TMS320C6678 Peripheral Information and Electrical Specifications  
Copyright 2010 Texas Instruments Incorporated  
 
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
7.9.2 DDR3 PLL Device-Specific Information  
As shown in Figure 7-36, the output of DDR3 PLL (PLLOUT) is divided by 2 and directly fed to the DDR3 memory  
controller. The DDR3 PLL is affected by power-on reset. During power-on resets, the internal clocks of the DDR3  
PLL are affected as described in Section 7.7 ‘‘Reset Controller’’ on page 208. DDR3 PLL is unlocked only during the  
power-up sequence and is locked by the time the RESETSTAT pin goes high. It does not lose lock during any of the  
other resets.  
7.9.3 DDR3 PLL Input Clock Electrical Data/Timing  
Table 7-77  
DDR3 PLL DDRREFCLK(N|P) Timing Requirements  
(see Figure 7-38 and Figure 7-35)  
No.  
Min  
Max Unit  
DDRCLK[P:N]  
1
1
3
2
2
3
4
4
4
4
5
5
tc(DDRCLKN)  
tc(DDRCLKP)  
tw(DDRCLKN)  
tw(DDRCLKN)  
tw(DDRCLKP)  
tw(DDRCLKP)  
Cycle Time _ DDRCLKN cycle time  
Cycle Time _ DDRCLKP cycle time  
Pulse Width _ DDRCLKN high  
Pulse Width _ DDRCLKN low  
Pulse Width _ DDRCLKP high  
Pulse Width _ DDRCLKP low  
3.2  
25  
25  
ns  
3.2  
ns  
ns  
ns  
ns  
ns  
ps  
ps  
ps  
ps  
ps  
ps  
0.45*tc(DDRCLKN)  
0.55*tc(DDRCLKN)  
0.55*tc(DDRCLKN)  
0.55*tc(DDRCLKP)  
0.55*tc(DDRCLKP)  
350  
0.45*tc(DDRCLKN)  
0.45*tc(DDRCLKP)  
0.45*tc(DDRCLKP)  
tr(DDRCLKN_250mv) Transition Time _ DDRCLKN Rise time (250mV)  
tf(DDRCLKN_250mv) Transition Time _ DDRCLKN Fall time (250mV)  
tr(DDRCLKP_250mv) Transition Time _ DDRCLKP Rise time (250mV)  
tf(DDRCLKP_250mv) Transition Time _ DDRCLKP Fall time (250mV)  
50  
50  
50  
50  
350  
350  
350  
tj(DDRCLKN)  
tj(DDRCLKP)  
Jitter, Peak_to_Peak _ Periodic DDRCLKN  
Jitter, Peak_to_Peak _ Periodic DDRCLKP  
0.025*tc(DDRCLKN)  
0.025*tc(DDRCLKN)  
End of Table 7-77  
Figure 7-38  
DDR3 PLL DDRCLK Timing  
1
2
3
5
<CLK_NAME>CLKN  
<CLK_NAME>CLKP  
4
Copyright 2010 Texas Instruments Incorporated  
TMS320C6678 Peripheral Information and Electrical Specifications 229  
 
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
7.10 PASS PLL  
The PASS PLL generates interface clocks for the Packet Accelerator Subsystem. Using the PACLKSEL pin the user  
can select the input source of PASS PLL as either the output of Main PLL mux or the PASSCLK clock reference  
sources. When coming out of power-on reset, PASS PLL comes out in a bypass mode and needs to be programmed  
to a valid frequency before being enabled and used.  
PASS PLL power is supplied externally via the Main PLL power-supply pin (AVDDA3). An external EMI filter  
circuit must be added to all PLL supplies. Please see the Hardware Design Guide for KeyStone Devices (literature  
number SPRABI2). for detailed recommendations. For the best performance, TI recommends that all the PLL  
external components be on a single side of the board without jumpers, switches, or components other than those  
shown. For reduced PLL jitter, maximize the spacing between switching signal traces and the PLL external  
components (C1, C2, and the EMI Filter).  
Figure 7-39  
PASS PLL Block Diagram  
/2  
PASS PLL  
xPLLM  
CORECLK(P|N)  
PLLOUT  
Packet  
Accelerator  
PASSCLK(P|N)  
PACLKSEL  
7.10.1 PASS PLL Control Register  
The PASS PLL, which is used to drive the Packet Accelerator Sub-System, does not use a PLL controller. PASS PLL  
can be controlled using the PAPLLCTL register located in Bootcfg module. This MMR exists inside the Bootcfg  
space. To write to this register, software should go through an un-locking sequence using KICK0/KICK1 registers.  
For suggested configurable values see PLL Section. See section 3.3.4 ‘‘Kicker Mechanism (KICK0 and KICK1)  
Register’’ on page 66 for the address location of the registers and locking and unlocking sequences for accessing the  
registers. This register is reset on POR only  
.
Figure 7-40  
PASS PLL Control Register (PASSPLLCTL) (1)  
31  
24  
23  
22  
Reserved  
RW,+0001  
19  
18  
16  
15  
6
5
0
Reserved  
RW,+0000 1001  
BYPASS  
RW,+0  
PLLM  
RW,+0000000010011  
PLLD  
RW,+000000  
Legend: RW = Read/Write; -n = value after reset  
1 This register is Reset on POR only. The regreset, reset and bgreset from PLL are all tied to a common pll0_ctrl_rst_n The pwrdn, regpwrdn, bgpwrdn are all tied to common  
pll0_ctrl_to_pll_pwrdn.  
Table 7-78  
PASS PLL Control Register Field Descriptions  
Bit  
31-24 Reserved  
23 BYPASS  
Field Description  
Reserved  
Enable Bypass Mode  
0 = Bypass Disabled  
1 = Bypass Enabled  
22-19 Reserved  
18-6 PLLM  
Reserved  
A 13-bit bus that selects the values for the multiplication factor (see Note below)  
A 6-bit bus that selects the values for the reference divider  
5-0  
PLLD  
End of Table 7-78  
230  
TMS320C6678 Peripheral Information and Electrical Specifications  
Copyright 2010 Texas Instruments Incorporated  
 
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
7.10.2 PASS PLL Device-Specific Information  
As shown in Figure 7-39, the output of PASS PLL (PLLOUT) is divided by 2 and directly fed to the Packet  
Accelerator Sub-System. The PASS PLL is affected by power-on reset. During power-on resets, the internal clocks  
of the PASS PLL are affected as described in Section 7.7 ‘‘Reset Controller’’ on page 208. PASS PLL is unlocked only  
during the power-up sequence and is locked by the time the RESETSTAT pin goes high. It does not lose lock during  
any of the other resets.  
Table 7-79  
PASS PLL Timing Requirements  
(See Figure 7-41 and Figure 7-35)  
No.  
Parameter  
Min  
Max  
Unit  
PASSCLK[P:N]  
1
1
3
2
2
3
4
4
4
4
5
5
tc(PASSCLKN)  
tc(PASSCLKP)  
tw(PASSCLKN)  
tw(PASSCLKN)  
tw(PASSCLKP)  
tw(PASSCLKP)  
Cycle Time _ PASSCLKN cycle time  
Cycle Time _ PASSCLKP cycle time  
Pulse Width _ PASSCLKN high  
Pulse Width _ PASSCLKN low  
Pulse Width _ PASSCLKP high  
Pulse Width _ PASSCLKP low  
3.2  
3.2  
6.4  
6.4  
ns  
ns  
ns  
ns  
ns  
ns  
ps  
ps  
ps  
ps  
0.45*tc(PASSCLKN) 0.55*tc(PASSCLKN)  
0.45*tc(PASSCLKN) 0.55*tc(PASSCLKN)  
0.45*tc(PASSCLKP) 0.55*tc(PASSCLKP)  
0.45*tc(PASSCLKP) 0.55*tc(PASSCLKP)  
tr(PASSCLKN_250mv) Transition Time _ PASSCLKN Rise time (250mV)  
tf(PASSCLKN_250mv) Transition Time _ PASSCLKN Fall time (250mV)  
tr(PASSCLKP_250mv) Transition Time _ PASSCLKP Rise time (250mV)  
tf(PASSCLKP_250mv) Transition Time _ PASSCLKP Fall time (250mV)  
50  
50  
50  
50  
350  
350  
350  
350  
tj(PASSCLKN)  
tj(PASSCLKP)  
Jitter, Peak_to_Peak _ Periodic PASSCLKN  
Jitter, Peak_to_Peak _ Periodic PASSCLKP  
100 ps, pk-pk  
100 ps, pk-pk  
Figure 7-41  
PASS PLL Timing  
1
2
3
5
<CLK_NAME>CLKN  
<CLK_NAME>CLKP  
4
Copyright 2010 Texas Instruments Incorporated  
TMS320C6678 Peripheral Information and Electrical Specifications 231  
 
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
7.11 DDR3 Memory Controller  
The 64-bit DDR3 Memory Controller bus of the TMS320C6678 is used to interface to JEDEC standard-compliant  
DDR3 SDRAM devices. The DDR3 external bus interfaces only to DDR3 SDRAM devices; it does not share the bus  
with any other types of peripherals.  
7.11.1 DDR3 Memory Controller Device-Specific Information  
The TMS320C6678 includes one 64-bit wide 1.5-V DDR3 SDRAM EMIF interface. The DDR3 interface can operate  
at 800 Mega Transfers per Second (MTS), 1033 MTS, 1333 MTS, and 1600 MTS.  
Due to the complicated nature of the interface, a limited number of topologies will be supported to provide a 16-bit,  
32-bit, or 64-bit interface.  
The DDR3 electrical requirements are fully specified in the DDR Jedec Specification JESD79-3C. Standard DDR3  
SDRAMs are available in 8- and 16-bit versions, allowing for the following bank topologies to be supported by the  
interface:  
72-bit: Five 16-bit SDRAMs (including 8 bits of ECC)  
72-bit: Nine 8-bit SDRAMs (including 8 bits of ECC)  
36-bit: Three 16-bit SDRAMs (including 4 bits of ECC)  
36-bit: Five 8-bit SDRAMs (including 4 bits of ECC)  
64-bit: Four 16-bit SDRAMs  
64-bit: Eight 8-bit SDRAMs  
32-bit: Two 16-bit SDRAMs  
32-bit: Four 8-bit SDRAMs  
16-bit: One 16-bit SDRAM  
16-bit: Two 8-bit SDRAM  
The approach to specifying interface timing for the DDR3 memory bus is different than on other interfaces such as  
I2C or SPI. For these other interfaces, the device timing was specified in terms of data manual specifications and I/O  
buffer information specification (IBIS) models. For the DDR3 memory bus, the approach is to specify compatible  
DDR3 devices and provide the printed circuit board (PCB) solution and guidelines directly to the user.  
A race condition may exist when certain masters write data to the DDR3 memory controller. For example, if  
master A passes a software message via a buffer in external memory and does not wait for indication that the write  
completes, when master B attempts to read the software message, then the master B read may bypass the master A  
write and, thus, master B may read stale data and, therefore, receive an incorrect message.  
Some master peripherals (e.g., EDMA3 transfer controllers) will always wait for the write to complete before  
signaling an interrupt to the system, thus avoiding this race condition. For masters that do not have a hardware  
specification of write-read ordering, it may be necessary to specify data ordering via software.  
If master A does not wait for indication that a write is complete, it must perform the following workaround:  
1. Perform the required write.  
2. Perform a dummy write to the DDR3 memory controller module ID and revision register.  
3. Perform a dummy read to the DDR3 memory controller module ID and revision register.  
4. Indicate to master B that the data is ready to be read after completion of the read in step 3. The completion of  
the read in step 3 ensures that the previous write was done.  
232  
TMS320C6678 Peripheral Information and Electrical Specifications  
Copyright 2010 Texas Instruments Incorporated  
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
7.11.2 DDR3 Memory Controller Electrical Data/Timing  
The KeyStone DSP DDR3 Implementation Guidelines (literature number SPRABI1)specifies a complete DDR3  
interface solution as well as a list of compatible DDR3 devices. The DDR3 electrical requirements are fully specified  
in the DDR3 Jedec Specification JESD79-3C. TI has performed the simulation and system characterization to ensure  
all DDR3 interface timings in this solution are met; therefore, no electrical data/timing information is supplied here  
for this interface.  
Note—TI supports only designs that follow the board design guidelines outlined in the application report.  
7.12 I2C Peripheral  
The inter-integrated circuit (I2C) module provides an interface between DSP and other devices compliant with  
Philips Semiconductors Inter-IC bus (I2C bus) specification version 2.1 and connected by way of an I2C bus.  
External components attached to this 2-wire serial bus can transmit/receive up to 8-bit data to/from the DSP  
through the I2C module.  
7.12.1 I2C Device-Specific Information  
The TMS320C6678 device includes an I2C peripheral module. NOTE: when using the I2C module, ensure there are  
external pullup resistors on the SDA and SCL pins.  
The I2C modules on the C6678 may be used by the DSP to control local peripheral ICs (DACs, ADCs, etc.) or may  
be used to communicate with other controllers in a system or to implement a user interface.  
The I2C port supports:  
Compatible with Philips I2C specification revision 2.1 (January 2000)  
Fast mode up to 400 Kbps (no fail-safe I/O buffers)  
Noise filter to remove noise 50 ns or less  
7-bit and 10-bit device addressing modes  
Multi-master (transmit/receive) and slave (transmit/receive) functionality  
Events: DMA, interrupt, or polling  
Slew-rate limited open-drain output buffers  
Copyright 2010 Texas Instruments Incorporated  
TMS320C6678 Peripheral Information and Electrical Specifications 233  
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
Figure 7-42 shows a block diagram of the I2C module.  
Figure 7-42  
I2C Module Block Diagram  
I2C Module  
Clock  
Prescale  
Peripheral Clock  
(CPU/6)  
I2CPSC  
Control  
Bit Clock  
Own  
I2COAR  
I2CSAR  
I2CMDR  
I2CCNT  
I2CEMDR  
Generator  
Address  
SCL  
Noise  
Filter  
I2C Clock  
I2CCLKH  
I2CCLKL  
Slave  
Address  
Mode  
Data  
Count  
Transmit  
I2CXSR  
Transmit  
Shift  
Extended  
Mode  
Transmit  
Buffer  
I2CDXR  
SDA  
Interrupt/DMA  
I2CIMR  
Noise  
Filter  
I2C Data  
Interrupt  
Mask/Status  
Receive  
I2CDRR  
Receive  
Buffer  
Interrupt  
Status  
I2CSTR  
Interrupt  
Vector  
I2CRSR  
I2CIVR  
Receive  
Shift  
Shading denotes control/status registers.  
7.12.2 I2C Peripheral Register Description(s)  
Table 7-80  
I2C Registers (Part 1 of 2)  
Hex Address Range  
Acronym  
ICOAR  
ICIMR  
Register Name  
I2C own address register  
02B0 4000  
02B0 4004  
02B0 4008  
02B0 400C  
02B0 4010  
02B0 4014  
02B0 4018  
02B0 401C  
02B0 4020  
02B0 4024  
02B0 4028  
02B0 402C  
02B0 4030  
I2C interrupt mask/status register  
I2C interrupt status register  
I2C clock low-time divider register  
I2C clock high-time divider register  
I2C data count register  
I2C data receive register  
I2C slave address register  
I2C data transmit register  
I2C mode register  
I2C interrupt vector register  
I2C extended mode register  
I2C prescaler register  
ICSTR  
ICCLKL  
ICCLKH  
ICCNT  
ICDRR  
ICSAR  
ICDXR  
ICMDR  
ICIVR  
ICEMDR  
ICPSC  
234  
TMS320C6678 Peripheral Information and Electrical Specifications  
Copyright 2010 Texas Instruments Incorporated  
 
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
Table 7-80  
I2C Registers (Part 2 of 2)  
Hex Address Range  
Acronym  
Register Name  
02B0 4034  
02B0 4038  
ICPID1  
I2C peripheral identification register 1 [Value: 0x0000 0105]  
I2C peripheral identification register 2 [Value: 0x0000 0005]  
ICPID2  
02B0 403C - 02B0 405C  
02B0 4060 - 02B3 407F  
02B0 4080 - 02B3 FFFF  
End of Table 7-80  
-
-
-
Reserved  
Reserved  
Reserved  
7.12.3 I2C Electrical Data/Timing  
7.12.3.1 Inter-Integrated Circuits (I2C) Timing  
Table 7-81  
I2C Timing Requirements (1)  
(see Figure 7-43)  
Standard Mode  
Fast Mode  
Min  
No.  
Min  
Max  
Max Units  
1
2
tc(SCL)  
tsu(SCLH-SDAL)  
th(SDAL-SCLL)  
Cycle time, SCL  
10  
2.5  
us  
Setup time, SCL high before SDA low (for a repeated START  
condition)  
4.7  
4
0.6  
0.6  
us  
us  
3
Hold time, SCL low after SDA low (for a START and a repeated  
START condition)  
4
5
6
7
8
9
tw(SCLL)  
Pulse duration, SCL low  
4.7  
4
1.3  
0.6  
100 (2)  
0 (3)  
us  
us  
ns  
tw(SCLH)  
Pulse duration, SCL high  
tsu(SDAV-SCLH)  
th(SCLL-SDAV)  
tw(SDAH)  
Setup time, SDA valid before SCL high  
Hold time, SDA valid after SCL low (For I2C bus devices)  
Pulse duration, SDA high between STOP and START conditions  
Rise time, SDA  
250  
0 (3)  
4.7  
3.45  
0.9 (4)  
us  
us  
ns  
ns  
ns  
ns  
us  
ns  
pF  
1.3  
(5)  
tr(SDA)  
1000 20 + 0.1Cb  
1000 20 + 0.1Cb  
300 20 + 0.1Cb  
300 20 + 0.1Cb  
300  
300  
300  
300  
(5)  
(5)  
(5)  
10 tr(SCL)  
Rise time, SCL  
11 tf(SDA)  
Fall time, SDA  
12 tf(SCL)  
Fall time, SCL  
13 tsu(SCLH-SDAH)  
14 tw(SP)  
Setup time, SCL high before SDA high (for STOP condition)  
Pulse duration, spike (must be suppressed)  
Capacitive load for each bus line  
4
0.6  
0
50  
(5)  
15 Cb  
400  
400  
End of Table 7-81  
1 The I2C pins SDA and SCL do not feature fail-safe I/O buffers. These pins could potentially draw current when the device is powered down  
2 A Fast-mode I2C-bus™ device can be used in a Standard-mode I2C-bus™ system, but the requirement tsu(SDA-SCLH) 250 ns must then be met. This will automatically be the  
case if the device does not stretch the LOW period of the SCL signal. If such a device does stretch the LOW period of the SCL signal, it must output the next data bit to the  
SDA line tr max + tsu(SDA-SCLH) = 1000 + 250 = 1250 ns (according to the Standard-mode I2C-Bus Specification) before the SCL line is released.  
3 A device must internally provide a hold time of at least 300 ns for the SDA signal (referred to the VIHmin of the SCL signal) to bridge the undefined region of the falling edge  
of SCL.  
4 The maximum th(SDA-SCLL) has only to be met if the device does not stretch the low period [tw(SCLL)] of the SCL signal.  
5 Cb = total capacitance of one bus line in pF. If mixed with HS-mode devices, faster fall-times are allowed.  
Copyright 2010 Texas Instruments Incorporated  
TMS320C6678 Peripheral Information and Electrical Specifications 235  
 
 
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
Figure 7-43  
I2C Receive Timings  
11  
9
SDA  
8
6
14  
13  
4
10  
5
SCL  
1
3
12  
7
2
3
Stop  
Start  
Repeated  
Start  
Stop  
Table 7-82  
I2C Switching Characteristics (1)  
(see Figure 7-44)  
Standard Mode  
Fast Mode  
Min  
No.  
Parameter  
Min  
Max  
Max Unit  
16 tc(SCL)  
Cycle time, SCL  
10  
2.5  
ms  
Setup time, SCL high to SDA low (for a repeated START  
condition)  
17 tsu(SCLH-SDAL)  
18 th(SDAL-SCLL)  
4.7  
4
0.6  
0.6  
ms  
ms  
Hold time, SDA low after SCL low (for a START and a repeated  
START condition)  
19 tw(SCLL)  
20 tw(SCLH)  
21 td(SDAV-SDLH)  
22 tv(SDLL-SDAV)  
23 tw(SDAH)  
24 tr(SDA)  
Pulse duration, SCL low  
4.7  
4
1.3  
0.6  
100  
0
ms  
ms  
Pulse duration, SCL high  
Delay time, SDA valid to SCL high  
Valid time, SDA valid after SCL low (For I2C bus devices)  
Pulse duration, SDA high between STOP and START conditions  
Rise time, SDA  
250  
0
ns  
0.9 ms  
ms  
4.7  
1.3  
(1)  
1000  
1000  
300  
20 + 0.1Cb  
300 ns  
300 ns  
300 ns  
300 ns  
ms  
(1)  
(1)  
(1)  
25 tr(SCL)  
Rise time, SCL  
20 + 0.1Cb  
20 + 0.1Cb  
20 + 0.1Cb  
26 tf(SDA)  
Fall time, SDA  
27 tf(SCL)  
Fall time, SCL  
300  
28 td(SCLH-SDAH)  
29 Cp  
Delay time, SCL high to SDA high (for STOP condition)  
Capacitance for each I2C pin  
4
0.6  
10  
10 pF  
End of Table 7-82  
1 Cb = total capacitance of one bus line in pF. If mixed with HS-mode devices, faster fall-times are allowed.  
236  
TMS320C6678 Peripheral Information and Electrical Specifications  
Copyright 2010 Texas Instruments Incorporated  
 
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
Figure 7-44  
I2C Transmit Timings  
26  
24  
SDA  
SCL  
23  
21  
19  
28  
20  
25  
16  
18  
17  
27  
22  
18  
Stop  
Start  
Repeated  
Start  
Stop  
Copyright 2010 Texas Instruments Incorporated  
TMS320C6678 Peripheral Information and Electrical Specifications 237  
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
7.13 SPI Peripheral  
The serial peripheral interconnect (SPI) module provides an interface between the DSP and other SPI-compliant  
devices. The primary intent of this interface is to allow for connection to a SPI ROM for boot. The SPI module on  
C6678 is supported only in Master mode. Additional chip-level components can also be included, such as  
temperature sensors or an I/O expander.  
7.13.1 SPI Electrical Data/Timing  
7.13.1.1 SPI Timing  
Table 7-83  
SPI Timing Requirements  
See Figure 7-45)  
No.  
Min  
Max  
Unit  
Master Mode Timing Diagrams — Base Timings for 3 Pin Mode  
7
7
7
7
8
8
8
8
tsu(SOMI-SPC) Input Setup Time, SPIx_SOMI valid before receive edge of SPIx_CLk. Polarity = 0 Phase = 0  
tsu(SOMI-SPC) Input Setup Time, SPIx_SOMI valid before receive edge of SPIx_CLk. Polarity = 0 Phase = 1  
tsu(SOMI-SPC) Input Setup Time, SPIx_SOMI valid before receive edge of SPIx_CLk. Polarity = 1 Phase = 0  
tsu(SOMI-SPC) Input Setup Time, SPIx_SOMI valid before receive edge of SPIx_CLk. Polarity = 1 Phase = 1  
th(SPC-SOMI) Input Hold Time, SPIx_SOMI valid after receive edge of SPIx_CLK. Polarity = 0 Phase = 0  
th(SPC-SOMI) Input Hold Time, SPIx_SOMI valid after receive edge of SPIx_CLK. Polarity = 0 Phase = 1  
th(SPC-SOMI) Input Hold Time, SPIx_SOMI valid after receive edge of SPIx_CLK. Polarity = 1 Phase = 0  
th(SPC-SOMI) Input Hold Time, SPIx_SOMI valid after receive edge of SPIx_CLK. Polarity = 1 Phase = 1  
2
2
2
2
5
5
5
5
ns  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
End of Table 7-83  
Table 7-84  
SPI Switching Characteristics (Part 1 of 2)  
(See Figure 7-45 and Figure 7-46)  
No.  
Parameter  
Min  
Max  
Unit  
Master Mode Timing Diagrams — Base Timings for 3 Pin Mode  
1
2
3
4
tc(SPC)  
Cycle Time, SPIx_CLK, All Master Modes  
1/66MHz  
ns  
tw(SPCH)  
tw(SPCL)  
td(SIMO-SPC)  
Pulse Width High, SPIx_CLK, All Master Modes  
Pulse Width Low, SPIx_CLK, All Master Modes  
7
7
ns  
ns  
ns  
Setup (Delay), initial data bit valid on SPIx_SIMO to initial edge on SPIx_CLK.  
Polarity = 0, Phase = 0.  
5
5
5
5
5
5
5
5
4
4
4
5
5
5
5
6
6
td(SIMO-SPC)  
td(SIMO-SPC)  
td(SIMO-SPC)  
td(SPC-SIMO)  
td(SPC-SIMO)  
td(SPC-SIMO)  
td(SPC-SIMO)  
toh(SPC-SIMO)  
toh(SPC-SIMO)  
Setup (Delay), initial data bit valid on SPIx_SIMO to initial edge on SPIx_CLK.  
Polarity = 0, Phase = 1.  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
Setup (Delay), initial data bit valid on SPIx_SIMO to initial edge on SPIx_CLK  
Polarity = 1, Phase = 0  
Setup (Delay), initial data bit valid on SPIx_SIMO to initial edge on SPIx_CLK  
Polarity = 1, Phase = 1  
Setup (Delay), subsequent data bits valid on SPIx_SIMO to initial edge on  
SPIx_CLK. Polarity = 0 Phase = 0  
Setup (Delay), subsequent data bits valid on SPIx_SIMO to initial edge on  
SPIx_CLK Polarity = 0 Phase = 1  
Setup (Delay), subsequent data bits valid on SPIx_SIMO to initial edge on  
SPIx_CLK Polarity = 1 Phase = 0  
Setup (Delay), subsequent data bits valid on SPIx_SIMO to initial edge on  
SPIx_CLK Polarity = 1 Phase = 1  
Output hold time, SPIx_SIMO valid after receive edge of SPIx_CLK except for  
final bit. Polarity = 0 Phase = 0  
0.5*tc - 2  
0.5*tc - 2  
Output hold time, SPIx_SIMO valid after receive edge of SPIx_CLK except for  
final bit. Polarity = 0 Phase = 1  
238  
TMS320C6678 Peripheral Information and Electrical Specifications  
Copyright 2010 Texas Instruments Incorporated  
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
Table 7-84  
SPI Switching Characteristics (Part 2 of 2)  
(See Figure 7-45 and Figure 7-46)  
No.  
Parameter  
Min  
0.5*tc - 2  
Max  
Unit  
ns  
6
6
toh(SPC-SIMO)  
toh(SPC-SIMO)  
Output hold time, SPIx_SIMO valid after receive edge of SPIx_CLK except for  
final bit. Polarity = 1 Phase = 0  
Output hold time, SPIx_SIMO valid after receive edge of SPIx_CLK except for  
final bit. Polarity = 1 Phase = 1  
0.5*tc - 2  
ns  
Additional SPI Master Timings — 4 Pin Mode with Chip Select Option  
19 td(SCS-SPC)  
19 td(SCS-SPC)  
19 td(SCS-SPC)  
19 td(SCS-SPC)  
20 td(SPC-SCS)  
Delay from SPIx_SCS\ active to first SPIx_CLK. Polarity = 0 Phase = 0  
Delay from SPIx_SCS\ active to first SPIx_CLK. Polarity = 0 Phase = 1  
Delay from SPIx_SCS\ active to first SPIx_CLK. Polarity = 1 Phase = 0  
Delay from SPIx_SCS\ active to first SPIx_CLK. Polarity = 1 Phase = 1  
2*P2 - 5  
2*P2 + 5  
ns  
0.5*tc + (2*P2) - 5 0.5*tc + (2*P2) + 5 ns  
2*P2 - 5 2*P2 + 5 ns  
0.5*tc + (2*P2) - 5 0.5*tc + (2*P2) + 5 ns  
1*P2 - 5 1*P2 + 5 ns  
Delay from final SPIx_CLK edge to master deasserting SPIx_SCS\. Polarity = 0  
Phase = 0  
20 td(SPC-SCS)  
20 td(SPC-SCS)  
20 td(SPC-SCS)  
tw(SCSH)  
Delay from final SPIx_CLK edge to master deasserting SPIx_SCS\. Polarity = 0  
Phase = 1  
0.5*tc + (1*P2) - 5 0.5*tc + (1*P2) + 5 ns  
1*P2 - 5 1*P2 + 5 ns  
0.5*tc + (1*P2) - 5 0.5*tc + (1*P2) + 5 ns  
2*P2 - 5 ns  
Delay from final SPIx_CLK edge to master deasserting SPIx_SCS\. Polarity = 1  
Phase = 0  
Delay from final SPIx_CLK edge to master deasserting SPIx_SCS\. Polarity = 1  
Phase = 1  
Minimum inactive time on SPIx_SCS\ pin between two transfers when  
SPIx_SCS\ is not held using the CSHOLD feature.  
End of Table 7-84  
Copyright 2010 Texas Instruments Incorporated  
TMS320C6678 Peripheral Information and Electrical Specifications 239  
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
Figure 7-45  
SPI Master Mode Timing Diagrams — Base Timings for 3 Pin Mode  
1
MASTER MODE  
POLARITY = 0 PHASE = 0  
2
3
SPIx_CLK  
5
4
6
SPIx_SIMO  
SPIx_SOMI  
MO(0)  
7
MO(1)  
MO(n-1)  
MO(n)  
MI(n)  
8
MI(0)  
MI(1)  
MI(n-1)  
MASTER MODE  
POLARITY = 0 PHASE = 1  
4
SPIx_CLK  
SPIx_SIMO  
SPIx_SOMI  
6
5
5
5
MO(0)  
7
MO(1)  
MI(1)  
MO(n-1)  
MI(n-1)  
MO(n)  
MI(n)  
8
MI(0)  
4
MASTER MODE  
POLARITY = 1 PHASE = 0  
SPIx_CLK  
SPIx_SIMO  
SPIx_SOMI  
6
MO(0)  
7
MO(1)  
MI(1)  
MO(n-1)  
MO(n)  
MI(n)  
8
MI(0)  
MI(n-1)  
MASTER MODE  
POLARITY = 1 PHASE = 1  
SPIx_CLK  
SPIx_SIMO  
SPIx_SOMI  
4
6
MO(0)  
7
MO(1)  
MI(1)  
MO(n-1)  
MI(n-1)  
MO(n)  
MI(n)  
8
MI(0)  
Figure 7-46  
SPI Additional Timings for 4 Pin Master Mode with Chip Select Option  
MASTER MODE 4 PIN WITH CHIP SELECT  
19  
20  
SPIx_CLK  
SPIx_SIMO  
SPIx_SOMI  
SPIx_SCS  
MO(0)  
MO(n)  
MI(n)  
MO(n-1)  
MI(n-1)  
MO(1)  
MI(1)  
MI(0)  
240  
TMS320C6678 Peripheral Information and Electrical Specifications  
Copyright 2010 Texas Instruments Incorporated  
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
7.14 HyperLink Peripheral  
The TMS320C6678 will include the HyperLink bus for companion chip/die interfaces. This is a four lane SerDes  
interface designed to operate at 12.5 Gbps per lane from pin-to-pin and at 18 Gbps per lane from die-to-die. The  
interface is used to connect with external accelerators. The HyperLink links must be connected with DC coupling.  
The interface includes the Serial Station Management Interfaces used to send power management and flow messages  
between devices. This consists of four LVCMOS inputs and four LVCMOS outputs configured as two 2-wire output  
buses and two 2-wire input buses. Each 2-wire bus includes a data signal and a clock signal.  
Table 7-85  
HyperLink Peripheral Timing Requirements  
See Figure 7-47,Figure 7-48,Figure 7-49  
No.  
Parameter  
FL Interface  
Min  
Max  
Unit  
1
2
3
6
7
6
7
tc(MCMTXFLCLK)  
Clock Period - MCMTXFLCLK (C1)  
6
ns  
tw(MCMTXFLCLKH)  
High Pulse Width - MCMTXFLCLK  
0.4*C1 0.6*C1 ns  
0.4*C1 0.6*C1 ns  
tw(MCMTXFLCLKL)  
Low Pulse Width - MCMTXFLCLK  
tsu(MCMTXFLDAT-MCMTXFLCLKH)  
th(MCMTXFLCLKH-MCMTXFLDAT)  
tsu(MCMTXFLDAT-MCMTXFLCLKL)  
th(MCMTXFLCLKL-MCMTXFLDAT)  
Setup Time - MCMTXFLDAT valid before MCMTXFLCLK high  
Hold Time - MCMTXFLDAT valid after MCMTXFLCLK high  
Setup Time - MCMTXFLDAT valid before MCMTXFLCLK low  
Hold Time - MCMTXFLDAT valid after MCMTXFLCLK low  
PM Interface  
1
1
1
1
ns  
ns  
ns  
ns  
1
2
3
6
7
6
7
tc(MCMRXPMCLK)  
tw(MCMRXPMCLK)  
tw(MCMRXPMCLK)  
Clock Period - MCMRXPMCLK (C3)  
6
ns  
High Pulse Width - MCMRXPMCLK  
0.4*C3 0.6*C3 ns  
0.4*C3 0.6*C3 ns  
Low Pulse Width - MCMRXPMCLK  
tsu(MCMRXPMDAT-MCMRXPMCLKH) Setup Time - MCMRXPMDAT valid before MCMRXPMCLK high  
th(MCMRXPMCLKH-MCMRXPMDAT) Hold Time - MCMRXPMDAT valid after MCMRXPMCLK high  
tsu(MCMRXPMDAT-MCMRXPMCLKL) Setup Time - MCMRXPMDAT valid before MCMRXPMCLK low  
th(MCMRXPMCLKL-MCMRXPMDAT) Hold Time - MCMRXPMDAT valid after MCMRXPMCLK low  
1
1
1
1
ns  
ns  
ns  
ns  
End of Table 7-85  
Table 7-86  
HyperLink Peripheral Switching Characteristics (Part 1 of 2)  
See Figure 7-47,Figure 7-48,Figure 7-49  
No.  
Parameter  
FL Interface  
Min  
Max  
Unit  
1
2
3
4
5
4
5
tc(MCMRXFLCLK)  
Clock Period - MCMRXFLCLK (C2)  
6
ns  
tw(MCMRXFLCLKH)  
High Pulse Width - MCMRXFLCLK  
0.4*C2 0.6*C2 ns  
0.4*C2 0.6*C2 ns  
tw(MCMRXFLCLKL)  
Low Pulse Width - MCMRXFLCLK  
tosu(MCMRXFLDAT-MCMRXFLCLKH)  
toh(MCMRXFLCLKH-MCMRXFLDAT)  
tosu(MCMRXFLDAT-MCMRXFLCLKL)  
toh(MCMRXFLCLKL-MCMRXFLDAT)  
Setup Time - MCMRXFLDAT valid before MCMRXFLCLK high  
Hold Time - MCMRXFLDAT valid after MCMRXFLCLK high  
Setup Time - MCMRXFLDAT valid before MCMRXFLCLK low  
Hold Time - MCMRXFLDAT valid after MCMRXFLCLK low  
PM Interface  
1.1  
1.1  
1.1  
1.1  
ns  
ns  
ns  
ns  
1
2
3
4
5
tc(MCMTXPMCLK)  
tw(MCMTXPMCLK)  
tw(MCMTXPMCLK)  
Clock Period - MCMTXPMCLK (C4)  
6
ns  
High Pulse Width - MCMTXPMCLK  
0.4*C4 0.6*C4 ns  
0.4*C4 0.6*C4 ns  
Low Pulse Width - MCMTXPMCLK  
tosu(MCMTXPMDAT-MCMTXPMCLKH) Setup Time - MCMTXPMDAT valid before MCMTXPMCLK high  
toh(MCMTXPMCLKH-MCMTXPMDAT) Hold Time - MCMTXPMDAT valid after MCMTXPMCLK high  
1.1  
1.1  
ns  
ns  
Copyright 2010 Texas Instruments Incorporated  
TMS320C6678 Peripheral Information and Electrical Specifications 241  
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
Table 7-86  
HyperLink Peripheral Switching Characteristics (Part 2 of 2)  
See Figure 7-47,Figure 7-48,Figure 7-49  
No.  
Parameter  
Min  
1.1  
1.1  
Max  
Unit  
ns  
4
5
tosu(MCMTXPMDAT-MCMTXPMCLKL) Setup Time - MCMTXPMDAT valid before MCMTXPMCLK low  
toh(MCMTXPMCLKL-MCMTXPMDAT) Hold Time - MCMTXPMDAT valid after MCMTXPMCLK low  
ns  
End of Table 7-86  
Figure 7-47  
HyperLink Station Management Clock Timing  
1
2
3
Figure 7-48  
HyperLink Station Management Transmit Timing  
4
5
4
5
?_CLK  
?_DAT  
Figure 7-49  
HyperLink Station Management Receive Timing  
6
7
6
7
?_CLK  
?_DAT  
242  
TMS320C6678 Peripheral Information and Electrical Specifications  
Copyright 2010 Texas Instruments Incorporated  
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
7.15 UART Peripheral  
The universal asynchronous receiver/transmitter (UART) module provides an interface between the DSP and  
UART terminal interface or other UART based peripheral. UART is based on the industry standard TL16C550  
asynchronous communications element, which in turn is a functional upgrade of the TL16C450. Functionally  
similar to the TL16C450 on power up (single character or TL16C450 mode), the UART can be placed in an alternate  
FIFO (TL16C550) mode. This relieves the DSP of excessive software overhead by buffering received and transmitted  
characters. The receiver and transmitter FIFOs store up to 16 bytes including three additional bits of error status per  
byte for the receiver FIFO.  
The UART performs serial-to-parallel conversions on data received from a peripheral device and parallel-to-serial  
conversion on data received from the DSP. The DSP can read the UART status at any time. The UART includes  
control capability and a processor interrupt system that can be tailored to minimize software management of the  
communications link. For more information on UART, see the Universal Asynchronous Receiver/Transmitter  
(UART) for KeyStone Devices User Guide in ‘‘Related Documentation from Texas Instruments’’ on page 59.  
Table 7-87  
UART Timing Requirements  
(see Figure 7-50 and Figure 7-51)  
No.  
Parameter  
Min  
Max  
Unit  
Receive Timing  
4
5
5
6
6
6
tw(RXSTART)  
tw(RXH)  
Pulse width, receive start bit  
0.96U  
1.05U  
ns  
ns  
ns  
ns  
ns  
ns  
Pulse width, receive data/parity bit high  
Pulse width, receive data/parity bit low  
Pulse width, receive stop bit 1  
0.96U  
0.96U  
0.96U  
0.96U  
0.96U  
1.05U  
1.05U  
1.05U  
1.05U  
1.05U  
tw(RXL)  
tw(RXSTOP1)  
tw(RXSTOP15)  
tw(RXSTOP2)  
Pulse width, receive stop bit 1.5  
Pulse width, receive stop bit 2  
Autoflow Timing Requirements  
Delay time, CTS asserted to START bit transmit  
8
td(CTSL-TX)  
P (1)  
P
ns  
End of Table 7-87  
1 P = CPU/6  
Figure 7-50  
UART Receive Timing Waveform  
5
5
6
4
RXD  
Start  
Bit 0  
Bit 1  
Bit N-1  
Bit N  
Parity  
Stop  
Idle  
Start  
Stop/Idle  
Figure 7-51  
UART CTS (Clear-to-Send Input) — Autoflow Timing Waveform  
8
TXD  
CTS  
Bit N-1  
Bit N  
Stop  
Start  
Bit 0  
Copyright 2010 Texas Instruments Incorporated  
TMS320C6678 Peripheral Information and Electrical Specifications 243  
 
 
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
Table 7-88  
UART Switching Characteristics  
(See Figure 7-52 and Figure 7-53)  
No.  
Parameter  
Min  
Max  
Unit  
Transmit Timing  
1
2
2
3
3
3
tw(TXSTART)  
tw(TXH)  
Pulse width, transmit start bit  
U - 2  
U - 2  
U - 2  
U - 2  
U + 2  
ns  
ns  
ns  
ns  
ns  
ns  
Pulse width, transmit data/parity bit high  
Pulse width, transmit data/parity bit low  
Pulse width, transmit stop bit 1  
U + 2  
U + 2  
U + 2  
tw(TXL)  
tw(TXSTOP1)  
tw(TXSTOP15)  
tw(TXSTOP2)  
Pulse width, transmit stop bit 1.5  
Pulse width, transmit stop bit 2  
1.5 * (U - 2) 1.5 * ('U + 2)  
2 * (U - 2)  
2 * ('U + 2)  
Autoflow Timing Requirements  
Delay time, STOP bit received to RTS deasserted  
7
td(RX-RTSH)  
P (1)  
P
ns  
End of Table 7-88  
1 P = CPU/6  
Figure 7-52  
UART Transmit Timing Waveform  
2
2
3
1
TXD  
Start  
Bit 0  
Bit 1  
Bit N-1  
Bit N  
Parity  
Stop  
Idle  
Start  
Stop/Idle  
Figure 7-53  
UART RTS (Request-to-Send Output) — Autoflow Timing Waveform  
7
RXD  
CTS  
Bit N-1  
Bit N  
Stop  
Start  
7.16 PCIe Peripheral  
The 2 lane PCI express (PCIe) module on TMS320C6678 provides an interface between the DSP and other PCIe  
compliant devices. The PCI Express module provides low pin count, high reliability, and high-speed data transfer at  
rates of 5.0 Gbps per lane on the serial links. For more information, see the Peripheral Component Interconnect  
Express (PCIe) for KeyStone Devices User Guide (literature number SPRUGS6).  
7.17 TSIP Peripheral  
The telecom serial interface port (TSIP) module provides a glueless interface to common telecom serial data streams.  
For more information, see the Telecom Serial Interface Port (TSIP) for the C66x DSP User Guide (literature number  
SPRUGY4).  
7.18 EMIF16 Peripheral  
The EMIF16 module provides an interface between DSP and external memories such as NAND and NOR flash. For  
more information, see the External Memory Interface (EMIF16) for KeyStone Devices User Guide (literature number  
SPRUGZ3).  
244  
TMS320C6678 Peripheral Information and Electrical Specifications  
Copyright 2010 Texas Instruments Incorporated  
 
 
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
7.19 Packet Accelerator  
The packet accelerator provides L2 to L4 classification functionalities. It supports classification for Ethernet, VLAN,  
MPLS over Ethernet, IPv4/6, GRE over IP, and other session identification over IP such as TCP and UDP ports. It  
maintains 8K multiple-in, multiple-out hardware queues. It also provides checksum capability as well as some QoS  
capabilities. It enables a single IP address to be used for a multi-core device. It can process up to 1.5 M pps.For more  
information, see the Packet Accelerator (PA) for KeyStone Devices User Guide (literature number SPRUGS4).  
7.20 Security Accelerator  
The security accelerator provides wire-speed processing on 1-Gbps Ethernet traffic on IPSec, SRTP, and 3GPP Air  
interface security protocols. It functions on the packet level with the packet and the associated security context being  
one of these above three types. The security accelerator is coupled with packet accelerator, and receives the packet  
descriptor containing the security context in the buffer descriptor, and the data to be encrypted/decrypted in the  
linked buffer descriptor. For more information, see the Security Accelerator (SA) for KeyStone Devices User Guide  
(literature number SPRUGY6)  
Copyright 2010 Texas Instruments Incorporated  
TMS320C6678 Peripheral Information and Electrical Specifications 245  
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
7.21 Ethernet MAC (EMAC)  
The Ethernet media access controller (EMAC) modules provide an efficient interface between the TMS320C6678  
DSP and the networked community. The EMAC supports 10Base-T (10 Mbits/second [Mbps]), and 100BaseTX  
(100 Mbps), in half- or full-duplex mode, and 1000BaseT (1000 Mbps) in full-duplex mode, with hardware flow  
control and quality-of-service (QOS) support. For more information, see the Ethernet Media Access Control (EMAC)  
for KeyStone Devices User Guide (literature number SPRUGV9)  
Each device has a unique MAC address. There are two registers to hold these values, MACID1 (0x02620110) and  
MACID2 (0x02600114). All bits of these registers are defined as follows:  
Figure 7-54  
MACID1 Register  
31  
0
MACID[31:0]  
R,+xxxx xxxx xxxx xxxx xxxx xxxx xxxx xxxx  
Legend: R = Read only; -x, value is indeterminate  
Table 7-89  
MACID1 Register Field Descriptions  
Bit  
Field  
Description  
31-0  
MAC ID[31-0]  
MAC ID  
End of Table 7-89  
Figure 7-55  
MACID2 Register  
31  
24  
23  
18  
17  
FLOW BCAST  
R,+z R,+y  
16  
15  
0
Reserved  
Reserved  
R,+rr rrrr  
MACID[47:32]  
R+, xxxx xxxx  
R,+xxxx xxxx xxxx xxxx  
Legend: R = Read only; -x, value is indeterminate  
Table 7-90  
MACID2 Register Field Descriptions  
Bit  
Field  
Description  
Indeterminate  
000000  
31-24  
23-18  
17  
Reserved  
Reserved  
FLOW  
MAC Flow Control  
0 = Off  
1 = On  
16  
BCAST  
Default m/b-cast reception  
0 = Broadcast  
1 = Disabled  
15-0  
MAC ID[47-0]  
MAC ID  
End of Table 7-90  
246  
TMS320C6678 Peripheral Information and Electrical Specifications  
Copyright 2010 Texas Instruments Incorporated  
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
7.22 Management Data Input/Output (MDIO)  
The management data input/output (MDIO) module implements the 802.3 serial management interface to  
interrogate and controls up to 32 Ethernet PHY(s) connected to the device, using a shared two-wire bus. Application  
software uses the MDIO module to configure the auto-negotiation parameters of each PHY attached to the EMAC,  
retrieve the negotiation results, and configure required parameters in the EMAC module for correct operation. The  
module is designed to allow almost transparent operation of the MDIO interface, with very little maintenance from  
the core processor. For more information, see the Ethernet Media Access Control (EMAC) for KeyStone Devices User  
Guide (literature number SPRUGV9)  
Table 7-91  
MDIO Timing Requirements  
See Figure 7-56  
No.  
Parameter  
Min  
Max  
Unit  
1
tc(MDCLK)  
tw(MDCLKH)  
tw(MDCLKL)  
Cycle time, MDCLK  
400  
180  
180  
10  
ns  
ns  
ns  
ns  
ns  
ns  
Pulse duration, MDCLK high  
Pulse duration, MDCLK low  
4
5
tsu(MDIO-MDCLKH) Setup time, MDIO data input valid before MDCLK high  
th(MDCLKH-MDIO)  
tt(MDCLK)  
Hold time, MDIO data input valid after MDCLK high  
Transition time, MDCLK  
10  
5
End of Table 7-91  
Figure 7-56  
MDIO Input Timing  
1
MDCLK  
4
5
MDIO  
(Input)  
Table 7-92  
See Figure 7-57  
MDIO Switching Characteristics  
Parameter  
No.  
Min  
Max  
Unit  
7
td(MDCLKL-MDIO)  
Delay time, MDCLK low to MDIO data output valid  
100  
ns  
End of Table 7-92  
Figure 7-57  
MDIO Output Timing  
1
MDCLK  
7
MDIO  
(Ouput)  
Copyright 2010 Texas Instruments Incorporated  
TMS320C6678 Peripheral Information and Electrical Specifications 247  
 
 
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
7.23 Timers  
The timers can be used to: time events, count events, generate pulses, interrupt the CPU, and send synchronization  
events to the EDMA3 channel controller.  
7.23.1 Timers Device-Specific Information  
The TMS320C6678 device has eight 64-bit timers in total. Of which Timer0 through Timer3 are dedicated to each  
of the four CorePacs as a watchdog timer and can also be used as general-purpose timers. Each of other 4 timers can  
also be configured as a general-purpose timer only, with each timer programmed as a 64-bit timer or as two separate  
32-bit timers.  
When operating in 64-bit mode, the timer counts either VBUS clock cycles or input (TINPLx) pulses (rising edge)  
and generates an output pulse/waveform (TOUTLx) plus an internal event (TINTLx) on a software-programmable  
period.  
When operating in 32-bit mode, the timer is split into two independent 32-bit timers. Each timer is made up of two  
32-bit counters: a high counter and a low counter. The timer pins, TINPLx and TOUTLx are connected to the low  
counter. The timer pins, TINPHx and TOUTHx are connected to the high counter.  
When operating in Watchdog mode, the timer counts down to zero and generates an event. It is a requirement that  
software writes to the timer before the count expires, after which the count begins again. If the count ever reaches  
zero, the timer event output is asserted. Reset initiated by a watch dog timer can be set by programming ‘‘Reset Type  
Status Register (RSTYPE)’’ on page 221 and the type of reset initiated can set by programming ‘‘Reset Configuration  
Register (RSTCFG)’’ on page 223. For more information, see the 64-bit Timer (Timer 64) for KeyStone Devices User  
Guide (literature number SPRUGV5).  
7.23.2 Timers Electrical Data/Timing  
The tables and figures below describe the timing requirements and switching characteristics of Timer0 through  
Timer7 peripherals.  
Table 7-93  
Timer Input Timing Requirements  
(see Figure 7-58)  
No.  
Min  
12C  
12C  
Max  
Unit  
ns  
1
2
tw(TINPH)  
tw(TINPL)  
Pulse duration, high  
Pulse duration, low  
ns  
End of Table 7-93  
Table 7-94  
Timer Output Switching Characteristics  
(see Figure 7-58)  
No.  
Parameter  
Min  
12C - 3  
12C - 3  
Max  
Unit  
ns  
3
4
tw(TOUTH)  
tw(TOUTL)  
Pulse duration, high  
Pulse duration, low  
ns  
End of Table 7-94  
248  
TMS320C6678 Peripheral Information and Electrical Specifications  
Copyright 2010 Texas Instruments Incorporated  
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
Figure 7-58  
Timer Timing  
1
2
TIMIx  
4
3
TIMOx  
7.24 Serial RapidIO (SRIO) Port  
The SRIO port on the TMS320C6678 device is a high-performance, low pin-count interconnect aimed for  
embedded markets. The use of the RapidIO interconnect in a baseband board design can create a homogeneous  
interconnect environment, providing even more connectivity and control among the components. RapidIO is based  
on the memory and device addressing concepts of processor buses where the transaction processing is managed  
completely by hardware. This enables the RapidIO interconnect to lower the system cost by providing lower latency,  
reduced overhead of packet data processing, and higher system bandwidth, all of which are key for wireless  
interfaces. For more information, see the Serial RapidIO (SRIO) for KeyStone Devices User Guide (literature number  
SPRUGW1).  
Copyright 2010 Texas Instruments Incorporated  
TMS320C6678 Peripheral Information and Electrical Specifications 249  
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
7.25 General-Purpose Input/Output (GPIO)  
7.25.1 GPIO Device-Specific Information  
On the TMS320C6678, the GPIO peripheral pins GP[15:0] are also used to latch configuration pins. For more  
detailed information on device/peripheral configuration and the C6678 device pin muxing, see ‘‘Device  
Configuration’’ on page 61. For more information on GPIO, see the General Purpose Input/Output (GPIO) for  
KeyStone Devices User Guide (literature number SPRUGV1)  
7.25.2 GPIO Electrical Data/Timing  
Table 7-95  
No.  
GPIO Input Timing Requirements  
Min  
12C  
12C  
Max Unit  
1
2
tw(GPOH)  
tw(GPOL)  
Pulse duration, GPOx high  
Pulse duration, GPOx low  
ns  
ns  
End of Table 7-95  
(1)  
Table 7-96  
No.  
GPIO Output Switching Characteristics  
Parameter  
Pulse duration, GPOx high  
Pulse duration, GPOx low  
Min  
36C - 8  
36C - 8  
Max Unit  
1
2
tw(GPOH)  
tw(GPOL)  
ns  
ns  
End of Table 7-96  
1 Over recommended operating conditions.  
Figure 7-59  
GPIx  
GPIO Timing  
1
2
4
3
GPOx  
7.26 Semaphore2  
The device contains an enhanced Semaphore module for the management of shared resources of the DSP C66x  
CorePacs. The Semaphore enforces atomic accesses to shared chip-level resources so that the read-modify-write  
sequence is not broken. The semaphore block has unique interrupts to each of the cores to identify when that core  
has acquired the resource.  
Semaphore resources within the module are not tied to specific hardware resources. It is a software requirement to  
allocate semaphore resources to the hardware resource(s) to be arbitrated.  
The Semaphore module supports 8 masters and contains 32 semaphores to be used within the system.  
There are two methods of accessing a semaphore resource:  
Direct Access: A core directly accesses a semaphore resource. If free, the semaphore will be granted. If not, the  
semaphore is not granted.  
Indirect Access: A core indirectly accesses a semaphore resource by writing it. Once it is free, an interrupt  
notifies the CPU that it is available.  
250  
TMS320C6678 Peripheral Information and Electrical Specifications  
Copyright 2010 Texas Instruments Incorporated  
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
7.27 Emulation Features and Capability  
7.27.1 Advanced Event Triggering (AET)  
The TMS320C6678 device supports Advanced Event Triggering (AET). This capability can be used to debug  
complex problems as well as understand performance characteristics of user applications. AET provides the  
following capabilities:  
Hardware Program Breakpoints: specify addresses or address ranges that can generate events such as halting  
the processor or triggering the trace capture.  
Data Watchpoints: specify data variable addresses, address ranges, or data values that can generate events  
such as halting the processor or triggering the trace capture.  
Counters: count the occurrence of an event or cycles for performance monitoring.  
State Sequencing: allows combinations of hardware program breakpoints and data watchpoints to precisely  
generate events for complex sequences.  
For more information on AET, see the following documents:  
Using Advanced Event Triggering to Find and Fix Intermittent Real-Time Bugs application report (literature  
number SPRA753)  
Using Advanced Event Triggering to Debug Real-Time Problems in High Speed Embedded Microprocessor  
Systems application report (literature number SPRA387)  
7.27.2 Trace  
The C6678 device supports Trace. Trace is a debug technology that provides a detailed, historical account of  
application code execution, timing, and data accesses. Trace collects, compresses, and exports debug information  
for analysis. Trace works in real-time and does not impact the execution of the system.  
For more information on board design guidelines for Trace Advanced Emulation, see the 60-Pin Emulation Header  
Technical Reference (literature number SPRU655).  
7.27.2.1 Trace Electrical Data/Timing  
(1)  
Table 7-97  
Trace Switching Characteristics  
(see Figure 7-60)  
No.  
Parameter  
Min Max Unit  
1
1
2
2
3
tw(DPnH)  
Pulse duration, DPn/EMUn high  
2.4  
1.5  
2.4  
1.5  
ns  
ns  
ns  
ns  
tw(DPnH)90% Pulse duration, DPn/EMUn high detected at 90% Voh  
tw(DPnL) Pulse duration, DPn/EMUn low  
tw(DPnL)10% Pulse duration, DPn/EMUn low detected at 10% Voh  
tsko(DPn)  
tskp(DPn)  
Output skew time, time delay difference between DPn/EMUn pins configured as trace  
-500 500 ps  
600 ps  
Pulse skew, magnitude of difference between high-to-low (tphl) and low-to-high (tplh) propagation delays.  
tσλδπ_ο(DPn) Output slew rate DPn/EMUn  
3.3  
V/ns  
End of Table 7-97  
1 Over recommended operating conditions.  
Copyright 2010 Texas Instruments Incorporated  
TMS320C6678 Peripheral Information and Electrical Specifications 251  
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
Figure 7-60  
Trace Timing  
A
TPLH  
TPHL  
1
2
B
C
3
7.27.3 IEEE 1149.1 JTAG  
The JTAG interface is used to support boundary scan and emulation of the device. The boundary scan supported  
allows for an asynchronous TRST and only the 5 baseline JTAG signals (e.g., no EMU[1:0]) required for boundary  
scan. Most interfaces on the device follow the Boundary Scan Test Specification (IEEE1149.1), while all of the SerDes  
(SRIO and SGMII) support the AC-coupled net test defined in AC-Coupled Net Test Specification (IEEE1149.6).  
It is expected that all compliant devices are connected through the same JTAG interface, in daisy-chain fashion, in  
accordance with the specification. The JTAG interface uses 1.8-V LVCMOS buffers, compliant with the Power  
Supply Voltage and Interface Standard for Nonterminated Digital Integrated Circuit Specification (EAI/JESD8-5).  
7.27.3.1 IEEE 1149.1 JTAG Compatibility Statement  
For maximum reliability, the C6678 DSP includes an internal pulldown (IPD) on the TRST pin to ensure that TRST  
will always be asserted upon power up and the DSP's internal emulation logic will always be properly initialized  
when this pin is not routed out. JTAG controllers from Texas Instruments actively drive TRST high. However, some  
third-party JTAG controllers may not drive TRST high but expect the use of an external pullup resistor on TRST.  
When using this type of JTAG controller, assert TRST to initialize the DSP after powerup and externally drive TRST  
high before attempting any emulation or boundary scan operations.  
7.27.3.2 JTAG Electrical Data/Timing  
Table 7-98  
JTAG Test Port Timing Requirements  
(see Figure 7-61)  
No.  
Min  
20  
8
Max Unit  
1
tc(TCK)  
Cycle time, TCK  
ns  
ns  
ns  
ns  
ns  
ns  
ns  
1a tw(TCKH)  
1b tw(TCKL)  
Pulse duration, TCK high (40% of tc)  
Pulse duration, TCK low(40% of tc)  
8
3
3
4
4
tsu(TDI-TCK)  
input setup time, TDI valid to TCK high  
input setup time, TMS valid to TCK high  
input hold time, TDI valid from TCK high  
input hold time, TMS valid from TCK high  
2
tsu(TMS-TCK)  
th(TCK-TDI)  
th(TCK-TMS)  
2
10  
10  
End of Table 7-98  
Table 7-99  
(see Figure 7-61)  
JTAG Test Port Switching Characteristics (1)  
Parameter  
No.  
Min  
Max Unit  
2
td(TCKL-TDOV)  
Delay time, TCK low to TDO valid  
8
ns  
End of Table 7-99  
1 Over recommended operating conditions.  
252  
TMS320C6678 Peripheral Information and Electrical Specifications  
Copyright 2010 Texas Instruments Incorporated  
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
Figure 7-61  
JTAG Test-Port Timing  
1
1a  
1b  
TCK  
2
TDO  
4
3
TDI / TMS  
Table 7-100  
(see Figure 7-62)  
HS-RTDX Switching Characteristics (1)  
Parameter  
No.  
Min  
3
Max Unit  
4
td(TCKH-DPn)  
Delay time, TCK high to DPn/EMUn transition  
Disable time, TCK high to DPn/EMUn hi-z  
Enable time, TCK high to DPn/EMUn driven  
Output slew rate DPn/EMUn  
25  
25  
25  
ns  
ns  
ns  
3
tdis(TCKH-DPZ)  
tena(TCKH-DP)  
3
tsldp_o(DPn)  
1
25 V/ns  
End of Table 7-100  
1 Over recommended operating conditions.  
Figure 7-62  
HS-RTDX Timing  
1
TCK  
4
2
3
DP[n] /  
EMU[n]  
Copyright 2010 Texas Instruments Incorporated  
TMS320C6678 Peripheral Information and Electrical Specifications 253  
 
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
254  
TMS320C6678 Peripheral Information and Electrical Specifications  
Copyright 2010 Texas Instruments Incorporated  
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
8 Mechanical Data  
8.1 Thermal Data  
Table 8-1 shows the thermal resistance characteristics for the PBGA - CMH/GMH mechanical package.  
Table 8-1  
No.  
Thermal Resistance Characteristics (PBGA Package) [CMH/GMH]  
°C/W  
TBD  
TBD  
1
2
RθJC  
RθJB  
Junction-to-case  
Junction-to-board  
End of Table 8-1  
8.2 Packaging Information  
The following packaging information reflects the most current released data available for the designated device(s).  
This data is subject to change without notice and without revision of this document.  
Copyright 2010 Texas Instruments Incorporated  
Mechanical Data 255  
 
TMS320C6678  
Multicore Fixed and Floating-Point Digital Signal Processor  
SPRS691—November 2010  
www.ti.com  
8.3 Package CYP  
Figure 8-1  
CYP (S–PBGA–N841) Pb-Free Plastic Ball Grid Array  
256  
Mechanical Data  
Copyright 2010 Texas Instruments Incorporated  
PACKAGE OPTION ADDENDUM  
www.ti.com  
2-Dec-2010  
PACKAGING INFORMATION  
Status (1)  
Eco Plan (2)  
MSL Peak Temp (3)  
Samples  
Orderable Device  
Package Type Package  
Drawing  
Pins  
Package Qty  
Lead/  
Ball Finish  
(Requires Login)  
TMX320C6678CYP  
ACTIVE  
FCBGA  
CYP  
841  
1
TBD  
Call TI  
Call TI  
Call Local Sales Office  
(1) The marketing status values are defined as follows:  
ACTIVE: Product device recommended for new designs.  
LIFEBUY: TI has announced that the device will be discontinued, and a lifetime-buy period is in effect.  
NRND: Not recommended for new designs. Device is in production to support existing customers, but TI does not recommend using this part in a new design.  
PREVIEW: Device has been announced but is not in production. Samples may or may not be available.  
OBSOLETE: TI has discontinued the production of the device.  
(2) Eco Plan - The planned eco-friendly classification: Pb-Free (RoHS), Pb-Free (RoHS Exempt), or Green (RoHS & no Sb/Br) - please check http://www.ti.com/productcontent for the latest availability  
information and additional product content details.  
TBD: The Pb-Free/Green conversion plan has not been defined.  
Pb-Free (RoHS): TI's terms "Lead-Free" or "Pb-Free" mean semiconductor products that are compatible with the current RoHS requirements for all 6 substances, including the requirement that  
lead not exceed 0.1% by weight in homogeneous materials. Where designed to be soldered at high temperatures, TI Pb-Free products are suitable for use in specified lead-free processes.  
Pb-Free (RoHS Exempt): This component has a RoHS exemption for either 1) lead-based flip-chip solder bumps used between the die and package, or 2) lead-based die adhesive used between  
the die and leadframe. The component is otherwise considered Pb-Free (RoHS compatible) as defined above.  
Green (RoHS & no Sb/Br): TI defines "Green" to mean Pb-Free (RoHS compatible), and free of Bromine (Br) and Antimony (Sb) based flame retardants (Br or Sb do not exceed 0.1% by weight  
in homogeneous material)  
(3) MSL, Peak Temp. -- The Moisture Sensitivity Level rating according to the JEDEC industry standard classifications, and peak solder temperature.  
Important Information and Disclaimer:The information provided on this page represents TI's knowledge and belief as of the date that it is provided. TI bases its knowledge and belief on information  
provided by third parties, and makes no representation or warranty as to the accuracy of such information. Efforts are underway to better integrate information from third parties. TI has taken and  
continues to take reasonable steps to provide representative and accurate information but may not have conducted destructive testing or chemical analysis on incoming materials and chemicals.  
TI and TI suppliers consider certain information to be proprietary, and thus CAS numbers and other limited information may not be available for release.  
In no event shall TI's liability arising out of such information exceed the total purchase price of the TI part(s) at issue in this document sold by TI to Customer on an annual basis.  
Addendum-Page 1  
IMPORTANT NOTICE  
Texas Instruments Incorporated and its subsidiaries (TI) reserve the right to make corrections, modifications, enhancements, improvements,  
and other changes to its products and services at any time and to discontinue any product or service without notice. Customers should  
obtain the latest relevant information before placing orders and should verify that such information is current and complete. All products are  
sold subject to TI’s terms and conditions of sale supplied at the time of order acknowledgment.  
TI warrants performance of its hardware products to the specifications applicable at the time of sale in accordance with TI’s standard  
warranty. Testing and other quality control techniques are used to the extent TI deems necessary to support this warranty. Except where  
mandated by government requirements, testing of all parameters of each product is not necessarily performed.  
TI assumes no liability for applications assistance or customer product design. Customers are responsible for their products and  
applications using TI components. To minimize the risks associated with customer products and applications, customers should provide  
adequate design and operating safeguards.  
TI does not warrant or represent that any license, either express or implied, is granted under any TI patent right, copyright, mask work right,  
or other TI intellectual property right relating to any combination, machine, or process in which TI products or services are used. Information  
published by TI regarding third-party products or services does not constitute a license from TI to use such products or services or a  
warranty or endorsement thereof. Use of such information may require a license from a third party under the patents or other intellectual  
property of the third party, or a license from TI under the patents or other intellectual property of TI.  
Reproduction of TI information in TI data books or data sheets is permissible only if reproduction is without alteration and is accompanied  
by all associated warranties, conditions, limitations, and notices. Reproduction of this information with alteration is an unfair and deceptive  
business practice. TI is not responsible or liable for such altered documentation. Information of third parties may be subject to additional  
restrictions.  
Resale of TI products or services with statements different from or beyond the parameters stated by TI for that product or service voids all  
express and any implied warranties for the associated TI product or service and is an unfair and deceptive business practice. TI is not  
responsible or liable for any such statements.  
TI products are not authorized for use in safety-critical applications (such as life support) where a failure of the TI product would reasonably  
be expected to cause severe personal injury or death, unless officers of the parties have executed an agreement specifically governing  
such use. Buyers represent that they have all necessary expertise in the safety and regulatory ramifications of their applications, and  
acknowledge and agree that they are solely responsible for all legal, regulatory and safety-related requirements concerning their products  
and any use of TI products in such safety-critical applications, notwithstanding any applications-related information or support that may be  
provided by TI. Further, Buyers must fully indemnify TI and its representatives against any damages arising out of the use of TI products in  
such safety-critical applications.  
TI products are neither designed nor intended for use in military/aerospace applications or environments unless the TI products are  
specifically designated by TI as military-grade or "enhanced plastic." Only products designated by TI as military-grade meet military  
specifications. Buyers acknowledge and agree that any such use of TI products which TI has not designated as military-grade is solely at  
the Buyer's risk, and that they are solely responsible for compliance with all legal and regulatory requirements in connection with such use.  
TI products are neither designed nor intended for use in automotive applications or environments unless the specific TI products are  
designated by TI as compliant with ISO/TS 16949 requirements. Buyers acknowledge and agree that, if they use any non-designated  
products in automotive applications, TI will not be responsible for any failure to meet such requirements.  
Following are URLs where you can obtain information on other Texas Instruments products and application solutions:  
Products  
Applications  
Audio  
www.ti.com/audio  
amplifier.ti.com  
dataconverter.ti.com  
www.dlp.com  
Communications and Telecom www.ti.com/communications  
Amplifiers  
Data Converters  
DLP® Products  
DSP  
Computers and Peripherals  
Consumer Electronics  
Energy and Lighting  
Industrial  
www.ti.com/computers  
www.ti.com/consumer-apps  
www.ti.com/energy  
dsp.ti.com  
www.ti.com/industrial  
www.ti.com/medical  
www.ti.com/security  
Clocks and Timers  
Interface  
www.ti.com/clocks  
interface.ti.com  
logic.ti.com  
Medical  
Security  
Logic  
Space, Avionics and Defense www.ti.com/space-avionics-defense  
Power Mgmt  
power.ti.com  
Transportation and  
Automotive  
www.ti.com/automotive  
Microcontrollers  
RFID  
microcontroller.ti.com  
www.ti-rfid.com  
Video and Imaging  
Wireless  
www.ti.com/video  
www.ti.com/wireless-apps  
RF/IF and ZigBee® Solutions www.ti.com/lprf  
TI E2E Community Home Page  
e2e.ti.com  
Mailing Address: Texas Instruments, Post Office Box 655303, Dallas, Texas 75265  
Copyright © 2011, Texas Instruments Incorporated  

相关型号:

TMS320C6678AXCYP

Multicore Fixed and Floating-Point Digital Signal Processor
TI

TMS320C6678AXCYP25

Multicore Fixed and Floating-Point Digital Signal Processor
TI

TMS320C6678AXCYPA

Multicore Fixed and Floating-Point Digital Signal Processor
TI

TMS320C6678CYP

Multicore Fixed and Floating-Point Digital Signal Processor
TI

TMS320C6678CYP25

Multicore Fixed and Floating-Point Digital Signal Processor
TI

TMS320C6678CYPA

Multicore Fixed and Floating-Point Digital Signal Processor
TI

TMS320C6678XCYP

Multicore Fixed and Floating-Point Digital Signal Processor
TI

TMS320C6678XCYP25

Multicore Fixed and Floating-Point Digital Signal Processor
TI

TMS320C6678XCYPA

Multicore Fixed and Floating-Point Digital Signal Processor
TI

TMS320C6678_13

Multicore Fixed and Floating-Point Digital Signal Processor
TI

TMS320C6678_14

Multicore Fixed and Floating-Point Digital Signal Processor
TI

TMS320C6701

FLOATING-POINT DIGITAL SIGNAL PROCESSOR
TI